You are on page 1of 8

Microsystem Technologies (2018) 24:3341–3348

https://doi.org/10.1007/s00542-017-3691-3
(0123456789().,-volV)(0123456789().,-volV)

TECHNICAL PAPER

Performance evaluation of domino logic circuits for wide fan-in gates


with FinFET
Ajay Kumar Dadoria1 • Kavita Khare1 • Uday Panwar2 • Anita Jain3

Received: 21 December 2017 / Accepted: 22 December 2017 / Published online: 3 January 2018
 Springer-Verlag GmbH Germany, part of Springer Nature 2018

Abstract
Power dissipation, propagation delay and noise are major issues in digital circuit design. In this paper, a new leakage-
tolerant domino circuit is presented which has lower power consumption and higher noise immunity without significant
delay increment for 8 and 16 input OR gates are designed and simulated using existing and proposed techniques in FinFET
technology. In this paper utilize the property of FinFET on domino circuit in order to improve the overall performance of
the circuit. Here all the circuit is simulated at 32 nm process technology by using HSPICE simulation at supply voltage of
0.9 V in MOS, short gate (SG) and low power (LP) mode at 10 MHz frequency. Comparison is done on the basis of power
dissipation, propagation delay and unity noise gain. FinFET technology in SG mode reduces propagation delay while LP
mode reduces power dissipation. Maximum power saved by ultra low power stacked (ULP-ST) domino logic for 8 and 16
input OR at 15.5, 18.39% in SFLD, 32.91, 28.22% in HSD, 40.60, 44.67% in CKD in SG mode and for LP mode 18.26,
21.68% in SFLD, 28.84, 27.94% in HSD, 55.45, 44.59% in CKD, respectively.

1 Introduction scaled down in order to reduce power consumption (Tawfik


and Kursun 2009; Hisamoto et al. 2000). Due to technol-
Dynamic logic gates have lesser area and high speed as ogy scaling, the thickness of gate oxide is also scaled down
compared to static dynamic gates. So wide fan-in dynamic due to which there is exponential increase in gate leakage
gates are used in SRAM, DRAM and high speed micro- current. The precharge node of domino logic may be dis-
processors (Wong et al. 1999; Nowak et al. 2004). If a large charged due to excessive leakage. Also, less threshold
fan in gate is designed using static CMOS logic, the voltage makes the dynamic logic more susceptible to cross
number of transistors to be connected in series will be very talk and input noise. So reduced switching point, leakage
large. However, due to large number of inputs, the per- current and noise sources affects the robustness of domino
formance of dynamic gates is largely affected by sub- logic.
threshold leakage current and noise. Now with the scaling Power consumed by a logic gate is given by:
of technology, supply voltage and threshold voltage is also Pgate ¼ Psw þ Psc þ Pleak ð1Þ
where Psc is the power due to short circuit of supply and
& Ajay Kumar Dadoria
ajaymanit0@gmail.com ground, Psw is power due to circuit capacitances charging
and discharging and Pleak is the power due to leakage
Kavita Khare
kavita_khare1@yahoo.co.in current (Rasouli et al. 2010; Mahmoodi and Roy 2004;
Gong et al. 2008). To over from this problem a new type of
Uday Panwar
panwaruday1@gmail.com multigate device come into the existence which reduces the
shorter channel effect, improve ION/IOFF of the transistor
Anita Jain
anitajainone@gmail.com without sacrificing the performance of other parameters
like delay, capacitance etc.
1
Department of Electronics and Communication Engineering, The paper is arranged as follows: literature review is
MANIT, Bhopal 462003, India
given in Sect. 2 in which various design techniques are
2
Department of Electronics and Communication Engineering, discussed using CMOS logic. In Sect. 3, proposed logic is
SIRT, Bhopal 462003, India
design using FinFET technology. In Sect. 4, results and
3
Cummins College of Engineering for Women, Pune, India

123
3342 Microsystem Technologies (2018) 24:3341–3348

discussion of proposed circuit using FinFET technology. High bias


conclusion is given in Sect. 5.

2 Literature review Low bias

The basic dynamic logic is standard footless domino logic SG LP IG


(SFLD) circuit as shown in Fig. 2. In domino logic the
evaluation phase major concern is reduction of leakage Fig. 1 Mode of operation of FinFET. (1) Short gate mode (SG), (2)
currents through PDN, even all inputs are at low logic insulated gate mode, (3) low power mode
level. This leakage current is due to band-to-band tunneling
(BTBT) current, gate tunneling current and subthreshold In low power (LP) mode, high voltage is applied at back
leakage current. In standard domino P-CNT keeper tran- gate of P-FinFET and low voltage to back gate of N-Fin-
sistor prevent from undesirable discharge of the dynamic FET. Due to this, the threshold voltage of P and N devices
node due to leakage current and charge sharing from PDN will vary reducing the power consumption but increasing
during evaluation phase. In this way noise immunity of the delay.
circuit improve.
The keeper ratio K is defined as 2.2 Domino circuits

lP WL Keepertransistor Thus in the SFLD circuit, power and delay increases, this
K¼  : ð2Þ
ln WL evaluationnetwork makes critical problem in wide fan-in dynamic gate due to
large number of N-FinFET transistor are connected at
where W and L are the transistor size, and ln and lp are the dynamic node (Hisamoto et al. 2000; Frustaci et al. 2008).
mobility of electron and hole, respectively. Nowadays So there is trade-off between noise immunity and perfor-
traditional keeper is less effective in ultra-deep submicron mance due to limitation in pull-down legs. Numerous
regime of CMOS technology, to improve noise immunity techniques are proposed to address this issue. These are
of dynamic logic by upsizing of the keeper, that increase divided into two groups, in the first group circuit tech-
contention current between keeper transistor and the eval- niques changes the controlling circuit of the gate voltage of
uation network or PDN i.e. wide OR logic gate. keeper such as conditional-keeper domino (CKD) (Liao
et al. 2014), high-speed domino (HSD) (Peiravi and Asyaei
2.1 FinFET 2012), leakage current replica (LCR) keeper domino
(Dadoria et al. 2016a), and controlled keeper by current-
A FinFET is a three dimensional transistor in which the comparison domino (CKCCD) (Dadoria et al. 2017a), DFD
channel is designed above the insulator In FinFET tech- circuit is used to obtain low leakage current and increase
nology, there is a thin layer (vertical) called Fin on a silicon noise immunity of circuit. In this circuit, M1 is employed
substrate. This thin layer acts as source and drain for the in diode configuration in series with evaluation network to
transistor. The thin layer is covered by gate from three reduce leakage current by using stacking effect. Figure 2
sides. This gives a good control of channel from three shows that if we increase the width of the evaluation
sides. Due to this fin shape, many gates can be operated on transistor there is increment of delay take place this happen
one transistor. FinFETs are less affected by short channel because evaluation delay of the circuit increases because
effects as compared to CMOS technology (Jeyasingh and increment in the resistance in the path to discharge the
Bhat 2008; Allah et al. 2000). dynamic node of the circuit through evaluation network.
FinFET has four terminals—source, drain and a double Due to the leakage current of evaluation network, there
gate (back gate and front gate). This double gate can be is some voltage stablish across footer diode M1 in the
used in three two modes as shown in Fig. 1. evaluation phase. The addition of keeper transistor
In short gate (SG) modes, the two gates of FinFET are improves robustness of the circuit but increases propaga-
shorted together so that gate covers the channel from three tion delay and power consumption as shown in Fig. 3. As
sides and provides good control over channel. In this case, keeper size goes on increasing, delay and power also
power dissipation increases but delay of the circuit increases. So for faster applications, smaller keeper is used
decreases. and for robust design, keeper size is increased. Figure 3
In insulated gate (IG) mode, the two gates of FinFET shows the effect of keeper upsizing on power dissipation
may be driven by different signals due to which no. of for a 16 input OR gate using FLDL logic. A footer NMOS
FinFETs can be reduced in the circuit. is connected between evaluation logic and ground to

123
Microsystem Technologies (2018) 24:3341–3348 3343

160 Width of footer N1 = 200 nm Vdd Vdd


Keeper
140 Transistor
Clock

120
Out
100 Dynamic Node
Delay(pS)

80
Input <0-15> Evaluation
60 Network

40
Clock
20

0
32 64 96 128 160 GND
Width of Evaluaon Transistor(nm) Fig. 4 Footed domino logic circuit
Fig. 2 Effect of evaluation transistor width on delay
noise in the circuit. Also due to fast switching of inverter
buffer, the power consumption will be high due to con-
Vdd Vdd
Keeper tinuous shorting of VDD and GND. These pulses at the
Transistor output can be avoided if NMOS transistor in the inverter is
Clock turned off at the time of precharge.
In proposed technique as shown in Fig. 5, transistors
MN2 and MN3 are added in the basic footed domino logic
Out for leakage reduction and noise improvement and provide
Dynamic Node
the proper stacking to the transistor in order to reduce the
contention current of the circuit. Transistor MN2 is driven
Input <0-15> Evaluation by the dynamic node N of footer transistor. Transistor MN1
Network is NMOS transistor of output inverter of the circuit which
reduces leakage power consumption during precharge
phase by stacking effect, which is not discharge though
GND but it provide the input to the MN2 transistor for
GND improvement of unity noise gain (UNG) of the circuit.
Fig. 3 Footless domino logic circuit Whenever there is a small voltage drop across MN4 due to
noise pulses, transistor MN3 provides stacking effect by
reduce the leakage current as shown in Fig. 3. This tech- making gate to source voltage of MN2 smaller. The delay
nique is called footed domino logic (FDL) (Nowak et al. element is inserted in between clock and MN4 transistor so
2004). In this technique, speed decreases as footer tran- as to reduce the overall delay of the signal. This will reduce
sistor introduces delay in the circuit. Robustness of footed the leakage power of MN2 and makes MN3 conduct less,
domino logic decreases for large fan-in gates (Dadoria hence over all power of the circuit is improved.
et al. 2016b, 2017b, c; Chun and Roger Chen 2010). During precharge phase, voltage at dynamic node is
high. Now if any of the inputs to pull down network is
high, then voltage of node N at footer will be nearly equal
3 Proposed work to voltage at dynamic node because N1 is off in precharge
mode. At this time N2 turns ON while N3 remains off due
In standard FDL as shown in Fig. 4, during precharge to low voltage at output. So leakage power consumption of
phase, the output must remain high. But when clock fre- circuit reduces and noise performance improves. By pro-
quency is very high (50–500 MHz), the voltage at the viding proper stacking with the help of ULP-ST which help
dynamic node of circuit also changes very frequently. So in improving the UNG of the circuit, reduces the power
pulses are present at the dynamic node and these pulses are consumption and enhance the speed by using FinFET
transferred at the output. So output remains high for very technology in different mode. The transistors MN3, MP4
less time during precharge phase. These pulses produce and MN2 are arrange as stack transistors and provides a

123
3344 Microsystem Technologies (2018) 24:3341–3348

MP1 MP2 4 Simulations results and comparisons


Clock MP3
All the simulation is performed at 32 nm technology at
temperature, i.e. 27 C. The supply voltage used in the
Out simulations is 0.9 V. The wide fan-in (2, 4, 8, 16, input)
MN1 OR gate circuit is operated at 10 MHz clock frequency. In
IN1 IN2 IN3 INn
worst case and heavy load due to the high fan-out, the
Delay Element
output capacitance load is set at 1fF. Some previous
MN2
technique are simulated with proposed technique for
comparison and analysis. And also measure the noise
immunity of the circuits quantitatively and account other
Clock MN4 MN3
circuit factors i.e. noise metric and figure of a merit.

4.1 Noise immunity metric

Few metrics have been proposed by FinFET and CMOS in


Fig. 5 ULP-ST domino logic the literature survey to compare and analyzed the noise
immunity of the dynamic gates (Tawfik and Kursun 2008)
stacked effect in pull down network reducing the leakage and well-known noise-margin metric is the unity noise gain
current simultaneously a proper logic level is achieved (UNG) that is use in this paper (Peiravi and Asyaei 2013;
Different domino topologies discussed in Sect. 2 along Lih et al. 2007). It is equal to the amplitude of input noise
with the proposed domino circuit are simulated on PTM that causes the equal amplitude to appear at the output.
32 nm process using H-spice simulation tool. The simula- This metric is define by
tion is performed for 2, 4, 8 and 16 input OR gates.
In this paper, to compare various topologies, parameters UNG ¼ fVin : Vnoise ¼ Voutput g
used are power consumption, propagation delay and UNG. In this technique, a pulse noise matches cross-talk noise
Figure 6 provide the proper logic achieve by the proposed at the input. The input noise can be raised by increasing the
ULP-ST circuit for all input vector combination so as to noise pulse duration or the noise amplitude. In this paper
improve overall performance of the circuit. mainly the input noise amplitude is changed in the worst
case DC noise conditions to compare DC robustness of the
various techniques.

4.2 2 transistor sizing

The FDL, FLDL, CKD, HSD and LCR techniques are


simulated to compare the results with proposed foot driven
stack transistor domino logic (FDSTDL). The width of
footer transistor N1 is set to 4 Lmin in the proposed circuit
to reduce the delay while it is set to 2 Lmin for existing
circuits where Lmin = 32 nm (channel length). The width
of identical NMOS transistors in evaluation block is taken
to be 2 Lmin. The aspect ratio (Wp/Wn) of the static
inverter is taken as 2 to compensate the mobility difference
of electrons and holes. The width of precharge transistor
and keeper transistor is set to 4 Lmin and 2 Lmin,
respectively.
OR gates are more suitable for calculating leakage
currents because in OR gates, N channel transistors are
connected in parallel in the evaluation logic while in AND
gate, the N channel transistors are connected in series
(stacked one over the other) so number of interconnects
Fig. 6 Transient characteristics of 2-input proposed domino OR gate between dynamic node and foot will be more in case of
using HSPICE at 10 MHz AND gate. Leakage current and noise performance is

123
Microsystem Technologies (2018) 24:3341–3348 3345

affected by a number of interconnects at the node. So in The ratio of the contention current in the proposed FinFET
this paper, domino OR gates are simulated in FinFET. circuit is more compared with the proposed MOS circuit. In
Tables 1, 2, 3, 4, 5 and 6 shows the overall comparison Table 7, different domino techniques are compared based
of Average power, delay and UNG of 2, 4, 8 and 16 input on standby power consumption for 16 input OR gate.
OR Gate in CMOS, SG and LP mode of FinFET technol- Standby power is calculated by applying zero input to all
ogy. From the simulation results it is observe that Tables 2, the transistors in evaluation logic. It is shown in the
4 and 5 for 8 and 16 inputs OR gate shows the comparison table that proposed technique (FULP-ST) has least stand-
of different parameters like average power, delay and UNG by power consumption due to low transistor count.
of the domino circuits by using FinFET technology. Pro- Comparison of the average power between domino logic
posed ULP-ST circuit shows maximum saving of Average style made from MOS and FinFET is done because scaling
power 22.15, 23.39% in FLD, 28.36, 27.34% in HSD, of the technology is the prime thrust for the development of
42.37, 44.84% in CKD for 8 input OR gate in SG and LP the MOS but as the SCE came into existence the FinFET
modes of FinFET technology. Saving of delay 28.42, has excellent control over the silicon fin and mitigates this
18.37% in FLD, 56.83, 43.47% in FDL, 28.47, 21.37% in effect. From the results it is observed that the FinFET
HSD, 36.31, 34.19% in CKD for 8 input OR gate in SG and based ULP-CCMF domino circuit shows the saving of an
LP mode of FinFET technology, respectively. Maximum average power of 16.67, 29.11% SFLD, 42.58, 44.91%
Saving of the average power by ULP-ST is up to 35.35% in HSD, 51.22, 52.65% CKD, 21.34, 32.73% LCR, 30.56,
DFD and 34.39% in LCR for 8 input OR gate. For 16 input 43.13% DFD and in the MOS based proposed domino
OR gate ULP-ST circuit saves power 24.81% for DFD and circuit a saving of an average power of 10.66, 20.22%
28.28% in LCR. unity noise gains (UNG) of different SFLD, 36.77, 36.49% HSD, 95.11, 93.33% CKD, 16.59,
existing domino logic circuits are compared with proposed 23.93% LCR, 12.21, 14.44% DFD is shown for the 8 and
domino logic. Higher value of UNG shows better noise 16 input OR gates, respectively. From the results it is
immunity. For calculating UNG, noise pulse of varying observed that the FinFET based ULP-CCMF domino cir-
amplitude and constant width is applied at the input. The cuit shows a saving of standby power of 18.79, 29.36%
result shows that proposed technique has higher noise SFD, 46.15, 42.11% HSD, 63.3, 61.46% CKD, 71.71,
immunity as compared to existing domino techniques as 32.11% LCR, 49.08, 29.18% DFD and in the MOS based
well as FinFET SG and LP mode. domino circuit proposed shows the saving of standby
The simulation results of the proposed ULP-ST domino power 3.42, 3.13% SFD, 43.51, 40.62% HSD, 98.3,
circuit for power dissipation and delay at the different 98.09% CKD, 14.34, 3.05% LCR, 14.08, 12.6% DFD for
keeper ratios is shown in Figs. 7 and 8, respectively. The the 8 and 16 input OR gates, respectively. The maximum
power dissipation is significantly reduced up to 68% (16- average power saving is observed in the CKD compared
input OR with KPR = 1.5) with the proposed FinFET with the proposed circuit (both MOS and FinFET based)
technique when compared to the proposed MOS technique, because the number of transistors used in the ULP-CCMF
as shown in Fig. 7. Similarly, the delay is significantly circuit is less compared with the CKD and is due to the
reduced by up to 58% (16-input OR with KPR = 1.5) for stacking effect with the mirror transistor in the pull down
the proposed FinFET technique with respect to the pro- network.
posed MOS technique, as shown in Fig. 8. The power A maximum penalty of delay of 34.7% in the MOS,
reduction and speed enhancement with the proposed Fin- 34.05% in FinFET and evaluation delay of 28.61% in the
FET and MOS techniques are more pronounced as the MOS, and 18.05% in FinFET was seen compared with the
contention current increases with a large keeper transistor. HSD for the 8 input OR gate. The delay is increased

Table 1 Comparison of domino


Topology 2 input OR gate 4 input OR gate
circuits in terms of power, delay
and UNG for 2 and 4 input OR Avg. power (lW) Delay (pS) UNG Avg. power (lW) Delay (pS) UNG
gates by using MOS technology
FDL 1.511 16.99 0.361 1.811 20.32 0.341
FLDL 1.494 10.91 0.312 1.570 11.93 0.312
CKD 1.751 23.45 0.668 2.055 30.49 0.616
HSD 1.585 9.494 0.382 1.812 13.55 0.343
LCR 1.8925 12.99 0.388 2.073 15.39 0.345
DFD 1.812 19.13 0.383 2.009 24.65 0.342
CKCCD 1.932 9.025 0.384 2.167 13.71 0.342
Proposed 1.540 12.94 0.477 1.732 15.21 0.165

123
3346 Microsystem Technologies (2018) 24:3341–3348

Table 2 Comparison of domino


Topology 8 input OR gate 16 input OR gate
circuits in terms of power, delay
and UNG for 8 and 16 input OR Avg. power (lW) Delay (pS) UNG Avg. power (lW) Delay (pS) UNG
gates by using CMOS
technology FDL 1.3135 32.21 0.242 1.3765 36.41 0.217
FLDL 1.3335 31.524 0.124 1.3388 32.49 0.101
CKD 1.4815 159 0.426 1.5601 174.31 0.395
HSD 1.4286 38.15 0.238 1.4705 41.75 0.213
LCR 1.5948 50.83 0.241 1.6209 52.61 0.212
DFD 1.4484 38.11 0.246 1.4823 41.76 0.219
CKCCD 1.5454 45.154 0.236 11.604 52.02 0.211
Proposed 1.3113 38.83 0.191 1.3635 452.5 0.165

Table 3 Comparison of domino


Topology 2 input OR gate 4 input OR gate
circuits in terms of power, delay
and UNG for 2 and 4 input OR Avg. power (lW) Delay (pS) UNG Avg. power (lW) Delay (pS) UNG
gates by using FiFET
technology in SG mode FDL 0.401 13.18 0.313 0.452 14.20 0.273
FLDL 0.356 10.23 0.281 0.390 10.36 0.253
CKD 0.588 20.52 0.331 0.622 20.61 0.302
HSD 0.440 8.178 0.306 0.492 7.936 0.268
LCR 0.762 11.50 0.311 0.805 11.95 0.271
DFD 0.705 15.66 0.327 0.755 16.33 0.297
CKCCD 0.662 12.97 0.354 0.822 11.93 0.317
Proposed 0.365 10.43 0.401 0.448 11.78 0.352

Table 4 Comparison of domino


Topology 8 input OR gate 16 input OR gate
circuits in terms of power, delay
and UNG for 8 and 16 input OR Avg. power (lW) Delay (pS) UNG Avg. power (lW) Delay (pS) UNG
gates by using FiFET
technology in SG mode FDL 0.561 16.99 0.242 0.811 20.32 0.217
FLDL 0.469 10.91 0.124 0.570 11.91 0.101
CKD 0.754 23.45 0.426 1.052 30.49 0.395
HSD 0.585 9.492 0.238 0.812 12.55 0.213
LCR 0.882 12.99 0.241 1.073 15.39 0.212
DFD 0.812 19.13 0.246 1.009 24.65 0.219
CKCCD 0.932 9.025 0.236 1.137 16.71 0.211
Proposed 0.530 12.94 0.281 0.632 15.21 0.265

Table 5 Comparison of domino


Topology 2 input OR gate 4 input OR gate
circuits in terms of power, delay
and UNG for 2 and 4 input OR Avg. power (lW) Delay (pS) UNG Avg. power (lW) Delay (pS) UNG
gates by using FiFET
technology in LP mode FDL 0.287 22.93 0.427 0.3178 24.45 0.401
FLDL 0.269 19.65 0.309 0.2856 19.87 0.276
CKD 0.400 40.80 0.351 0.4138 40.51 0.335
HSD 0.369 9.77 0.413 0.1839 10.56 0.404
LCR 0.305 22.97 0.397 0.3137 23.06 0.382
DFD 0.403 26.16 0.408 0.4203 27.64 0.391
CKCCD 0.269 24.49 0.425 0.2990 25.51 0.409
Proposed 0.279 21.15 0.434 0.3253 22.05 0.421

123
Microsystem Technologies (2018) 24:3341–3348 3347

Table 6 Comparison of domino


Topology 8 input OR gate 16 input OR gate
circuits in terms of power, delay
and UNG for 8 and 16 input OR Avg. power (lW) Delay (pS) UNG Avg. power (lW) Delay (pS) UNG
gates by using FiFET
technology in LP mode FDL 0.377 28.37 0.371 0.5012 36.32 0.343
FLDL 0.308 20.98 0.256 0.3460 20.38 0.224
CKD 0.481 44.88 0.305 0.6304 55.84 0.273
HSD 0.338 12.57 0.361 0.3604 17.91 0.329
LCR 0.344 22.03 0.322 0.4328 23.99 0.273
DFD 0.459 30.78 0.331 0.5577 27.96 0.302
CKCCD 0.344 22.08 0.379 0.4603 23.79 0.323
Proposed 0.323 21.13 0.381 0.3520 22.83 0.356

Fig. 7 Comparison of power MOS FinFET


0.3500
dissipation in MOS and FinFET
of ULP-ST circuit with different 0.3000
Power (µW)

KPR (NMOS and FinFET: 0.2500


W/L = 1, PMOS and FinFET: 0.2000
W/L = 2) 0.1500
0.1000
0.0500
0.0000
0.25 0.50 1.00 1.50 0.25 0.50 1.00 1.50 0.25 0.50 1.00 1.50 0.25 0.50 1.00 1.50

2 Input AND Gate 4 Input OR Gate 8 Input OR Gate 16 Input OR Gate


KPR

Fig. 8 Comparison of delay in MOS FinFET


MOS and FinFET of ULP-ST 40.000
circuit with different KPR 35.000
(NMOS and FinFET: W/L = 1, 30.000
PMOS and FinFET: W/L = 2) 25.000
Dely

20.000
15.000
10.000
5.000
0.000
0.25 0.50 1.00 1.50 0.25 0.50 1.00 1.50 0.25 0.50 1.00 1.50 0.25 0.50 1.00 1.50

2 Input AND Gate 4Input OR Gate 8 Input OR Gate 16 Input OR Gate


KPR

because of the increase in the resistance due to the stack • The pull-down network is disconnected from the output
transistor in the pull down network. The average power inverter to decrease the propagation delay due to lower
saving, delay and PDP between the MOS and FinFET in capacitance on the dynamic node. Also, a small keeper
the same, existing and proposed domino circuits. is sufficient to have a desired robustness.
According the above-mentioned discussions, the pro- • Voltage swing on the pull-down network is reduced
posed circuit has some advantages over the previous works which results in lower power consumption especially in
in some details as follows. wide fan-in gates.
• The switching threshold voltage of the proposed
• In the proposed circuit, the difference between voltages
domino will be approximately twice of the threshold
across the pull down network is used to provide output
voltage of NMOS transistors. Therefore, noise immu-
voltage. Thus, the performance is improved without
nity of the new circuit is significantly improved,
robustness degradation.
especially as fan-in is increased.

123
3348 Microsystem Technologies (2018) 24:3341–3348

Table 7 Comparision of standby power in MOS, and SG, LP mode of Proceedings of IEEE international symposium low power
FinFET technology electronics design
Chun JW, Roger Chen CY (2010) A novel leakage power reduction
Topology Standby power technique for CMOS circuit design. In: Proceedings of IEEE,
pp 119–122
MOS SG mode LP mode
Dadoria AK, Khare K, Gupta TK, Singh RP (2016a) Ultra low power
FDL 0.3777 0.01528 0.01486 high speed domino logic circuit by using FiNFET technology.
Adv Electr Electron Eng 14(1):66–74
FLDL 0.3081 0.01625 0.01798 Dadoria AK, Khare K, Singh RP (2016b) Sleepy lector: a novel
CKD 0.4815 0.05726 0.0508 approach for leakage reduction in DSM technology. In:
HSD 0.2284 0.03251 0.02472 Proceedings of IEEE confluence 2016. Amity University, Noida,
India
LCR 0.3445 0.03596 0.03307
Dadoria AK, Khare K, Gupta TK, Singh RP (2017a) Ultra-low power
DFD 0.4599 0.02831 0.03159 FinFET-based domino circuits. Int J Electron 104:952–967
CKCCD 0.3446 0.03751 0.03752 Dadoria AK, Khare K, Gupta TK, Khare N (2017b) Integrating sleep
Proposed ULP-ST 0.3832 0.01343 0.01537 and pass transistor logic for leakage power reduction in FinFET
circuits. J Comput Electron 16(3):867–874
Dadoria AK, Khare K, Gupta TK, Singh RP (2017c) Leakage
reduction by using FinFET technique for nanoscale technology
circuits. J Nanoelectron Optoelectron 12(3):1–8
Frustaci F, Corsonello P, Perri S, Cocorullo G (2008) High-
• The subthreshold leakage current in the proposed performance noise-tolerant circuit techniques for CMOS
domino is reduced due to increased VTH and decreased dynamic logic. IET Circuits Devices Syst 2(6):537–548
VGS and VDS. Noted leakage reduction results in higher Gong N, Guo B, Lou J, Wang J (2008) Analysis and optimization of
noise immunity. leakage current characteristics in sub-65 nm dual Vt footed
domino circuits. Microelectron J 39:1149–1155
Hisamoto D, Lee W-C, Kedzierski J, Takeuchi H, Asano K, Kuo C,
Anderson E, King T-J, Bokor J, Hu C (2000) FinFET—a self-
aligned double-gate MOSFET scalable to 20 nm. IEEE Trans
5 Conclusion Electron Devices 47(12):2320–2325
Jeyasingh RGD, Bhat N (2008) A low power, process invariant
The leakage current of the evaluation network of dynamic keeper design for high speed dynamic logic circuits. In:
Proceedings of ISCAS, pp 1668–1671
node is dramatically increased with scaling of technology,
Liao N, Cui XX, Liao K, Ma KS, Wu D, Wei W, Li R, Yu DS (2014)
mainly in wide fan-in dynamic-OR gates, reduced noise Low power adiabatic logic based on FinFETs. Sci China 57:1–13
immunity and increased power consumption. So, new (ISSN: 022402:1-022402:13)
designs is use with FinFET to obtain desired noise Lih Y, Tzartzanis N, Walker W (2007) A leakage current replica
keeper for dynamic circuits. IEEE J Solid-State Circuits
robustness in wide fan-in circuits. Also increasing the fan-
42(1):48–55
in not only reducing the worst case delay, it also increased Mahmoodi H, Roy K (2004) Diode-footed domino: a leakage-tolerant
the contention between the keeper transistor and the eval- high fan-in dynamic circuit design style. IEEE Trans Circuits
uation network. Simulation results show that the proposed Syst I Reg Pap 51(3):495–503
Nowak E et al (2004) Turning silicon on its edge. IEEE Circuits
technique using with FinTFET based domino circuit has
Device Mag 51(3):20–31
much lesser contention current, high noise immunity, less Peiravi A, Asyaei M (2012) Robust low leakage controlled keeper by
temperature dependence and lowest power consumption for current comparison domino for wide fan-in gates. Elsevier Integr
wide fan-in OR gates compared to the conventional VLSI J 45:22–32
Peiravi A, Asyaei M (2013) Current-comparison-based domino: new
designs. Proposed ULP-ST saves up to 38% of power
low-leakage high-speed domino circuit for wide fan-in gates.
consumption with ought degradation of the speed of the IEEE Trans Very Larg Scale Integr Syst 21(5):22–32
circuit which improves overall performance of the circuit. Rasouli SH, Dadgour HF, Endo K, Koike H, Banerjee K (2010)
The comparison of proposed domino technique with other Design optimization of FinFET domino logic considering the
width quantization property. IEEE Trans Electro Devices
existing techniques proves it to be efficient in terms of
57(11):2934–2943
power consumption, propagation delay and noise Tawfik SA, Kursun V (2008) Low-power and compact sequential
immunity. circuits with independent-gats FinFETs. IEEE Trans Electro
Devices 55(1):66–70
Tawfik SA, Kursun V (2009) FinFET domino logic with independent
gate keepers. Elsevier Micro Electron J 41:1–10
Wong H-SP, Frank DJ, Solomon PM, Wann CH-J, Welser JJ (1999)
References Nanoscale CMOS. Proc IEEE 87:537–570

Allah MW, Anis MH, Elmasry MI (2000) High speed dynamic logic
circuits for scaled-down CMOS and MTCMOS technologies. In:

123

You might also like