You are on page 1of 46

Predmet: Računarski sistemi

Logičke funkcije
 PREDSTAVLJANJE LOGIČKIH FUNKCIJA
 Kombinacione tablice
 Algebarska predstava
 Karnoove karte

 REALIZACIJA LOGIČKIH FUNKCIJA POMOĆU PREKIDAČKIH MREŽA

 MINIMIZACIJA LOGIČKIH FUNKCIJA POMOĆU KARNOOVIH KARTI

1
Logičke funkcije (1)
Osnovne osobine

 Logičke funkcije se mogu definisati nad proizvoljnim brojem


promenljivih, Y=f(A, B, C,...).

 Vrednosti promenljivih u logičkim funkcijama mogu biti samo iz


skupa {0, 1}.

 Nad promenljivama logičke funkcije izvršavaju se logičke operacije


(I, ILI, NE, ekskluzivno ILI).

 Vrednost logičke funkcije može biti samo iz skupa {0, 1}.

2
Logičke funkcije (2)

Logička funkcija se može predstaviti:

 kombinacionom tablicom ili tablicom istinitosti

 algebarskim izrazom

 Karnoovom kartom

3
Vežbe

4
Sinteza prekidačke mreže

Neka je u algebarskom obliku zadata logička funkcija Y koja zavisi od n


promenljivih međusobno povezanih logičkim operacijama. Ona se može
realizovati prekidačkom mrežom koja:

 ima n ulaza koji odgovaraju logičkim promenljivama i jedan izlaz


koji predstavlja vrednost funkcije Y

 ima onoliko različitih vrsta logičkih kola koliko ima različitih


operacija u funkciji

 ima onoliko logičkih kola jedne vrste koliko ih je potrebno za


obavljanje logičkih operacija te vrste u funkciji

5
Logičko množenje (1)

I (AND) operacija
Kombinaciona tablica Grafički simbol
A B Y
0 0 0 A
0 1 0 Y
B
1 0 0
1 1 1
Y=A·B=AB
6
Logičko sabiranje (1)

ILI (OR) operacija


Kombinaciona tablica Grafički simbol

A B Y
0 0 0 A
Y
0 1 1 B
1 0 1
1 1 1
Y=A+B
7
Komplementiranje (1)

NE (NOT) operacija
Kombinaciona tablica Grafički simbol

A Y
0 1 A Y
1 0

YA

8
Ekskluzivno sabiranje (1)

Ekskluzivno ILI (XOR) operacija


Kombinaciona tablica Grafički simbol

A B Y
0 0 0 A
Y
0 1 1 B
1 0 1
1 1 0
Y  A B
9
Sinteza prekidačke mreže
Primer 6 Funkciju većinske logike realizovati pomoću prekidačke mreže.

Y  A BC  A BC  AB C  ABC
A B C
A B C Y
0 0 0 0 ABC
0 0 1 0
0 1 0 0 A BC
0 1 1 1 Y
1 0 0 0 ABC
1 0 1 1
1 1 0 1 ABC
1 1 1 1
10
Lift kolo, uslovi za pomeranje

Lift
A B C Y
Napraviti logičku funkciju koja će davati 0 0 0 0
signal (logičko 1) kada lift može da krene i
predstaviti je kombinacionom tablicom. 0 0 1 0
0 1 0 0
Koristiti tri logičke promenljive:
0 1 1 0
A - ima vrednost 1 ako su spoljna vrata
lifta zatvorena, a 0 ako su otvorena 1 0 0 1
B - ima vrednost 1 ako su unutrašnja vrata 1 0 1 0
lifta zatvorena, a 0 ako su otvorena 1 1 0 1
C - ima vrednost 1 ako se u liftu neko 1 1 1 1
nalazi, a 0 ako je lift prazan
?

Zadatu logičku funkciju realizovati pomoću prekidačke mreže


Y  AC  ( A  B )  AB C

12
Zadatu logičku funkciju realizovati pomoću prekidačke mreže
Y  AC  ( A  B )  AB C

13
?

Zadatu logičku funkciju realizovati pomoću prekidačke mreže


Y  ( A  B )C  A BC  ( A  C )

14
?

Zadatu logičku funkciju realizovati pomoću prekidačke mreže


Y  ( A  B )C  A BC  ( A  C )

15
?

Zadatu logičku funkciju realizovati pomoću prekidačke mreže


Y  A(C  B )  B (C  A)

16
?

Zadatu logičku funkciju realizovati pomoću prekidačke mreže


Y  A(C  B )  B (C  A)

17
?

Predstavljena prekidačka mreža, reprezentuje sledeću logičku funkciju:


A B C

18
?

Predstavljena prekidačka mreža, reprezentuje sledeću logičku funkciju:


A B C

Y  A(C  B )  B (C  A) Y  A( D  B )  BB (C  A)
Y  A(C  B )  B (C  A) Y  C ( A  B )  B ( B  A) 19
Minimizacija logičkih funkcija

 Jedna logička funkcija se može predstaviti na više različitih načina


koji ne moraju biti jednako pogodni za praktičnu realizaciju.

 Minimizacija logičkih funkcija predstavlja postupak nalaženja


takvog zapisa logičke funkcije na osnovu koga je moguće zadatu
logičku funkciju realizovati pomoću mreže sa najmanjim brojem
logičkih kola.

20
Minimizacija logičkih funkcija

 Metode minimizacije

 grafičke metode – zasnivaju se na vizuelnoj analizi grafički


predstavljene logičke funkcije, jednostavne su i pogodne za funkcije
sa manje promenljivih

 algoritamske metode – koriste algoritme za transformisanje


funkcije, složene su, ali efikasne za funkcije sa više promenljivih

 Od grafičkih metoda minimizacije najčešće se koristi postupak


minimizacije koji se zasniva na primeni Karnoove karte.

21
Minimizacija primenom Karnoove karte

Postupak minimizacije

1. Najpre se na osnovu zadate logičke funkcije formira popunjena


Karnoova karta odgovarajućih dimenzija.

2. Zatim se od polja Karnoove karte u kojima se nalazi vrednost 1


formiraju pravougaone površine poštujući unapred definisana pravila.

3. Na kraju se na osnovu pravougaonih površina formira minimalni zapis


logičke funkcije u obliku sume proizvoda.

22
Pravila za formiranje pravougaonih površina
 Pravougaone površine sadrže samo polja sa vrednošću 1 (polja sa
vrednošću 0 ne mogu pripadati pravougaonoj površini).

 Broj polja u pravougaonoj površini može biti samo 2k, k=0,1,2,..., tj. 1, 2, 4,
8,... polja.

 Pravougaonu površinu mogu da čine samo susedna polja sa vrednošću 1.


Susednim se smatraju i polja u prvoj i poslednjoj koloni karte, kao i polja u
prvoj i poslednjoj vrsti karte.

 Pravougaone površine treba da budu što je moguće veće (da sadrže što
više polja), a njihov broj što manji.

 Prema potrebi, isto polje se može naći u više pravougaonih površina.


23
Postupak formiranja minimalnog zapisa
 Minimalni zapis logičke funkcije se predstavlja u vidu sume proizvoda.
 Suma proizvoda ima onoliko članova (proizvoda) koliko ima pravougaonih
površina, tj. za svaku pravougaonu površinu formira se po jedan proizvod.

 Proizvod za jednu pravougaonu površinu se dobija analizom vrednosti


promenljivih po svim vrstama i svim kolonama u kojima se nalaze polja te
površine:
 ako se kao vrednost neke promenljive (na pr. A) u razmatranim
vrstama/kolonama pojavljuje i 0 i 1, ta promenljiva ne ulazi u proizvod
 ako je vrednost neke promenljive u svim razmatranim vrstama/kolonama 1, ta
promenljiva ulazi u proizvod sa svojom originalnom vrednošću (A)
 ako je vrednost neke promenljive u svim razmatranim vrstama/kolonama 0, ta
promenljiva ulazi u proizvod sa svojom komplementiranom vrednošću (Ā)
24
Minimizacija primenom Karnoove karte
Primer 7 Pomoću Karnoove karte minimizirati logičku funkciju datu sumom proizvoda.

Y  A BCD  ABCD  A BCD  ABCD  ABCD  ABCD  A BCD  A BCD


CD
00 01 11 10
AB
00

01

11

10
25
Minimizacija primenom Karnoove karte
Primer 7 Pomoću Karnoove karte minimizirati logičku funkciju datu sumom proizvoda.

Y  A BCD  ABCD  A BCD  ABCD  ABCD  ABCD  A BCD  A BCD


CD
00 01 11 10
AB
00 1 0 0 1
Rezultat minimizacije:
01 1 0 0 1

11 0 0 1 1

10 0 0 1 1
26
Minimizacija primenom Karnoove karte
Primer 7 Pomoću Karnoove karte minimizirati logičku funkciju datu sumom proizvoda.

Y  A BCD  ABCD  A BCD  ABCD  ABCD  ABCD  A BCD  A BCD


CD
00 01 11 10
AB
00 1 0 0 1
Rezultat minimizacije:
01 1 0 0 1

11 0 0 1 1
Y  A D  AC
10 0 0 1 1
27
Minimizacija primenom Karnoove karte
Primer 8 Pomoću Karnoove karte minimizirati logičku funkciju datu sumom proizvoda.

Y  A BCD  ABCD  ABCD  ABC D  A BC D  ABC D  A BC D


CD
00 01 11 10
AB
00

01

11

10
28
Minimizacija primenom Karnoove karte
Primer 8 Pomoću Karnoove karte minimizirati logičku funkciju datu sumom proizvoda.

Y  A BCD  ABCD  ABCD  ABC D  A BC D  ABC D  A BC D


CD
00 01 11 10
AB
00 0 1 0 1
Rezultat minimizacije:
01 0 1 1 1

11 0 0 0 1

10 0 0 0 1
29
Minimizacija primenom Karnoove karte
Primer 8 Pomoću Karnoove karte minimizirati logičku funkciju datu sumom proizvoda.

Y  A BCD  ABCD  ABCD  ABC D  A BC D  ABC D  A BC D


CD
00 01 11 10
AB
00 0 1 0 1
Rezultat minimizacije:
01 0 1 1 1
Y  A CD  C D  ABC
11 0 0 0 1

10 0 0 0 1
30
Minimizacija primenom Karnoove karte
Primer 9 Pomoću Karnoove katre minimizirati logičku funkciju datu skupom indeksa.

Y (1 )  ( 0 , 2 , 4 , 8 ,10 ,12 ,15 )


CD
00 01 11 10
AB
00

01

11

10
Minimizacija primenom Karnoove karte
Primer 9 Pomoću Karnoove katre minimizirati logičku funkciju datu skupom indeksa.

Y (1 )  ( 0 , 2 , 4 , 8 ,10 ,12 ,15 )


CD
00 01 11 10
AB
00 1 0 0 1
Rezultat minimizacije:
01 1 0 0 0

11 1 0 1 0

10 1 0 0 1
32
Minimizacija primenom Karnoove karte
Primer 9 Pomoću Karnoove katre minimizirati logičku funkciju datu skupom indeksa.

Y (1 )  ( 0 , 2 , 4 , 8 ,10 ,12 ,15 )


CD
00 01 11 10
AB
00 1 0 0 1
Rezultat minimizacije:
01 1 0 0 0
Y  CD  ABCD BD
11 1 0 1 0

10 1 0 0 1
33
Minimizacija primenom Karnoove karte
Primer 10 Minimizirati logičku funkciju datu Karnoovom kartom.

CD
00 01 11 10
AB
00 0 0 1 0
Rezultat minimizacije:
01 1 0 0 1
Y
11 0 0 1 0

10 0 0 1 1
34
Minimizacija primenom Karnoove karte
Primer 10 Minimizirati logičku funkciju datu Karnoovom kartom.

CD
00 01 11 10
AB
00 0 0 1 0
Rezultat minimizacije:
01 1 0 0 1
Y  AB D  ACD  BCD  A BC
11 0 0 1 0

10 0 0 1 1
35
Minimizacija logičkih funkcija (1)
Primer 1
Izvršiti minimizaciju zadatih logičkih funkcija, a zatim tako
dobijene funkcije realizovati pomoću logičkih kola.

a. Y  ABCD  ABCD

b. Y  A B CD  A B CD  A BC D  A BC D  ABC D  A BC D

c. Y (1 )  ( 4 , 5 , 6 , 7 ,13 ,14 ,15 )


d. Y (0)  (1,5,9,12,13)
36
Minimizacija logičkih funkcija (2)
Rezultat minimizacije:
a. Y  ABCD  ABCD
CD Y
00 01 11 10
AB
00 0 0 0 0 A B C D

01 0 0 0 0

11 0 1 1 0

10 0 0 0 0
37
Minimizacija logičkih funkcija (2)
Rezultat minimizacije:
a. Y  ABCD  ABCD
CD Y  ABD
00 01 11 10
AB
00 0 0 0 0 A B C D

01 0 0 0 0 ABD

11 0 1 1 0

10 0 0 0 0
38
Minimizacija logičkih funkcija (3)
b. Y  A B CD  A B CD  A BC D  A BC D  ABC D  A BC D
Rezultat minimizacije:
CD Y
AB 00 01 11 10

00 0 1 0 1 A B C D

01 0 0 0 1

11 0 0 0 1

10 0 1 0 1
39
Minimizacija logičkih funkcija (3)
b. Y  A B CD  A B CD  A BC D  A BC D  ABC D  A BC D
Rezultat minimizacije:
CD Y  BCD  CD
AB 00 01 11 10

00 0 1 0 1 A B C D

01 0 0 0 1 BCD
Y
11 0 0 0 1 CD

10 0 1 0 1
40
Minimizacija logičkih funkcija (4)
c. Y (1 )  ( 4 , 5 , 6 , 7 ,13 ,14 ,15 )
Rezultat minimizacije:
CD Y
00 01 11 10
AB
A B C D
00 0 0 0 0

01 1 1 1 1

11 0 1 1 1

10 0 0 0 0
41
Minimizacija logičkih funkcija (4)
c. Y (1 )  ( 4 , 5 , 6 , 7 ,13 ,14 ,15 )
Rezultat minimizacije:
CD Y  AB  BD  BC
00 01 11 10
AB
A B C D
00 0 0 0 0

01 1 1 1 1 AB

BD
11 0 1 1 1
Y
BC
10 0 0 0 0
42
Minimizacija logičkih funkcija (5)
d. Y (0)  (1,5,9,12,13) Rezultat minimizacije:

CD Y
00 01 11 10
AB
00 1 0 1 1 A B C D

01 1 0 1 1

11 0 0 1 1

10 1 0 1 1
43
Minimizacija logičkih funkcija (5)
d. Y (0)  (1,5,9,12,13) Rezultat minimizacije:

CD Y  BD  A D  C
00 01 11 10
AB
00 1 0 1 1 A B C D

01 1 0 1 1 BD

11 0 0 1 1 AD
Y
10 1 0 1 1
44
Koderi
Primer 3
Realizovati koder 4/2.

A3 A2 A1 A0 Y1 Y0
A3 A2 A1 A0
0 0 0 1 0 0
0 0 1 0 0 1
Y0
0 1 0 0 1 0
1 0 0 0 1 1 Y1

Y0  A1  A3
Y1  A2  A3
45
Dekoderi
Primer 4
Zadatu logičku funkciju realizovati pomoću dekodera.

Y  ABC  A BC  ABC  ABC

A BC
A ABC
B ABC
DEKODER ABC Y
C ABC
3/8 ABC
ABC
ABC

46

You might also like