You are on page 1of 5

IEEE 2015 International 3D Systems Integration Conference

Advanced 2.5D/3D Hetero-Integration Technologies


at GINTI, Tohoku University

K.W. Lee, J.C. Bea, M. Koyanagi T. Fukushima, T. Tanaka


Global Integration Initiative (GINTI) Global Integration Initiative (GINTI)
New Industry Creation Hatchery Center (NICHe) Dept. of Biomedical Engineering
Tohoku University Tohoku University
Sendai, 980-8579, Japan Sendai, 980-8579, Japan
kriss@bmi.niche.tohoku.ac.jp

Abstract— The Global Integration Initiative (GINTI) is 8/12- 2.5D/3D integration technologies into electronic industries to
inch R&D foundry fab for the research and development of new accelerate the commercialization of innovative 3D technologies
2.5D/3D integration technologies and creative applications. and applications into real, manufacturing-ready technology
GINTI offers a broad range of services to meet the mounting solutions.
R&D needs of the semiconductor industry and related industries.
GINTI provides a cost-competitive process development
infrastructure in a manufacturing-like fab environment and a
low-cost, short TAT prototyping of proof of concepts using
commercial/customized 2D chip/wafer, and a base-line process
set-up for the pilot production of creative 3D systems. GINTI
aims to provide Tohoku University`s advanced 2.5D/3D
integration technologies into electronic industries to accelerate
the commercialization of innovative 3D technologies and
applications into real, manufacturing-ready technology solutions
with FAST. This paper introduces advanced 2.5D/3D hetero-
integration technologies developed by GINTI/Tohoku University.

Keywords—3-D heterogeneous integration, chip self-assembly,


backside TSV

I. 8/12-INCH 2.5D/3D INTEGRATION PROCESS FACILITIES


The Global Integration Initiative (GINTI) is 8/12-inch
R&D foundry fab for the research and development of new
2.5D/3D integration technologies and creative applications.
GINTI provides a cost-competitive process development
infrastructure in a manufacturing-like fab environment and a
low cost, short TAT prototyping of proof of concepts using
commercial/customized 2D chip/wafer, and a base-line process
set-up for the pilot production of creative 2.5D/3D systems. Fig.
1 shows 8/12-inch 2.5D/3D integration process facilities at
GINTI. GINTI provide one-stop solution from concept and
design to fabrication and testing. Detail state-of-the
technologies are design, layout and mask making to wafer
thinning, forming of TSV on chip/wafer (front side/backside
TSV), redistribution routing, both side micro-bump formation,
chip/wafer stacking, failure analysis, and reliability testing.
Especially, GINTI can provide 3D stacking LSIs prototype
manufacturing service using commercial/customized 2D chips
by die-level 3D hetero-integration, backside TSV formation Fig.1. 8/12-inch 2.5D/3D integration process facilities at GINTI
and various stacking (CtC CtW, WtW, and self-assembly)
technologies. GINTI support small-volume, special customized In next chapters, we introduce a couple of advanced
3D productions by base-line process set-up for the pilot 2.5D/3D hetero-integration technologies developed by
production to facilitate customer`s product development. GINTI/Tohoku University.
GINTI aims to provide Tohoku University`s advanced

3DIC2015-14 FS2.1
IEEE 2015 International 3D Systems Integration Conference

II. ADVANCED 2.5D/3D HETEROGENEOUS INTEGRATION etching to expose again M1 layer. Next, the deep trench is
TECHNOLOGIES filled with Cu by electroplating after the formation of barrier
and sees metal layers. Re-distribution layer (RDL) is formed on
the backside. Then metal bumps are formed on the RDL by
(A) TSV (through-silicon via) formation technology electroplating process. Finally, the support wafer is de-bonded
from the thinned LSI wafer.
TSV formation is a key technology for the 3D-IC
fabrication, because TSV is an important factor to determine
3D-IC performance. We have developed a couple of TSV
(B) Self-assembly and electrostactic (SAE) bonding
formation technologies as shown in Fig. 2 ; poly-Si TSV with
technologies
2.5μm dia. for via first approach [1], CVD-W TSV with 0.7μm
dia. [2], electroplated Cu TSV (front via) with 3μm dia. for via A new 3D hetero integration technology using self-
middle approach, and electroplated Cu TSV (back via) with assembly and electrostactic (SAE) bonding has been developed,
7μm dia. for via last approach [3], respectively. which can provide high production throughput. This
technology has been named reconfigured wafer-to-wafer
(RW2W) 3D integration technology [6-9]. Fig. 3 shows the
configuration of hybrid 3D integration technology using SAE
bonding techniques.

Fig.2. Various TSV formation technologies with applications

GINTI mainly focus on via-last backside TSV approach,


because it has attracted attention as a better solution to
heterogeneously integrate different function, size, and material
devices, with high flexibility to apply commercial chip/wafer, Fig.3. Configuration of hybrid 3D integration technology using self-assembly
better reliability, and lower cost process [4-5]. Fig. 3 shows the and electrostatic (SAE) temporary bonding techniques.
configuration of via-last backside TSV fabrication process.
More than several hundred known good dies (KGDs),
which are sorted from several device wafers, are temporary
bonded onto an electrostatic carrier (e-carrier) wafer by
electrostatic force with a high alignment accuracy of 1μm by
self-assembly methods. The surface tension of a liquid is
utilized in self-assembly to simultaneously align many dies in
parallel. Hydrophilic areas and hydrophobic areas are formed
on the surface of SAE carrier wafer. Many liquid droplets are
simultaneously supplied on the hydrophilic areas (bonding
areas), and then many KDGs are simultaneously supplied onto
the hydrophilic areas in the self-assembly process with high
alignment accuracy. After chip self-assembly and evacuation of
liquid droplets, the electrostatic force is generated by applying
Fig.3. Configuration of via-last backside TSV fabrication process a high voltage of 200 V to the electrodes embedded in the e-
carrier for temporary bonding of many chips. This SAE carrier
wafer, which consists of many KGDs, is called a reconfigured
Incoming LSI device wafer with metal bumps is temporarily wafer, and it exhibits very high production yield because of the
bonded onto a support wafer. Then the Si substrate is thinned KGDs. Another reconfigured wafer is fabricated by
to target thickness from the backside by grinding and CMP simultaneously by bonding many KGDs onto another carrier
processes. After via patterning on the grinded surface, the deep wafer. Many KDGs are de-bonded from the SAE carrier by
Si trench is formed from the backside by reactive-ion-etching applying a voltage with opposite polarity then transferred to a
(RIE) process until to expose the first level metallization layer target interposer/LSI wafers. This RW2W integration
(M1). The oxide liner is deposited into via holes and the technology can give high production throughput and low cost.
bottom oxide liner in via hole is selectively etched by dry The temporary bonding and de-bonding processes are very

FS2.2 3DIC2015-15
IEEE 2015 International 3D Systems Integration Conference

important steps in RW2W integration technology. Fig. 4 shows


the photograph of simultaneously hetero-integrated chips with
different sizes on 8-inch interposer by SAE bonding
technology

Fig.6. Photograph of microelectromechanical system (MEMS) chip stacked on


CMOS chip by chip self-assembly and sidewall interconnection technologies

Fig. 6 shows a 3D stacked MEMS-LSI module where a CMOS


chip is stacked on an LSI wafer and a pressure sensor MEMS
chip is integrated onto the CMOS chip by chip self-assembly
technique. Fig.7 shows the magnified optical image of the
Fig.4. The photograph of simultaneously hetero-integrated chips with different heterogeneous MEMS–LSI multi-chip module where LSIs,
sizes on 8-inch interposer by SAE bonding technology passives and MEMS chips were integrated onto the surface of
the electrical interposer. LSIs and passives chips with 100µm
(C) Opto-electronics 3D hetero-integration technology thickness were connected by Cu-sidewall interconnection and a
MEMS chip with 350µm thickness was connected by the
A very attractive feature in 3D LSI is the capability of cavity-chip interconnection.
heterogeneous integration. Especially, heterogeneous
integration involving CMOS, MEMS, and photonic circuits has
attracted much attention owing to its multi functionality, high-
speed communication, and low power consumption. Such
heterogeneous 3D integration provides the possibility to
achieve new functional LSIs [10]. Typical examples of 3D
hetero-integration are the 3D hetero-integrated opto-electronic
module using 2.5D Si interposer with embedded sensor chips,
TSVs and micro-fluidic channels and organic substrate with
optical waveguide (Fig. 5) [11-12], the integration of MEMS
chip on CMOS chip by chip self-assembly and sidewall
interconnection technologies (Fig. 6) [13-14], and the
integration of an optoelectronic chip on a CMOS chip (Fig. 8)
[15].
Fig.7. The optical image of the heterogeneous MEMS–LSI multi-chip module
that was integrated onto the surface of the electrical interposer

Fig.5. Concept of 3D hetero-integrated opto-electronic module using 2.5D Si


interposer with embedded sensor chips, TSVs and micro-fluidic channels and
organic substrate with optical waveguide

Fig. 5 shows a 3D hetero-integrated opto-electronic module


using 2.5D Si interposer. Image sensor stacked on ADC chip is
for high-performance image-processing. An accelerometer Fig.8. Configuration of 3D optoelectronic LSI, where optoelectronic chip
MEMS sensor, optical sensor, and radio-frequency integrated stacked on CMOS chip (left upper figure). Cross-sectional view of through-Si
photonic via (TSPV) and through-Si via (TSV) (left lower figure), conceptual
circuits (RF ICs) are for high-sensitive sensing of the high structure (right upper figure) and surface views (right middle figure) of optical
moving speed. 3D memory and 3D processor are for high- grating coupler with a mirror, and 2D map of optical energy propagated from
performance data computing. Optical interconnection TSPV to optical grating coupler where the red color indicates higher energy
facilitates high-speed data transmission networking. Micro- (simulation) (right lower figure)
fluidic channels assist in heat sinking from high-power LSIs.

3DIC2015-16 FS2.3
IEEE 2015 International 3D Systems Integration Conference

Fig. 8 show a 3-D optoelectronic LSI, where an optoelectronic


integrated circuit chip with Si photonic devices is stacked on
CMOS chips. The respective chip layers are vertically
connected by both optical interconnections (TSPV, through-Si
photonic vias) and electrical interconnections (TSV, through-Si
vias). A Si optical waveguide with an oxide cladding layer is
used as a TSPV. In order to fabricate TSV and TSPV, a
cylindrical deep trench and a ring-type deep trench are
simultaneously formed by RIE. Then The Cu-TSV is formed
by the process described earlier, whereas the Si-TSPV is
formed by filling the ring-type deep trench with silicon oxide
or organic material. Thus, the Si-TSPV and Cu-TSV are
simultaneously fabricated. We confirmed that an optical signal
is effectively guided by the TSPV. An optical grating coupler
with a mirror was used to change the propagation direction of Fig.10. Photograph of fabricated 3D stacked image sensor chip with 3 tires
the optical signal. A high coupling efficiency of more than (CIS, CDS, ADC layers)
80% in this optical grating coupler was obtained, which is
sufficient for high speed optical data transfer. Continuous
propagation of the optical signal from the vertical TSPV to the Fig. 11 show SEM cross-section (a) and X-Ray CT scan image
horizontal Si waveguide through the optical grating coupler has (b) of fabricated 3D stacked image sensor with 4-layers. A
been confirmed. number of Cu TSVs with 5μm dia. and 50μm length, RDLs,
metal micro-bumps, and BEOL interconnects are clearly seen
[18].
(D) Die-level 3D hetero-integration technology
To realize new architecture 3D hetero-integrated systems
with low-cost, high flexibility, and rapid prototyping time, we
have developed a die-level 3D integration technology. Fig. 9
shows a novel die-level 3D integration technology for high
performance, multi-functionality hetero-integrated systems.
The commercially available 2D chips with different functions
and sizes such as those of sensor, logic, and memories with
different functions and sizes, which were fabricated by
different technologies, are processed to form TSVs and metal
micro-bumps and integrated to form a 3D stacked chip in die-
level. Fig.11. SEM cross-section (a) and X-Ray CT scan image (b) of fabricated 3D
stacked image sensor with 4-layers

CONCLUSIONS
GINTI support electronic industry partners to accelerate the
commercialization of innovative 3D technologies and
applications into real, manufacturing-ready technology
solutions by providing Tohoku University`s advanced 2.5D/3D
hetero-integration technologies such as novel RW2W
integration using SAE bonding technique, 2.5D interposer,
backside TSV, die-level 3D hetero-integration, and opto-
electronics 3D hetero-integration using cost-competitive
process infrastructure in a manufacturing-like fab environment.
Fig.9. Schematic of die-level 3-D integration technology for hetero-integrated
systems using different functional chips
REFERENCES
[1] T. Matsumoto, Y. Kudoh, S. Yokoyama, S. Horiuch, H. Itani,T.
Fig.10 shows the photograph of fabricated 3D stacked image Ichikizaki, A. Fujiwara, H. Tsukamoto, and M. Koyanagi, “Three-
sensor chip comprising 3 tires of CIS, CDS, and ADC chips dimensional integration technology based on wafer bonding technique
[16-17] for high speed sensing image sensor system by die- using micro-bumps”, Proc. Int. Conf. Solid State Devices and Materilas
(SSDM), pp.1073-1074, 1995
level 3D hetero-integration technology.
[2] Y. Igarashi, T. Morooka, Y. Yamada, T. Nakamura, K.W.Lee, K.T. Park,
H. Itani, and M. Koyanagi, “Filling of tungsten into deep trench using
time-modulation CVD method”, Proc. Int. Conf. Solid State Devices and
Materilas (SSDM), pp.34-35, 2001

FS2.4 3DIC2015-17
IEEE 2015 International 3D Systems Integration Conference

[3] Y. Kaiho, Y. Ohara, H. Takeshita, K. Kiyoyama, K-W Lee, T. Tanaka, Electronic Integration Technology for System-on-Silicon (SOS)", IEEE
and M. Koyanagi, "3D Integration Technology for 3D Stacked Retinal International Electron Devices Meeting Technical Digest (IEDM),
Chip", IEEE International 3D System Integration Conference, Sep. 29, pp.531-534, 2009
2009 [12] Kang-Wook Lee, Akihiro Noriki, Kouji Kiyoyama, Takafumi
[4] K-W Lee, Y. Ohara, K. Kiyoyama, S. Konno, Y. Sato, S. Watanabe, A. Fukushima, Tetsu Tanaka and Mitsumasa Koyanagi, "3D Hybrid
Yabata, T. Kamada, J-C Bea, H. Hashimoto, T. Fukushima, T. Tanaka, Integration Technology of CMOS, MEMS and Photonic Circuits for
and M. Koyanagi, "Characterization of Chip-level Hetero-Integration Opto-Electronic Heterogeneous Integrated Systems", IEEE Transaction
Technology for High-Speed, Highly Parallel 3D Stacked Image on Electron Devices, Vol.58, No.3. pp. 748-757, 2011
Processing System", IEEE International Electron Devices Meeting [13] K-W Lee, S. Kanno, Y. Ohara, K. Kiyoyama, J-C. Bea, T. Fukushima, T.
(IEDM), pp.785-788, 2012 Tanaka, and M. Koyanagi, "Hetero-Integration Technology for MEMS-
[5] K-W. Lee, H. Hashimoto, M. Onishi, Y. Sato, M. Murugesan, J-C Bae, LSI Multi-Chip Module", IEEE International 3D System Integration
T. Fukushima, T. Tanaka, and M. Koyanagi, "A Resilient 3-D Stacked Conference, Sep. 28, 2009
Multicore Processor Fabricated Using Die-Level 3-D Integration and [14] Kang-Wook Lee, Soichiro Kanno, Kouji Kiyoyama, Takafumi
Backside TSV Technologies", IEEE 64th Electronic Components and Fukusima, Tetsu Tanaka and Mitsumasa Koyanagi, "A Cavity Chip
Technology Conference (ECTC), pp. 304-308, 2014 Interconnection Technology for Thick MEMS Chip Integration in
[6] T. Fukushima, H. Kikuchi, Y. Yamada, T. Konno., J. Liang, K. Sasaki, MEMS-LSI Multi-Chip Module", IEEE J. Microelectromechanical
K. Inamura, T. Tanaka, M. Koyanagi, IEEE IEDM Tech. Dig. 985–988, Systems, Vol.19, No. 6, pp.1284-1291, 2010
2007 [15] Akihiro Noriki, Kang-Wook Lee, Ji-Cheol Bae, Takafumi Fukushima,
[7] Takafumi Fukushima, Eiji Iwata, Yuki Ohara, Akihiro Noriki, Kang- Tetsu Tanaka and Mitsumasa Koyanagi, "Through-Silicon Photonic Via
Wook Lee, Jicheol Bea, Tetsu Tanaka, and Mitsumasa Koyanagi, and Unidirectional Coupler for High-Speed Data Transmission in
"Three-Dimensional Integration Technology Based on Reconfigured Optoelectronic 3-D LSI ", IEEE Electron Device Letters, vol.33, no. 2,
Wafer-to-Wafer Stacking Using Self-Assembly Method", IEEE 221-223, 2012
International Electron Devices Meeting Technical Digest (IEDM), [16] K. Kiyoyama, Y. Ohara, K-W Lee, T. Fukushima, T. Tanaka, and M.
pp.349-352, Dec.,8, 2009 Koyanagi, "A Parallel ADC for High Speed CMOS Image Processing
[8] 20. T. Fukushima, K-W. Lee, M. Koyanagi, "Non-Conductive Film and System with 3D Structure", IEEE International 3D System Integration
Compression Molding Technology for Self-Assembly-Based 3D Conference, Sep. 28, 2009
Integration", IEEE Electronic Components and Technology Conference [17] Kang-Wook Lee, Yuki Ohara, Kouji Kiyoyama, Ji-Cheol Bea,
(ECTC), pp. 393-398, 2012 Mariappan Murugesan, Takafumi Fukushima, Tetsu Tanaka, and
[9] 21. T. Fukushima, K-W. Lee, T. Tanaka, and M. Koyanagi, "3D Mitsumasa Koyanagi, " Die-level 3-D Integration Technology for Rapid
Integration Based on Self-Assembly with Electrostatic Temporary Prototyping of High Performance, Multi Functionality Hetero-Integrated
Multichip Bonding", IEEE Electrical Components Technology Systems ", IEEE Transactions on Electron Devices, vol.60, no.11, Nov.,
Conference (ECTC), pp.58-63, 2013 pp. 3842-3848, 2013
[10] Engström, J. et al, “The Challenges of Future Automotive HMI Design: [18] K.W. Lee, M. Murugesan, J. Beal, T. Fukushima, T. Tanaka, M.
Overview of the AIDE Integrated Project“, Proceedings on ITS in Koyanagi, "Characterization and Reliability of 3D LSI and SiP", IEEE
Europe, 2004 International Electron Devices Meeting (IEDM), Washington, DC,
[11] K-W Lee, A. Noriki, K. Kiyoyama, S. Kanno, W-C Jeong, T. December 9-11, pp. 176-179, 2013
Fukushima, T. Tanaka, M. Koyanagi, "3D Heterogeneous Opto-

3DIC2015-18 FS2.5

You might also like