You are on page 1of 149

Thin film deposition methods

Two main deposition methods are used today:

Chemical Vapor Deposition (CVD)


Reactant gases introduced in the chamber, chemical reactions occur on wafer
surface leading to the deposition of a solid film.
E.g. APCVD, LPCVD, PECVD, most commonly used for dielectrics and Si.

Physical Vapor Deposition (PVD) (no chemical reaction involved)


Vapors of constituent materials created inside the chamber, and condensation
occurs on wafer surface leading to the deposition of a solid film.
E.g. evaporation, sputter deposition, most commonly used for metals.

Other methods that are increasingly gaining importance in ULSI fabrication:


1. Coating with a liquid that becomes solid upon heating, e.g. spin-on-glass
used for planarization.
2. Electro-deposition: coating from a solution that contains ions of the species to
be coated. E.g. Cu electroplating for global interconnects.
3. Thermal oxidation.
1
General characteristics of thin film deposition
• Deposition rate
• Film uniformity:
• Across wafer uniformity.
• Run-to-run uniformity.
• Materials that can be deposited: metal, dielectric, polymer.
• Quality of film:
• Physical and chemical properties
• Electrical property, breakdown voltage
• Mechanical properties, stress and adhesion to substrate
• Optical properties, transparency, refractive index
• Composition, stoichiometry
• Film density, defect (pinhole…) density
• Texture, grain size, boundary property, and orientation
• Impurity level, doping
• Deposition directionality:
• Directional - good for lift-off, trench filling
• Non-directional - good for step coverage
• Cost of ownership and operation.
2
Step coverage
conformal non-
conformal

Poor (non-conformal) step coverage is good for


liftoff.
Conformal film is good for electrical connection…

Figure 9-1 Step coverage of metal over non-planar topography.


(a) Conformal step coverage, with constant thickness on horizontal and vertical surface
(b) Poor step coverage, here thinner for vertical surfaces. 3
Thin film filling of holes/trenches

Aspect ratio (AR):


AR = feature
height/width AR = h/w

Figure 9-2 Thin film filling issues.


(a) Good metal filling of a via or contact in a dielectric layer.
(b) Silicon dioxide filling of the space between metal lines, with poor filling
leading to void formation.
(c) Poor filling of the bottom of a via hole with a barrier or contact meal.

Voids in a chemical vapor


deposition (CVD) oxide layer for
narrow spaces between metal
lines.
Figure 9-3 More difficult to fill without void for
4
higher aspect ratio.
Four equilibrium growth/deposition modes

5
Basic Nanotechnology Processes

Chemical Vapor Deposition


Chemical Vapor Deposition (CVD)

CVD : deposit film through


chemical reaction and surface
absorption.

CVD steps:
• Introduce reactive gases to the chamber.
• Activate gases (decomposition) by heat or plasma.
• Gas absorption by substrate surface .
• Reaction take place on substrate surface, film
firmed.
• Transport of volatile byproducts away form
substrate. 7
Chemical vapor deposition (CVD) systems

Atmospheric cold-wall system


used for deposition of epitaxial
silicon.
(SiCl4 + 2H2 ® Si + 4HCl)

Low pressure hot-wall system


used for deposition of
polycrystalline and amorphous
films, such as poly-silicon and
silicon dioxide.

Figure 9-4
8
CVD advantages and disadvantages
(as compared to physical vapor
deposition)
Advantages:
• High growth rates possible, good reproducibility.
• Can deposit materials which are hard to evaporate.
• Can grow epitaxial films. In this case also termed as “vapor phase epitaxy
(VPE)”. For instance, MOCVD (metal-organic CVD) is also called OMVPE
(organo-metallic VPE).
• Generally better film quality, more conformal step coverage (see image below).
Disadvantages:
• High process temperatures.
• Complex processes, toxic and corrosive gasses.
• Film may not be pure (hydrogen incorporation…).

9
Types of CVD reactions
• Thermal decomposition
AB(g) ---> A(s) + B(g)
Si deposition from Silane at 650oC: SiH4(g) → Si(s) + 2H2(g)
Ni(CO)4(g) ® Ni(s) + 4CO(g) (180oC)
• Reduction (using H2)
AX(g) + H2(g) ® A(s) + HX(g)
W deposition at 300oC: WF6(g) + 3H2(g) ® W(s) + 6HF(g)
SiCl4(g) + 2H2(g) ® Si(s) + 4HCl (1200oC)
• Oxidation (using O2)
AX(g) + O2(g) ® AO(s) + [O]X(g)
SiO2 deposition from silane and oxygen at 450oC (lower temp than
thermal oxidation): SiH4(g) + O2(g) ---> SiO2(s) + 2H2(g)
2AlCl3(g) + 3H2(g) + 3CO2(g) ® Al2O3 + 3CO + 6HCl (1000oC)
(O is more electronegative than Cl)
• Compound formation (using NH3 or H2O)
AX(g) +NH3(g) ® AN(s) + HX(g) or AX(g) + H2O(g ) ® AO(s) + HX(g)
Deposit wear resistant film (BN) at 1100oC: BF3(g) + NH3(g) ® BN(s) +
3HF(g)
(CH3)3Ga(g) + AsH3(g) ® GaAs(s) + 3CH4 (650 – 750oC)
10
Chemical reactions for silicon epitaxial growth

SiH 4 Þ Si + 2 H 2
SiCl4 + H 2 Û SiHCl3 + HCl
SiHCl3 + H 2 Û SiH 2Cl2 + HCl
T(K)

SiHCl3 Û SiCl2 + HCl


SiH 2Cl2 Û SiCl2 + H 2
SiH 2Cl2 Û Si + 2 HCl
SiCl2 + H 2 Û Si + HCl
Pressure of SiCl4 (atm) (HCl etches Si at high T, which is
used to prepare electronic grade
Si)
Except SiH4 decomposition, ALL other reactions are reversible.
Which direction (etching of Si or growth of Si) to go depends on the
partial pressures of the reactants and temperature.

11
Thermal (not plasma-enhanced) CVD
films

(Al2O3)

12
CVD sources and substrates

• Types of sources
o Gasses (easiest)
o Volatile liquids
o Sublimable solids
o Combination
• Source materials should be
o Stable at room temperature
o Sufficiently volatile
o High enough partial pressure to get good growth rates
o Reaction temperature < melting point of substrate
o Produce desired element on substrate with easily
removable by-products
o Low toxicity
• Substrates
o Need to consider adsorption and surface reactions
o For example, WF6 deposits on Si but not on SiO2

13
Types of CVD
APCVD (Atmospheric Pressure CVD), mass transport limited growth rate, leading
to non-uniform film thickness.
LPCVD (Low Pressure CVD)
• Low deposition rate limited by surface reaction, so uniform film thickness
(many wafers stacked vertically facing each other; in APCVD, wafers have to
be laid horizontally side by side.
• Gas pressures around 1-1000mTorr (lower P => higher diffusivity of gas to
substrate)
• Better film uniformity & step coverage and fewer defects
• Process temperature ³500°C
PECVD (Plasma Enhanced CVD)
• Plasma helps to break up gas molecules: high reactivity, able to process at
lower temperature and lower pressure (good for electronics on plastics).
• Pressure higher than in sputter deposition: more collision in gas phase, less
ion bombardment on substrate
• Can run in RF plasma mode: avoid charge buildup for insulators
• Film quality is poorer than LPCVD.
• Process temperature around 100 - 400°C.
MOCVD (Metal-organic CVD, also called OMVPE - organo metallic VPE), epitaxial
growth for many optoelectronic devices with III-V compounds for solar cells, 14
lasers, LEDs, photo-cathodes and quantum wells.
Types of CVD

and epitaxy Si…

(can have
high (can be higher)
deposition
rate)

For R&D, PECVD is most popular, followed by LPCVD.

15
• Typical LPCVD systems/hardware
• Top down applications
– 2D materials (thin films, VS growth)
• Bottom up applications
– 1D materials (nanowires, VLS growth)
LPCVD
• Low Pressure Chemical Deposition (LPCVD) is
a term applied to thermally driven CVD done at
low pressures.
• The combination of available chemistry,
temperature, and substrate control the rate of
deposition.
LPCVD
• A widely used chemical vapor deposition
tool that can be used for top down or
bottom up processing.
• Lower pressures are utilized to control
reactions and film uniformity.
• Heat is used to initiate and increase
reaction rates, i.e. processes are activated
(αe-∆/kT).
Form and Function
• LPCVD generally operates under medium
vacuum (0.1 ~ few Torr).
• Medium vacuum increases the diffusivity of
the reactant gas molecules.
• Since the reaction is not limited by the
availability of gases at the substrate’s surface,
the chamber can be designed to optimize
substrate capacity, and increase throughput.
• Typically a recipe can take hours. This is not
economically feasible for a single substrate,
so batch processing occurs in most systems.
LPCVD Reactor
• Hot wall reactors are favored because
they provide uniform temperature over a
large operating length.
• Growth rate decreases further from the
gas inlets. Increased temperature in the
further regions of the tubes creates greater
uniformity on the substrate.
• The chemistry and heat are balanced to
provide uniformity across the substrate
and the batch.
Batch LPCVD Horizontal
Reactor
Pressure gauge
Three zone heating element
Insulation
Quartz Tube
(Chamber)

Gas Flow Exhaust spent


gas to pump

Boat
Internal (profile)
Thermocouples

Gas inlet External Thermocouples


Public Domain: Image Generated by CNEU Staff for free use, 2009.
Batch LPCVD Vertical Reactor
Insulation Outer Quartz tube
Inner Quartz Tube
Boat

Heating Elements
Wafers

External Thermocouples

Internal (profile) Pressure gauge


Thermocouples
Exhaust spent
gas to pump Gas Inlet Public Domain: Image Generated by CNEU
Staff for free use, 2009.
Horizontal versus Vertical reactors
• Vertical reactors tend to have less
particulate problems.
• It is more difficult ($) to control
temperature in a vertical configuration.
Typical LPCVD

Public Domain: Image Generated by CNEU Staff for free use, 2009.
LPCVD
• The system shown on the last slide is a typical horizontal
hot wall batch processing furnace, with three
temperature zones and quartz reactor tube.
• Substrates are closely packed vertically.
• Instead of diluted gases, low pressures are used to
control gas phase nucleation.
– 0.1 ~ few Torr.
– Does not have an automatic loader (cost reducing).
• The design is very similar to the conventional process
furnace. The furnace can be configured for atmospheric
oxidation, and annealing with inert or reactive gas.
Typical Gas Scrubber
• Delatech 857 Controlled Decomposition /
Oxidation System (Gas Burner) Scrubber
– Known as a CDO (Controlled
Decomposition/Oxidation Unit).
– Uses a two stage process in which the exhaust is first
oxidized at high temperatures (around 850oC).
– Scrubbed with water to remove particulates and
mineral acids. This type of system requires a waste
water stream of about two gallons per minute.
Automate Low Pressure Chemical Vapor
Deposition

Automate Low Pressure CVD System


How It Works: A gas or vapor precursor is transformed into solids
such as thin films, powders, or various structured materials inside a Hydride Gases Diborane, Phosphine, Silane
reactor. Temperature and pressure are controlled to tailor the
deposition results. Other Gases Nitrogen, Hydrogen, Argon,
Methane, Ethylene
Material / Applications: Chemical vapor deposition (CVD) is a Tube Dimensions 25mm diameter x 200mm
versatile technique often used in the semiconductor industry for single zone reaction chamber
deposition of material on various substrates such as silicon nitride.
It has also been used to produce carbon fibers, filaments, and Vacuum Base Pressure 20 mTorr
tubular carbon materials for many years. Recently, CVD has been
Pump Description Rotary Vacuum Pump
used to synthesize a variety of nanostructured materials, including
carbon nanotubes and nanowires composed of various materials.

~cost $120,000 tool only. A complete


system price is approximately double this.
Public Domain: Image Generated by CNEU Staff for free use, 2009.
Automate LPCVD

Public Domain: Image Generated by CNEU Staff for free use, 2009.
Screen Capture of the Automate LPCVD Control Screen

Public Domain: Image Generated by CNEU Staff for free use, 2009.
Gas Detection LPCVD
• Air samplers
• Hydride reactive tape
• Audible and visual alarms
• Readings continuously taken/stored.

Public Domain: Image Generated by CNEU Staff for free use, 2009.
Gas Detection for a Typical
LPCVD
Side view of tape and detection system

Pressure Transducer Port Air Sample Outlet

Chemical Tape
LED Light Source
Top view of tape
Air Sample Inlet

Photodetector Electronics
4
3
2
1

Public Domain: Image Generated by CNEU Staff for free use, 2009.
Gas Detection for LPCVD
• There are six air samplers connected to the monitoring tape
placed throughout the gas delivery system, the tool, and the
room.
• When a hydride gas comes in contact with the monitoring
tape, a chemical reaction takes place changing the optical
properties of the tape in the position corresponding to the air
sampler where the leak is occurring.
• A sensor recognizes the change in the optical properties of
the tape and triggers the audible and visible alarms.
• The systems saves the concentration and type of gas, as well
as its position on the tape, in memory until it is cleared.
• Currently the system is set up to recognize a concentration of
1ppb of hydride gas.
• Each Unit is ~$15,000 with an annual maintenance contract of
$5,000/year (2008).
• Each tape cassette is replaced every 3 months at a cost of
~$1,600/year (4 test points).
Gas Scrubber for Small Volume
LPCVD
The scrubber is
intended for the
conversion of hydride
materials from the
incoming gas stream
into non-hazardous
materials. Scrubbers
can be designed for
any other specific
chemistry. Each scrubber canister is ~$8,500/year to maintain
NovaSafe webpage

NovaSafe Product Info

Atomate Scrubber System Operator Manual. Atomate Corporation. 2006


Vapor Solid (VS) Growth
• The Vapor Solid mode is used to describe thin film
growth on a substrate.
• The length of time it takes for a CVD reaction to occur is
limited by the slowest step.
• Mass-transport limited, refers to the limitation of the
speed of CVD by the availability of process gases.
• Reaction rate (or kinetics) limited, refers to the limitation
of the speed of CVD by the chemical reaction on the
surface of the substrate.
Thin Film Growth Sequence
• Thin film growth occurs when island
clusters coalesce, or combine, eventually
forming a continuous film.

Public Domain: Image Generated by CNEU Staff for free use, 2009.
What happens on the
deposition surface?
Adatom: An atom intended to be adsorbed and incorporated on
the surface.

Vapor deposition consists of the following basic atomic processes


in sequence:

1. Physisorption: Adsorption of the arriving atoms (molecules)


on the deposition surface via weak forces (van der Waals
attraction).
2. Surface diffusion: Diffusion of the physisorbed species on
the surface before they get incorporated into the film.
3. Chemisorption: Reaction of the physisorbed species with
each other and the deposition surface to form the bonds of
the film material (incorporation) ® nucleation and growth.
Six Steps in VS Growth
1. Rapid diffusion of growth species (such as vapor or liquid phase)
to the growing surface.
2. Adsorption and desorption of growth species onto and from the
growing surface. This step may be rate limiting if the concentration
of growth species is low. (mass transport).
3. During surface diffusion, an absorbed specie may either be
incorporated into the growth site, and become part of the crystal, or
escape from the surface.
4. The absorbed growth species are irreversibly incorporated into the
crystal structure when supersaturation of the growth species
occurs. This step is always rate liming and determines the growth
rate. (kinetics).
5. By-products of the growth reaction will desorb from the surface,
allowing more growth species to adsorb to the surface, continuing
growth.
6. By-products diffuse away from the surface and are evacuated from
the growth chamber.
C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.
Imperial College Press. London 2007
Six Steps in VS Growth

6.Deffusion of
by-products

1.Precursor
Precursor
diffusion
desorption 5.Desorption of
Stagnant layer reaction by-products
3. Surface
diffusion

2.Adsorption of film precursor 4.Nucleation Film growth


and island growth
Reactant 1 Reactant 2 Byproduct of Reaction
Public Domain: Image Generated by CNEU Staff for free use, 2009.
Rate-Limiting Steps in VS Growth
• When adsorption of the growth species to
the growth surface is rate-limiting, the
condensation rate, J (atoms/cm2sec), is
directly proportional to the vapor pressure
P, of the growth species in the vapor.
The condensation rate, J is dependent on
the number of growth species absorbed
onto the growth surface.

C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.


Imperial College Press. London 2007
Rate-Limiting Steps in VS Growth
• Accommodation Coefficient (α): the
fraction of impinging growth species that
becomes accommodated on the growing
surface. This is a surface specific
property e.g.;
• Material morphology, chemical affinity,
orientation, planarity, etc.
• A surface with a high α will have a high
growth rate as compared with a low α.

C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.


Imperial College Press. London 2007
Rate-Limiting Steps in VS Growth
• The Condensation rate can be calculated by:

• Where:
• α = accommodation coefficient
• σ = the supersaturation of the growth species in vapor
(P-P0)/P0
• P0 = the equilibrium vapor pressure of the crystal
• m = atomic weight of the growth species
• k = Boltzmann constant
• T = temperature
C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.
Imperial College Press. London 2007
Defects in VS Growth
• A high vapor pressure (or high concentration) of
growth species in the vapor phase will increase
the deposition rate and probability of defect
formation, such as impurity inclusion and stack
faults.
• A high vapor pressure may cause secondary
nucleation or homogeneous nucleation on the
growth surface, which can effectively terminate
homogeneous single crystal growth.

C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.


Imperial College Press. London 2007
Defects in VS Growth
Adsorption Limited Process Surface Growth Limited Process

Growth Rate

Concentration of growth spices on surface


Growth curve depicting the growth rate and reactent growth spices concentration on
the surface relationship between.
When concentration of the growth spices are low the growth rate is primarily
adsorption limited, and so the growth rate is linear. However, when concentration of
the growth spices is high the growth rate becomes independent of the reactant
growth spices concentration and surface reaction becomes the limiting step.
Public Domain: Image Generated by CNEU Staff for free use, 2009.aaaaa
Surface Reactions
• The time a growth species takes to absorb to the growth
surface and either react with the surface, or diffuse away from
the surface without reacting is called the residence time (τs).
• This can be thought of as a race between physisorption,
desorption, and chemisorption. Typically this is ~10-12 sec.
• Residence time can be calculated by:

• Where:
• v = Vibrational frequency of the adatom
• Edes = desorption energy required for the growth species
escaping back to vapor.
• k = Boltzmann constant
• T = Temperature
C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.
Imperial College Press. London 2007
Surface Reactions
0 Transition States
Typical Ep
in KJ/mol

-50

Trapped State Trapped State

-500

Physisorption Chemisorption

Surface diffusion takes place by the hopping of an atom (molecule) from a


physisorption state into an adjacent one. The atom (molecule) is weakly trapped
in each physisorption state by a potential well due to the dipole interaction.
Hopping requires thermal energy to overcome this potential barrier and so it is
thermally activated. The end result is a reduction in energy of the adatom and
material growth.
Public Domain: Image Generated by CNEU Staff for free use, 2009.
VS Growth

Note, that the reaction is related to Gibbs


free energy, and the available surface
energy.
Public Domain: Image Generated by CNEU Staff for free use, 2009.
VS Growth

The step morphology (screw dislocation)


provides energetically favorable sites for a
reduction in the Gibbs free energy of an
adatom.
Public Domain: Image Generated by CNEU Staff for free use, 2009.
VS Silicon Dioxide 2D Materials
• LPCVD oxides are used for:
– Biological applications.
– Optics
– In ULSI multilevel metallization.
– As an interlayer dielectric.
– For shallow trench isolation oxide fill.
VS Silicon Dioxide 2D Materials
• SiO2 with silane
– 425 - 435oC creates a film with poor step coverage
– Gases - silane, O2, PH3 (for doping)
– Pressure - 300 – 400 mTorr
– Deposition rate -150 Å/min
• SiO2 can also be created using TEOS
– Tetraethylorthosilicate, Si(C2H5O)4
VS Silicon Nitride 2D Materials
• Often used as a final passivation layer,
because it offers good protection from the
diffusion of moisture and impurities.
• Excellent step coverage and high
conformal coverage.
• Also used as a mask material.
VS Silicon Nitride 2D Materials
• 3SiCl2H2 + 4NH3 → Si3N4 + 6HCl + 6H2

– 780 to 850oC
– Gases - NH3, SiH2Cl2
– Pressure - 300 mTorr
– Deposition rate - 40 Å/min
VS Polysilicon 2D Materials
– Used as a flexible material in Nano/MEMS
applications.
– Ability to be doped to a specific resistivity.
– Ability to change mechanical properties.
– Excellent interface characteristics with SiO2.
– Compatibility with subsequent high-
temperature processing.
– Higher reliability than possible metal
electrodes.
– Ability to be deposited conformally over steep
topography.
VS Polysilicon 2D Materials
• SiH4 → Si + 2H2

– Deposited between 575 and 650oC.


– Gases - SiH4 and PH3
– Pressure - 300 mTorr
– Deposition rate - 100Å/min
VS LPCVD Thin Films Advantages
• Advantages:
– Excellent uniformity.
– Conformal step coverage.
– Large substrate capacity / high deposition rate.
– Variety of materials.
– Good control over the process, doping versatility.
– Stoichiometry is the same in a “wider window” of
operation, as compared to the PECVD.
VS LPCVD Thin Films
Disadvantages
• Disadvantages:
– High temperature
– Low deposition rate
• Batch processing may negate the rate issue.
– More maintenance (particle deposition on walls means more
down time for cleaning).
– Requires vacuum system.
– Contamination
– Requires additional gas dispersion tubes, as well as more
expensive and complicated cage boats.
Outline
• Chemical vapor deposition
• Typical LPCVD systems/hardware
• Top down applications
– 2D materials (thin films, VS growth)
• Bottom up applications
– 1D materials (nanowires, VLS growth)
Vapor-Liquid-Solid (VLS) Growth
• In VLS growth, a catalyst is introduced to direct
the growth to a specific orientation in a confined
area.
• The catalyst forms a liquid droplet that acts as a
nucleation site for the growth species, resulting
in a one dimensional growth.
• There are seven requirements for VLS growth.

C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.


Imperial College Press. London 2007
Requirements for VLS Growth on a
Crystalline Substrate
1. The catalyst is heated to a liquid on the crystalline material.
2. The catalyst’s distribution coefficient must be less than unity at the
deposition temperature.
3. The catalyst’s equilibrium vapor pressure over the droplet must be small.
The increased temperature causes the catalyst to start to evaporate,
decreasing the volume of the catalyst. This will reduce the diameter of the
nanowire and when the catalyst evaporates completely, growth of the
nanowire stops.
4. The catalyst must be chemically inert, so it does not interact with the
growth species or the by-product species.
5. The interfacial energy between the catalyst and the growth surface plays
an important role in growth. A small wetting angle will result in a large
growth area and a large diameter nanowire.
6. To grow a nanowire from a complex compound, one of the constituents of
the compound can be used as a catalyst.
7. Well defined crystallography at the solid-liquid interface is required for
controlled unidirectional growth. Using a single crystal substrate is the
easiest way to achieve a desired crystal orientation.
C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.
Imperial College Press. London 2007
VLS Growth
2 4 6 8 10 15 20 30 40 50 90
1600
1404°
1400

1200
1063°
1000
Temperature

800

600

400

200
10 20 30 40 50 60 70 80 90 100
Percent of Silicon

Starts to Alloy
Public Domain: Image Generated by CNEU Staff for free use, 2009. Deposition of SiNW Stars
Relative Supersaturation Levels
• Anisotropic growth requires a low
supersaturation.
• Bulk crystal growth is favored when a
medium supersaturation is achieved.
• Polycrystalline and powdered materials
occur from homogeneous nucleation and
result from high supersaturation.
VLS Growth
• The Kelvin equation shows equilibrium vapor pressure
solubility is dependent on the surface energy and a radius
of the catalyst.

• Where:
– P = vapor pressure of a curved surface
– P0 = vapor pressure of a flat surface
– Ω= atomic volume of catalyst
– r = surface radius
– y = surface energy interface between the nanowire and the catalyst

C. Guozhong. Nanostructures & Nanomaterials: Synthesis, Properties & Applications.


Imperial College Press. London 2007
VLS Growth Rate
• The VLS mechanism utilizes the same six steps as VS
growth, but theses steps are localized at the catalyst
site.
• Growth rate is determined by the diameter of the
catalyst.
• The catalyst radius is determined by the wetting angle or
the catalyst/substrate interaction.
Deposition methods for
catalysts
• Sputtering / evaporation / nucleation.
• Nanoparticles in solution / colloidial
seeding.
• E-beam lithography
• Damascene filled pockets on a substrate.
Patterned Substrate

Damascene Process

Damascene Process
Gold Deposition

Public Domain: Image Generated by CNEU Staff for free use, 2009.
How Do You Choose a Catalyst
for VLS Growth?
******* When choosing a catalyst for VLS growth of nanowires, the metal
and the materials of the nanowire must be stable in the liquid phase but
must not form compounds more stable than the desired nanowire.*******

Catalyst Nanowire Material Reference


Fe, Ni, Au Si Science. Vol 279 (1998)
Fe Ge
Sn SnO2 Chemical Physics
Letters. Vol 369 (2003)
Au GaAs, ZnSe, CdSe Adv. Mater. No 4 (2000)
Cu GaAs
Sn ZnO J. Phys. Chem B. Vol,
108 (2004)
Ni, Au, Fe, Co GaN Inst. Phys. Journal. Issue
10 (2005)
LPCVD Grown SiNWs

Angle view FESEM image of 2 minute deposition sample showing a side on view of
nanowires. Lengths were less than 1 μm. Magnification 22.77Kx

Public Domain: Image Generated by CNEU Staff for free use, 2009.
LPCVD Grown SiNWs

Edge view FESEM image of 4 minute deposition sample showing average nanowire
height/length (Average height = 3.003 μm). Magnification 11.60Kx

Public Domain: Image Generated by CNEU Staff for free use, 2009.
LPCVD Grown SiNWs

Edge view FESEM image of 6 minute deposition sample showing average height/length of nanowires (Average
height = 5.005 μm). Magnification 7.50Kx

Public Domain: Image Generated by CNEU Staff for free use, 2009.
Typical LPCVD Recipe for SiNWs
• Vent the vacuum chamber.
• Load the samples.
• Pump system to 10-20mTorr (base pressure limited by
Fomblin prepped mechanical pump).
• Purge the system with N2 for 5 min to ensure no oxygen is in
the system.
• Heat LPCVD to 200oC at 10oC/min, flowing N2 to maintain a
pressure of 10T.
• Hold at 200oC for 10min to stabilize system temp.
• Heat to target temperature 415oC and hold for 30min to
stabilize system temp.
• Run process: 100 SCCM SiH4 for 2, 4, and 6min.
• Ambient N2 system cooling to room temp (~2hrs)
Sample Average Wire Average Nanowire Growth
Diameter Wire Length Rate
Two Minute 26.21 nm ~1.0 μm ~0.5 μm/min
Four Minute 32.61 nm 3.00 µm 0.75 µm/min
Six Minute 24.38 nm 5.00 µm 0.83 µm/min
An example: Silicon/Germanium
Nanowires Produced by Chemical Vapor
Growth Using the Vapor-Liquid-Solid
(VLS) Mechanism

Silicon/germanium (SiGe)
alloy nanowires

Lew et al., Adv. Mater. Vol.15(24), pp. 2073


Self Assembling Si Nanowires

Process flow for the fabrication of “grow-in-place” Si nanowire


using guiding nanochannel template. (a) Sacrificial/catalyst metal
(Au) line defined by e-beam lithography and lift-off. (b)
Patterning and deposition of the capping layer. (c) Partial etching
of the sacrificial metal to form empty nanochannels with catalyst
in the middle. (d) Si nanowire growth and extrusion out of
channel by the VLS mechanism.

Yinghui Shan and Stephen J. Fonash Engineering Science Program and Center for Nanotechnology
Education and Utilization, The Pennsylvania State University, University Park, PA 16802 USA
Self Assembling Si Nanowires

Schematic representations of the top-gate core-shell SiNW transistor fabrication process. (a)
SiNW after Au etching and cleaning, (b) SiNW oxidation and gate contact patterning, (c)
Source/drain region patterning and oxide removal, (d) Source and drain contact deposition.
In this depiction, two transistors are fabricated using an extruded nanowire.

Yinghui Shan and Stephen J. Fonash Engineering Science Program and Center for Nanotechnology
Education and Utilization, The Pennsylvania State University, University Park, PA 16802 USA
Self Assembling Si Nanowires

SiNW AMOSFET transistor (a) grow-in-place SiNW before transistor


fabrication. (b) the corresponding SiNW transistor after gate and source/drain
patterning.

Yinghui Shan and Stephen J. Fonash Engineering Science Program and Center for Nanotechnology
Education and Utilization, The Pennsylvania State University, University Park, PA 16802 USA
Silicon Nanowire as Bio-scaffolding
• H.S. Wen et al grew SiNWs using the VLS
method described earlier, to investigate
biocompatibility of SiNWs with fibroblast
cells.
• Fibroblast cells were cultured with both
raw SiNWs and gold surface modified
SiNWs for 30min, 1 hr, and 3hrs, then
imaged with an SEM.

H. S. Wen et al Microprocesses and Nanotechnology, 2007 digest of Papers. 42-43 Nov. 2007
Silicon Nanowire as Bio-scaffolding
• Cytoplasmic prolongation is essential for tissue
formation, development, cellular location, and
communication.
• Fibroblast cells showed increased activity on
gold modified SiNW surfaces, indicating an
increase in biocompatibility.
• H.S. Wen et al attribute the increase in cellular
prolongation to the remarkable biocompatibility
of gold.

H. S. Wen et al Microprocesses and Nanotechnology, 2007 digest of Papers. 42-43 Nov. 2007
Silicon Nanowire as Bio-scaffolding

H. S. Wen et al Microprocesses and Nanotechnology, 2007 digest of Papers. 42-43 Nov. 2007
SiNWs for Li Battery Anodes
• SiNWs have been investigated for use in
rechargeable Li batteries, because they offer
higher energy capacity and longer life between
charges.
• Silicon has a charge capacity about ten times
greater than traditional materials.
• However, silicon swells ~400% when charged,
and traditional forms of silicon fail under this
large strain.

Nature Nanotechnology VOL 3 (31-35) JANUARY 2008


SiNWs for Li Battery Anodes
• SiNWs are grown directly on metallic current collecting
substrates via Au catalytic VLS growth.
• SiNWs with average diameters of ~90nm allow for better
accommodation of large volume changes without
fracturing.
• Each SiNW growth from a metallic substrate contributes
to the overall charge capacity, since each wire is
electrically connected to the substrate.
• Since each SiNW is a 1 dimensional feature, there is an
efficient pathway for electron transport.

Nature Nanotechnology VOL 3 (31-35) JANUARY 2008


SiNWs for Li Battery Anodes

Nature Nanotechnology VOL 3 (31-35) JANUARY 2008


LPCVD Growth of Carbon
Nanotubes
• Mo:Fe:Al Alloy catalysts are deposited via Sol Gel, onto a
porous substrate and heated in a tube furnace to anneal them to
the substrate.
• Hydrocarbon gas is flowed over the pores in the substrate and
nucleate at the catalyst.
• Nanotube growth occurs at the site of the catalysts.
• Base growth and Tip Growth are possible.
• CVD can grow both MWNTs and SWNTs.
• SWNTs can be grown from hydrocarbon gas feeds at a
temperature range of 800 – 1400°C.
• MWNTs can be grown from hydrocarbon gas feeds at a
range of 600 – 800°C.
Ming Su, Bo Zheng, Jie Liu. A scalable CVD method for the synthesis of single-walled carbon
nanotubes with high catalyst productivity. Chemical Physics Letters 3222000.321–326
LPCVD Growth of Carbon
Nanotubes
• Synthesized SWNTs using the following CVD
parameters:
– 50mg Fe/Mo catalyst supported on Al2O3
aerogel
• The aerogel is a ultra-low density, highly
porous material.
• Fe/Mo has a proven track record of being a
nucleation site for CNTs.
– A furnace was heated to 100oC. From
850 – 1000oC, 100 sccm Ar was flown to
purge the system.
Ming Su, Bo Zheng, Jie Liu. A scalable CVD method for the synthesis of single-walled carbon
nanotubes with high catalyst productivity. Chemical Physics Letters 3222000.321–326
LPCVD Growth of Carbon
Nanotubes

Directed assembly of organized, multiwalled carbon-nanotube structures grown by chemical-vapour


deposition. a, Image obtained by scanning electron microscopy of three blocks of cylindrical pillars (about
10µm in diameter) of aligned carbon-nanotube arrays. Each pillar consists of several tens of nanotubes
grown in vertical alignment and in a normal direction to Si02 patterns on the Si/Si02 template. No growth
occurs on the Si parts of the template. The separation (d in diagram, top right) between pillars in the three
blocks is indicated. B, Vertical and horizontal growth of aligned nanotubes (CNTs), viewed in a cross-section
of a patterned Si/Si02 substrate. Scale bars, 100µm.
B.Q. Wei, R. Vajtai, Y. Jung, J. Ward, R. Zhang, G. Ramanath, P.M. Ajayan,
Department of Materials Science and Engineering, Nature, Vol. 416, April 2002, page 495-496
An example: Carbon Nanotubes (CNTs) Grown
From Methane Gas Decomposition at Iron
Nanoparticle Catalysts

www.helixmaterial.com
Applications of Carbon Nanotubes
• Hydrogen storage media.
• Composites
• Flat panel displays
• Molecular manipulator and AFM tips.
Physical vapor deposition (PVD): evaporation and sputte
In PVD, chemical reactions are not involved, except for reactive (add reactive
gases into chamber) evaporation or reactive sputter deposition, which are not
widely used.
Evaporation:
• Material source is heated to high temperature in vacuum either by thermal
or e-beam methods.
• Material is vapor transported to target in vacuum.
• Film quality is often not as good as sputtered film (that involves energetic
bombardment of ions to the as-deposited film, which makes the film
denser).
• The film thickness can be monitored precisely using a quartz balance – this
is necessary as the deposition is not reproducible (tiny change in T leads to
large change of deposition rate. T is not monitored, power is).

Sputter deposition: (there is also sputter etching)


• Material is removed from target by momentum transfer.
• Gas molecules are ionized in a glow discharge (plasma), ions strike target
and remove mainly neutral atoms.
• Sputtered atoms condense on the substrate.
• Not in vacuum, gas (Ar) pressure 5-50mTorr.
85
Evaporation (also called vacuum deposition)
• In evaporation, source material is heated in
high vacuum chamber (P < 10-5 Torr), hence
the name vacuum deposition.
• High vacuum is required to minimize collisions Wafer holder
of source atoms with background species (light
Wafers
of site deposition)
• Heating is done by resistive or e-beam
sources.
Atomic
flux
• Surface interactions are physical, can be very
fast (>1µm/min possible, but film quality may
Source material
suffer. For R&D typical 0.1-1nm/sec). Vacuum
Heater (resistance
or E-beam)
• High sticking coefficient (at low T, adatom
stays wherever it hits with limited surface
migration), leading to poor conformal
Vacuum system
coverage/significant shadow. But this also Exhaust
makes evaporation the most popular thin film
• deposition
Deposition for
ratenanofabrication
is determined byusing liftoffflux and by geometry of the source and
emitted
process.
wafer.
• Evaporation is not widely used by industry – sputter deposition is.
• For microfabrication R&D, evaporation is as important as sputter deposition. 86
Evaporation: vacuum pressure and mean free
path
Assume a particle of diameter s, moving in wafer
speed v.
Collision cross-section = ps2
Collision volume swept during dt = ps2 vdt
# of collisions during dt = (n/V) ps2 vdt
Here n/V is number density. v
Average mean free path l = vdt/# = [(n/V) ps2]-1

In more rigorous treatment, (PV=nkT) 2s


n kT
l = ( ps 2 2 ) -1 = source
V 2ps 2 P
Assume s = 3Å, T=
300K If l=30 cm, then a pressure <2.6x10-4
P(Torr) ´ l(cm) = Torr is required.
7.8x10-3 Typical vacuum for evaporation <5´10-
1Torr = 1mmHg = 1/760atm 6Torr
87
Mean-free path of varied gases

88
Influence of background vacuum pressure
Time to form a single complete
layer of gas on a surface, assume
sticking
coefficient = 1.
Pressure Time
(Torr)
10-4 0.02 s
10-5 0.2 s
10-6 2s
10-7 20 s
1bar=100000Pa (1atm=1.013bar)
1mbar=100Pa 10-8 3 min
1Torr=100000/760=132Pa=1.32m
bar 10-9 35 min
10-10 6 hr
10-11 3 days
89
Arrival ratio

Arrival ratio:
Ratio of molecular vapor arrival
at 1nm/sec deposition rate to
molecular impact of residual
gas.

Arrival ratio = 1 means the number of film molecules hitting the surface per second
is the same as the number of gas molecules.
For materials that is very reactive with the gas (such as Ti to O2), the film can be
very impure when arrival ration ~1.
In fact, Ti is used as a pump for ultrahigh vacuum (called Ti pump, where Ti vapor
is produced to trap gas in vacuum chamber). 90
Point source and surface source model
(a) Point Source (b) Small Surface Area Source

R evap
FkP = R evap
Wr 2 FkP = cosn q i
pr 2
R evap
v= cosq k R evap
2
WNr v= cosn q i × cosq k
pNr 2
F: flux that strikes area A (atoms or grams per cm-2sec).
v: deposition rate (nm/sec).
N: density of the material (atoms or grams per cm3).
Revp: evaporation rate from the source, in atoms or grams per second.
W : solid angle, =4p if source emits in all directions; =2p if emits only upward.
91
Deposition rate across a wafer

For typical evaporator:


h=40cm.
Then for a 4” (10cm) wafer,
wafer edge l/h=5/40=0.12

a. Uniform (isotropic emission b. Ideal cosine emission from c. Non-ideal, more anisotropic
from a point source). a small planar surface source. emission from a small surface
(n=1 in cosnq distribution) source. (n>1 in cosnq
92
Figure 9-19 distribution)
Uniformity on a flat surface
Consider deposition rate
difference between wafer center cosq´cosj
and edge:

Define uniformity s:

Source-substrate distance
requirement:

In practice, it is typical to double this


number to give some process margin:
Larger r means:
• Bigger chamber
• Higher capacity vacuum pump
• Lower deposition rate
• High evaporant waste.
• Typical lab equipment r=40cm 93
How to achieve uniform deposition
Use spherical wafer holder:
• Point source: put source at center Location of source
of sphere. which behaves like an
• Small surface source: put source ideal point source
on inside surface of sphere Location of source
(compensates for cosnq). Figure 9-18 which behaves like an
ideal small area
surface source
It is shown that Knudsen-cell behavior (i.e.
cosq emission from a small surface), rather
than point source emission or source with
cosnq n>1, is close to experimental results.

Spherical surface with source on its edge:


r
cosq = cos j =
2r0
Revap Revap
v= cosq × cos j =
pNr 2 4pNr02
Angle independent, uniform coating! Knudsen-cell
94
Langmuir-Knudsen theory for Revp
1 Revp: evaporation rate (g/sec)
æmö 2 -2
As: source area (cm2)
Revp = 5.83 ´10 As ç ÷ Pe m: gram-molecular mass (g/mol)
èT ø T: temperature (K)
Pe: equilibrium vapor pressure (Torr)
To see whether this makes sense or not, consider the “Knudsen-
cell” (i.e. a box of gas with pressure Pe emitting from a small
opening into vacuum).
Assume all gas molecules move only along ±x, y, z-direction. Then
1/6 moves along +z direction with velocity v. The
For opening area A, the emitted number of molecules during time Dtorifice/opening
is: 1/6n´A(v´Dt), here n is molecular number density. appears as an
So per unit emitting area, emitting/evaporation rate is: 1/6nv. evaporation
z source.
A more strict
R = derivation
1
nv =
1 P 8 gives
kT
= flux 1/4nv.
P
4 4 kT pm 2pmkT
To change unit from (Pa, kg/mol, m 2 ) into (Torr, g/mol, cm 2 ) :
133P P molecules
R= ´10 - 4 = 3.51 ´10 22
MT cm × sec
2
M Pe
2pkT
1000 N A
M M gram x
R ® R´ = 5.83 ´10 - 2 P 2 95
NA T cm × sec
Vapor pressure of
common
materials

Vapor pressure of 1-10mTorr


or more is required to achieve
reasonable deposition rates of
0.1-1µm/min.
(? Seems the number doesn’t
match using the equations
below)
Revap
v= cos n
qi × cosq k
pNr 2

1
æmö 2
-2
Revp = 5.83 ´10 As ç ÷ Pe
èT ø
96
Thermodynamics of equilibrium vapor pressure

Liquid volume VL
<< vapor volume
VV
By definition:
1 g = 1/µ mol

97
Vapor pressure of common
materials

98
Evaporation characteristics of materials

99
. From “Materials science of thin films” by Ohring, 2002
Photos of source material for
evaporation

100
Types of evaporation according to heating method
Three types:
Thermal evaporator – resistive heating, the only choice for
evaporation of organic material.
Electron beam evaporator – heated by electron beam, most
popular, more expensive than thermal evaporator.
Inductive heating (must be unpopular – I have never seen one).

Inductive heating:
Metal element is wound around crucible and RF power
is run through coil.
RF induces eddy currents in the charge causing it to
heat.
Eddy current is caused when a conductor is exposed to
a changing magnetic field due to relative motion of the
field source and conductor; or due to variations of the
field with time.
These circulating current create induced magnetic fields
that oppose the change of the original magnetic field
due to Lenz's law, causing repulsive or drag forces
between the conductor and the magnet.
101
Thermal evaporation
Widespread use for materials whose
vapor pressure can be reasonable
at 1600oC or below.
Common evaporant materials:
Au, Ag, Al, Sn, Cr, Sb, Ge, In, Mg,
Ga;
CdS, PbS, CdSe, NaCl, KCl, AgCl,
MgF2, CaF2, PbCl2.

102
Photos of Sharon thermal evaporator

Bell jar Inside bell jar

103
Electron beam evaporation

Deflection plates are to raster


scan the beam across charge
surface.
• Using a focused electron beam to heat and evaporate metals, electron
temperature can be as high as 10,000 K. Electrons are accelerated by DC 10kV,
and current 10s-100s of mA.
• Suitable for high Tmelt metals like W, Ta, …
• Evaporation occurs at a highly localized point near the beam bombardment spot 104 on
the source surface , so little contamination from the crucible (not hot, water cooled).
Comparison of thermal and e-beam evaporation

Thermal evaporation:
• Simple, robust, and in widespread use.
• Use W, Ta, or Mo filaments to heat evaporation source.
• Typical filament currents are 200-300 Amperes.
• Exposes substrates to visible and IR radiation.
• Contamination from heated boat/crucible.
Electron beam evaporation:
• More complex, but extremely versatile, virtually any material.
• Less contamination, less heating to wafer (as only small source area heated to very high
T).
• Exposes substrates to secondary electron radiation.
• X-rays can also be generated by high voltage electron beam. 105
• Since x-rays will damage substrate and dielectrics (leads to trapped charge), e-beam
Popular heating “containers” for evaporation source

Resistors (put source rod inside coil) Heating boat (open top)

Crucibles Box with small opening


(only choice for e-beam evaporator) (Knudsen cell!)
106
Typical boat/crucible
material

Considerations: thermal conductivity, thermal expansion, electrical


conductivity, wetting and reactivity.
Graphite crucible is most popular, but avoid cracking the crucible due to stress/
temperature gradients (bad for materials that “wet” graphite such as Al and Ni).
Aluminum: tungsten dissolves in aluminum, so not quite compatible. 107
How to monitor film thickness during evaporation?
~6MHz

Quartz
Electrode

Deposite
d film Vapor

Quartz Crystal Micro-balance (QCM): (similar idea to quartz clock)


• Quartz is a piezoelectric material.
• With a high frequency AC voltage activation, the amplitude of vibration is maximum
at resonance frequency.
• This resonance frequency will shift when film is deposited on its surface.
• Thus by measuring frequency shift Df, one can measure film thickness with sub-Å
accuracy. 108
http://en.wikipedia.org/wiki/Quartz_crystal_microbalanc
Evaporation issues: step coverage
Step coverage is poor (line of sight and sticking coefficient Sc≈ 1).
Heating can decrease Sc, but may change film properties (structure – large
grain).
Therefore, due to poor step coverage (and x-ray damage), rarely used in IC
fabrication.

Sticking coefficient:
Freacted
Sc =
Fincident
SC = 1 SC < 1

(a) The depositing species have a high Sc


so that they are deposited where they
first strike.
(b) The depositing species have a low Sc,
so that many are re-emitted and re-
deposited (or migrated) elsewhere on
the topography, such as on the
sidewalls.
109
Evaporation issues: alloy evaporation
Stoichiometrical problem of evaporation:
• Compound material breaks down at high temperature.
• Each component has different vapor pressure, therefore different deposition
rate, resulting in a film with different stoichiometry compared to the source.
One solution is co-evaporation (use two e-beam guns).

110
Shadow evaporation
Poor step coverage could be useful.
Shadow/angle evaporation is routinely used for nano-electronics
fabrication.

The pictures above show shadow-masks


for niobium rings containing a Josephson
junction, prior to evaporation.
The metals are evaporated under
different angles without breaking the
vacuum.
The mask consists of Germanium while
the sacrificial layer below the mask is
made of high temperature capable plastic
(polyether sulphone).
111
GLAD (glancing angle deposition): self assembly of film

Angle >80o, with (or without) substrate rotation.


Self assembly mainly due to shadowing effect
that magnifies the otherwise grain structures.

Invented by Michael Brett from University of Alberta


http://www.ece.ualberta.ca/~glad/lab.html
112
Glancing angle deposition (GLAD)

113
Staionary substrate (i.e. no rotation), one evaporation source, Cr
Glancing angle deposition (GLAD)

Stationary substrate, two evaporation sources, SiO2 films.


Independent control of column angle and film porosity.
The porosity is constant, the column angle β is controlled
between the inclined and vertical angles. 114
Various nanostructures obtained in GLAD thin
films

115
Sputter deposition

Figure 9-2 Schematic


diagram of DC-
powered sputter
deposition
equipment.

• Plasma is needed to make the gas conductive, and generated ions can then be
accelerated to strike the target.
• Higher pressures than evaporation: 1-100 mTorr.
• Better at depositing alloys and compounds than evaporation.
• The plasma contains ≈ equal numbers of positive argon ions and electrons as well
as neutral argon atoms. Typically only <0.01% atoms are ionized!

116
Sputtering process
• Sputtering process can be run in DC or
RF mode (insulator must be run in RF
mode)
• Major process parameters:
o Operation pressure (~1-100mTorr)
o Power (few 100W)
o For DC sputtering, voltage -2 to -5kV.
o Additional substrate bias voltage.
o Substrate temperature (20-700oC)
In addition to IC industry, a wide range of industrial
products use sputtering: LCD, computer hard
drives, hard coatings for tools, metals on plastics.
It is more widely used for industry than evaporator,
partly because that, for evaporation:
• There are very few things (rate and substrate
temperature) one can do to tailor film property.
• The step coverage is poor.
• It is not suitable for compound or alloy Targets for sputter deposition.
deposition.
117
• Considerable materials are deposited on
Sputter deposition advantages
Advantages:
• Able to deposit a wide variety of metals, insulators, alloys and composites.
• Replication of target composition in the deposited films.
• Capable of in-situ cleaning prior to film deposition by reversing the potential on
the electrodes .
• Better film quality and step coverage than evaporation.
• This is partly because adatoms are more energetic, and film is ‘densified’ by in-
situ ion bombardment, and it is easier to heat up to high T than evaporation that
is in vacuum.
• More reproducible deposition control – same deposition rate for same process
parameters (not true for evaporation), so easy film thickness control via time.
• Can use large area targets for uniform thickness over large substrates.
• Sufficient target material for many depositions.
•Disadvantages:
No x-ray damage.
• Substrate damage due to ion bombardment or UV generated by plasma.
• Higher pressures 1 –100 mtorr ( < 10-5 torr in evaporation), more
contaminations unless using ultra clean gasses and ultra clean targets.
• Deposition rate of some materials quite low.
• Some materials (e.g., organics) degrade due to ionic bombardment. 118
• Most of the energy incident on the target becomes heat, which must be
Mechanisms of sputtering and alloy sputtering
The ion impact may set up a series of
collisions between atoms of the target,
possibly leading to the ejection of
some of these atoms. This ejection
process is known as sputtering.
Here we are interested in sputter
deposition. Of course sputter can also
be used as an etching method (the
substrate to be etched will be the
‘target’), which is called sputter
etching.

Unlike evaporation, composition of


alloy in film is approximately the same
as target.
Target NOT melted, slow diffusion (no
material flow) mixing.
When target reaches steady state,
surface composition balances sputter
yield. 119
DC plasma

Plasma is ionized gas, with nearly


equal number of ions and electrons,
plus neutrals (un-ionized molecules
including those at ground state and
excited state; free radicals such as
atomic O, H, F – but no free radicals
for Ar plasma).
Glow is due to de-excitation of excited
Ar.
So glow only exists where there are
lots of electrons to excite Ar.
Cathode glow region: very close to
cathode, secondary electrons are
created by Ar bombardment of target e is decelerated
material. (!!) toward
anode
Cathode dark space/sheath: electrons
pass too fast with little excitation.
Electron impact ionization
Anode sheath: electrons lost to anode
120
due to its faster random movement.
Explanation of DC plasma structure
Different velocities in a plasma:
Thermal energy random movement of Ar – 400 m/sec, order (kBT/mAr)1/2.
Thermal energy random movement of electron – 10000 m/sec.
Velocity of Ar with energy 100eV – 20000 m/sec.
Velocity of electrons with energy 100eV – 6000000 m/sec.
Thus plasma is highly conducting due to fast electrons – very little voltage drop in
the plasma area where electrons are rich.
Voltage drop is only possible near the electrodes where electrons may lost to the
electrode.
Even without applied voltage (assume plasma still exist), voltage drop may still exist
due to faster random electrons movement that leads to their lost to electrode.
Therefore, the plasma is always positively biased relative to any electrode or
anything (floating or not) inside the plasma.
This positive bias will accelerate positive Ar
ion to strike the electrode.
But the bias VP near the anode is very small
(~10V), so no significant sputtering of the
substrate.
The total bias (VP plus applied voltage) is
very high, leading to sputtering of cathode
(target). 121
Requirement for self-sustained discharge (plasma)
Ions make (secondary) electrons when they bombard the target, and
electrons make ions when they collide with Ar à self sustained
discharge.
Condition for sustaining plasma: pd > 0.5 (cm×Torr).
For instance, typical target-substrate spacing d ~ 10cm, need p > 50mTorr
(actually sputter deposition is usually conducted at <10mTorr, due to magnetron… ).

Condition for igniting the plasma.


Too large P´d leads to too many
collisions that prevent electron
energy buildup.
Too small P´d, there will be too few
collisions (electron just goes to the
wall without ionizing a molecule or
atom), and too few ions to bombard
and generate secondary electrons.
Once the plasma is ignited, it is very
conductive, thus voltage drops to
order 100 V only.

122
Sputtering process
_

Al target
Al e-
Dark space O
or sheath

Aro Ar+
Ar+

Al
O- Aro

Ar+

e-
Negative glow

e-

Al
Al Al

Wafer surface

Figure 9-24

On the left side, sputter off an Al atom.


After collision ionization, there are On the right side, generate secondary
now TWO free electrons. electrons, which are accelerated across the
This doubles the available sheath region and 1) ionize/excite an Ar; or
electrons for ionization. 2) ionize an impurity atom, here O, to
This ongoing doubling process is generate O- (for Ar, always positive ion Ar+).
called "impact ionization”, which This O- is accelerated toward substrate and
sustains a plasma. may go into the film (bad). 123
Sputtering process

• Energy of each incoming ion is 500-1000eV. Energy of sputtered atoms is 3-


10eV.
• Thus, the sputtering process is very inefficient from the energy point of view,
95% of incoming energy goes to target heating & secondary electron.
• High rate sputter processes need efficient cooling techniques to avoid target
damage from overheating (serious problem).
• The sputtered species, in general, are predominantly neutral.
• The energy of the ejected atoms shows a Maxwellian distribution with a long
tail toward higher energies.
• The energies of the atoms or molecules sputtered at a given rate are about
one order of magnitude higher than those thermally evaporated at the same
rate, which often lead to better film quality.
• However, since sputtering yields are low and the ion currents are limited,
sputter-deposition rates are invariably one to two orders of magnitude lower
compared to thermal evaporation rates under normal conditions.

124
Sputtering yield
sputtered atoms Mm E m
Elastic energy transfer Y= =a
bombing ions (M + m )2 U M
M : mass of target atom
m : mass of bombing ion
E2 is greatest for M1=M2. E m : kinetic energy of bombing ion
There is also inelastic energy transfer, U M : Bonding energy of target metal
which leads to secondary electrons a : depends on striking /incident angle
emission…

• Sputter yield Y: the number of sputtered atoms per impinging ion.


• Obviously, the higher yield, the higher sputter deposition rate.
• Sputter yield is 1-3: not too much difference for different materials.
• The sputter yield depends on: (a) the energy of the incident ions; (b) the
masses of the ions and target atoms; (c) the binding energy of atoms in
the solid; and (d) the incident angle of ions.
• The yield is rather insensitive to the target temperature except at very
high temperatures where it show an apparent rapid increase due to the
accompanying thermal evaporation.
125
Dependence of sputter yield on ion energy
A threshold energy for the release of an
atom from the target exists, below which the
atom is not “sputtered”.
This threshold energy is:

(Eth very high when M1»M2 or they are very different?)

The yield increases with the energy.


For higher energies, the yield approaches
saturation, which occurs at higher energies
for heavier bombarding particles.
e.g.: Xe+ ~100keV and Ar+ ~20KeV for
saturation.
Sometimes, at very high energies, the yield
decreases because of the increasing
penetration depth and hence increasing
energy loss below the surface, i.e. not all the 126
affected atoms are able to reach the surface
Dependence of deposition rate on chamber pressure
Too few collisions limit yield

Too many
collisions prevent
ionization

Plasma not Figure 3-18 Influence of working


Arcing in pressure and current on
sustained at
plasma deposition rate for non-
low pressure
(?) magnetron sputtering.
For same power P=I´V=constant, high current (ion number) comes with low voltage
127(ion e
Step coverage of sputtering
Sputtering targets are generally large and provide a wide range of arrival angles in
contrast to a point source. Step coverage is mainly determined by arrival angle
distribution.
a) Source b) Source
Figure 9-26
(a) Small soure, wide emitted
angle distribution, but a
narrow arrival angle
distribution.
Wafer Wafer
(b) Wider arrival angle
distriubtion.

Arrival angle distribution


is defined by arrival flux
relative to unit surface
area. The flux is equal to
the normal component of
incoming flux.
Figure 9-25
Arrival angle distribution is generally described by cosnq distribution.
Size of source, system geometry and collisions in gas phase are important in
arrival angle distribution.
128
Arrival angle can be tailored to some degree

(a) Standard sputtering.


(b) Long-throw
sputtering.
(c) Sputtering with a
collimator.

However, when the mean free path of the target atom (determined by gas pressure,
order 10cm for 1mTorr pressure/1cm for 10mTorr) is much shorter than target-
substrate separation, many collisions will occur, which broaden the arrival angle
distribution.

More
deposition on
top surface.
129
Adatom migration along surface also important
• Atoms ejected from cathode escape with energies of 10 to 50 eV, which is 10-100
times the energy of evaporated atoms.
• This additional energy (together with bombardment by other ions) provides
sputtered atoms with additional surface mobility for improved step coverage relative
to evaporation.
(This additional energy also makes the deposited film “denser” - better film quality
than evaporated film).
Besides tilting and rotating substrate, step coverage can be further improved by:
• Substrate heating: improve step coverage due to surface diffusion, but may produce
unacceptably large grains.
• Apply bias to wafers: increase bombardment by energetic ions, but it will also
sputter the deposited material off the film and thus reduce deposition rate.

130
Film morphology: the zone model
Zone model: film morphology as a function of
substrate temperature and incident ion energy.
Once reach wafer surface, adatoms (newly added
atom) diffuse along surface until they form nuclei.
Nuclei capture more adatoms, forming islands.
If surface mobility is high, islands may merge,
forming a smooth continuous film.
Zone 1: porous and/or amorphous due to poor
surface mobility, which is in-turn caused by low
Zone model of film deposition.
temperature and/or low ion energy (due to low RF
Tm: melting temperature.
power/DC bias or higher pressures - less
acceleration between collisions). Metal films in this
region can readily oxidize when exposed to air and
so may have high resistivity.
Zone 2 (“T-zone”): most desirable, small grain polycrystalline, dense, smooth (high
reflectance) due to higher surface mobility (higher temperature and/or ion energy).
Zone 3: further increases in surface mobility result in large columnar grains that have
rough surfaces. These rough surfaces lead to poor coverage in later steps.
Zone 4: still further increases in surface mobility result in large non-columnar grains.
These grains can pose problems for lithography due to light scatter off of large grains,
and tend to be more rigid leading to more failures in electrical lines. 131
EXAM-II UP TO
THIS POINT
Reactive sputtering
Sputtering metallic target in the presence of a reactive gas mixed with inert gas (Ar).
• Sputtering a compound target may not give what one wants.
• This doesn’t mean reactive sputtering will give what one wants – it is just
one more thing to try with.
• Certainly reactive sputtering can be done using DC sputtering, whereas
compound target (insulating) can only be used for RF sputtering.
• Chemical reaction takes place on substrate and target.
• Can “poison” target if chemical reactions are faster than sputter rate.
• Need to adjust reactive gas flow to get good composition (e.g. SiO2 rather
than SiO2-x) without incorporating excess gas into film.

A mixture of inert + reactive gases used for sputtering:


Oxides – Al2O3, SiO2, Ta2O5 (O2 mixed with Ar)
Nitrides – TaN, TiN, Si3N4 (N2, NH3, mixed with Ar)
Carbides – TiC, WC, SiC (CH4, C2H4, C3H8, mixed with Ar)

133
RF (radio frequency) sputter deposition
• Good for insulating materials because, positive charge (Ar+) build up on the cathode
(target) in DC sputtering systems. Alternating potential can avoid charge buildup
• When frequencies less than ~50kHz, both electrons and ions can follow the
switching of the anode and cathode, basically DC sputtering of both surfaces.
• When frequencies well above ~50kHz, ions (heavy) can no longer follow the
switching, and electrons can neutralize positive charge buildup on each electrode
during each half cycle.
• As now electrons gain energy directly from RF powder (no need of secondary
electrons to maintain plasma), and oscillating electrons are more efficient to ionize
the gas, RF sputter is capable of running in lower pressure (1-15 mTorr), so fewer
gas collisions and more line of sight deposition. 13.56MHz RF source

Switch polarities before the target


surface saturates with ions. 134
RF plasma
• For symmetric target-substrate configuration, sputtering of both surfaces will occur,
though in the opposite half cycles.
• When the electrode areas are not equal, the field must be higher at the smaller
electrode (higher current density), to maintain overall current continuity.
• It was found that voltage drop across the dark sheath of the two electrodes satisfy
the relation: (A is the area of the electrode)
m
V1 æ A2 ö
=ç ÷ (m = 1-2 experimentally)
V2 è A1 ø
• Thus by making the target electrode much smaller, sputtering occurs "only" on the
target.
• Wafer electrode can also be connected to chamber walls, further increasing V2/V1.

Figure 135
9-27
Bias sputter deposition (small negative bias at wafer chu
• Wafer chuck no longer connected to chamber wall, so one can apply a
negative DC or RF bias (self generated bias across the sheath region) relative
to chamber wall.
• More bombardment/etch of substrate, but deposition rate is faster than etching
rate.
• Obviously the net deposition rate is lower than regular (without bias) sputter
deposition.
• Increased bombardment of film may improve film quality.
• Can be used for wafer cleaning before deposition, or used to improve step
coverage.
• One mechanism for improved step coverage is re-deposition of sputtered
materials onto vertical sidewalls (see figure below).

One mechanism for improved


step coverage is re-deposition
of sputtered film material.
136
Bias sputter deposition to improve
step coverage: a second
mechanism

60o –
Sputtered 70o
away
material

Figure 9-29 Illustration of angle-dependent sputtering which removes non-planar


features in bias-sputter deposition.
Here the angled surfaces of the overhang are preferentially sputtered by the
directed ions, allowing for better filling of the hole.
Note that sputter rate is lowest for vertical (90o ion incident angle) and horizontal
surfaces (0o ion incident angle).
137
Magnetron sputtering
• In DC & RF sputtering, the efficiency of ionization from energetic collisions
between the electrons and gas atoms is low.
• Most electrons lose energy in non-ionizing collisions or are collected by the
electrodes.
• Oscillating RF fields increasing ionization efficiency marginally.
• Hence, deposition rates are low.
• To increase deposition rates, magnets are used to increase the percentage of
electrons that take part in ionization events, increasing the ionization efficiency.
• A magnetic field is applied at right angles to the electric field by placing large
magnets behind the target.
• This traps electrons near the target surface and causes them to move in a spiral
motion until they collide with an Ar atom.
• The ionization and sputtering efficiencies are increased significantly - deposition
rates increase by 10-100´, to 1 µm per minute.
• Unintentional wafer heating is reduced since the dense plasma is confined near
the target and ion loss to the wafers is less.
• A lower Ar pressure (to 0.5mTorr, can still sustain plasma) can be utilized since
ionization efficiency is larger which can improve film quality as less argon will be
incorporated into the film.
• Magnetron sputtering can be done in DC or RF, but more often it is done in DC 138
as cooling of insulating targets is difficult in RF systems.
Magnetron sputtering

Orbital motion of electrons increases probability


that they will collide with neutral species and create
ions.

Magnetron sputtering for


high density of plasma near
139
target.
Impact of magnetic field on ions
Hoping radius r:

Vd: voltage drop across dark space/sheath (~100V)


B: magnetic field (~100G)
For electron: r~0.3cm
For Ar+ ion: r~81cm

As a result:
(non-magnetron
• Current density (proportional to can work at
ionization rate) increases by 100 10mTorr)
times.
• Required discharge pressure drops
100 times.
• Deposition rate increases 100 times.

140
Magnetron sputtering
For some applications (e.g. filling of high aspect ratio
holes), small target and large target-substrate
separation is used, in order to achieve narrow arrival
angle distribution. (long throw sputtering)
This is possible only if the atoms don’t experience
many collisions on their path to the substrate.
This means a large mean free path and a low
chamber pressure, which can be achieved using
magnetron sputtering.
E.g., 10cm mean free path for 0.5mTorr pressure
(but this value is for Ar, not the material to be
deposited).
When the pressure is not that low, most atoms will A magnetron sputter gun,
be deposited onto chamber wall. (Those reaching magnet under target (not seen)
the substrate still have narrow arrival angle
distribution)
Issues for magnetron sputtering:
Erosion track in the target, leading
to poor target use efficiency and
non-uniform film on substrate.

141
Collimated sputtering
The goal is to fill high aspect ratio holes by more directional sputtering with narrow
arrival angle distribution.
The long throw sputtering (previous slide) is one kind of “collimated” sputtering, but
also with low efficiency.

Collimated sputtering

• Insert a plate with high-aspect-ratio holes.


• Sputter at low pressure, mean path is long enough that few collisions occur
between collimator and wafer.
• Species with velocities nearly perpendicular to wafer surface pass through the
holes. 142
• Reduce deposition rate considerably (most sputtered atoms cannot reach the
Ionized sputter deposition
• The depositing atoms themselves are
ionized.
• An RF coil around the plasma induces
collisions in the plasma, creating the
ions (50-85% ionized).
• Most sputtered atoms can reach the
substrate, thus it is a better solution than
a collimator.
• This, again, provides a narrow
Figure 9-31 distribution of arrival angles, which may
be useful when filling or coating the
bottom of deep contact hole.
a) b)

Figure 9-30
(a) Regular sputter deposition.
(b) Collimated sputter
deposition, by using long
throw configuration, a
collimator, or ionized sputter 143
deposition.
Ion beam sputter deposition (IBSD)
• High-end thin film deposition process.
• Lower pressure sputter deposition (10-4 Torr), sputtered atoms retain kinetic energy
due to minimal scatting in low pressure environments.
• High quality, smooth, pin hole free films.
• Enhanced adhesion and micro-structure control.
• Yields excellent coverage at small thicknesses and on high aspect ratio features.
• Independent control of ion beam parameters allows user to engineer film for
desired properties.
• Low energy ion source (left)
assists with end-hall ion
source (right).
• Typically, film properties from
ion beam deposition exceed
those deposited by
evaporation or magnetron
sputtering.
Typical ion beam sputter
deposition system, with ion
beam assisted deposition
capability for cluster tool
configuration 144
Comparison of evaporation and sputtering

145
Comparison of evaporation and sputtering

EVAPORATION SPUTTERING

low energy atoms higher energy atoms

high vacuum path low vacuum, plasma path


• few collisions • many collisions
• line of sight deposition • less line of sight deposition
• little gas in film • gas in film

larger grain size smaller grain size

fewer grain orientations many grain orientations

poorer adhesion better adhesion


146
Comparison of evaporation and sputtering

147
Comparison of evaporation and sputtering
Evaporation Sputtering

148
Comparison of typical thin film deposition
technology

149

You might also like