You are on page 1of 9

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/283202494

Analytical Compact Model for Lightly Doped Nanoscale Ultrathin-Body and Box
SOI MOSFETs With Back-Gate Control

Article  in  IEEE Transactions on Electron Devices · October 2015


DOI: 10.1109/TED.2015.2464076

CITATIONS READS

17 840

8 authors, including:

T.A. Karatsori Andreas Tsormpatzoglou


Ecole de Physique, Electronique et Matériaux (INP de Grenoble - PHELMA) Cea Leti
32 PUBLICATIONS   296 CITATIONS    51 PUBLICATIONS   839 CITATIONS   

SEE PROFILE SEE PROFILE

Christoforos Theodorou Eleftherios Ioannidis


Grenoble Institute of Technology ams-osram AG
92 PUBLICATIONS   593 CITATIONS    36 PUBLICATIONS   476 CITATIONS   

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Electrical characterization, simulation and modeling of nanowires MOSFETs View project

Variability modeling of 28 nm FD-SOI View project

All content following this page was uploaded by Christoforos Theodorou on 17 December 2015.

The user has requested enhancement of the downloaded file.


IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 10, OCTOBER 2015 3117

Analytical Compact Model for Lightly Doped


Nanoscale Ultrathin-Body and Box SOI
MOSFETs With Back-Gate Control
Theano A. Karatsori, Andreas Tsormpatzoglou, Christoforos G. Theodorou, Eleftherios G. Ioannidis,
Sebastien Haendler, Nicolas Planes, Gerard Ghibaudo, Fellow, IEEE,
and Charalabos A. Dimitriadis, Member, IEEE

Abstract— An analytical drain-current compact model for of a highly doped layer underneath the BOX, a so-called
lightly doped short-channel ultrathin-body and box fully backplane [6], has been proposed to eliminate this drawback of
depleted silicon-on-insulator MOSFETs with back-gate control is thin BOX.
presented. The model includes the effects of drain-induced
barrier lowering, channel-length modulation, saturation velocity, To exploit the benefits of UTBB FD-SOI MOSFETs with
mobility degradation, quantum confinement, velocity overshoot, back gate consisting of highly doped backplane, an analytical,
and self-heating. The proposed model has been validated by accurate, and fast compact model that properly describes
comparing with the experimental transfer and output character- the transistor behavior for a wide range of back biases
istics of devices with the channel lengths of 30 and 240 nm and is required. In the literature, several compact models for
with back bias varying from −3 to +3 V. The good accuracy of the
model makes it suitable for implementation in circuit simulation asymmetric-independent double-gate (DG) MOSFETs have
tools. been reported [7]–[17]. These models are based on the inver-
sion charge [7], [8], surface potential [9]– [15], and threshold
Index Terms— Back-gate control, compact model, fully
depleted silicon-on-insulator (FD-SOI) ultrathin-body and voltage [16], [17]. However, most of them either concern
box (UTBB) MOSFETs. long-channel devices or are based on numerical techniques,
which are less desirable due to the poor speed of simulation.
I. I NTRODUCTION Although the surface potential-based models become more
popular, for independent DG MOSFET, they are very complex

U LTRATHIN-BODY and box (UTBB) fully depleted


silicon-on-insulator (FD-SOI) MOSFETs are considered,
as one of the best candidates for controlling the short-
because of the three substrate operating modes: inversion,
depletion, and accumulation. Recently, an accurate and
computationally efficient surface-potential-based model for
channel effects (SCEs) in the future sub-28-nm CMOS UTBB SOI MOSFETs has been presented, which provides
generations [1]–[3]. Compared with the fin-shaped field-effect accurate results when the interface between body and BOX is
transistors, the UTBB FD-SOI technology is a much simpler depleted, and the back gate is biased in the reverse and low
process. Furthermore, due to the strong back-to-front gate forward bias range [14]. Although this model well predicts the
coupling effect, the threshold voltage of UTBB FD-SOI behavior of long-channel and short-channel devices, equations
MOSFETs can be controlled with back-gate bias instead of for short-channel modeling are not discussed. The model
using different channel doping concentrations and, thus, avoid presented in [14] has been extended to capture the substrate
variability due to random dopant fluctuations [4]. However, depletion effect in short-channel devices, but without capturing
thin buried oxide (BOX) could trigger strong coupling from the backside inversion effect [18]. Recently, a surface potential
the drain through the lightly doped substrate, resulting in compact model has been proposed covering all operating
loss of the gate control over the channel [5]. Incorporation modes [15]. However, the front surface potential is calculated
Manuscript received September 11, 2014; revised June 2, 2015; accepted from a complicated equation by developing an algorithm
July 23, 2015. Date of publication August 20, 2015; date of current version requiring different computation steps and fundamental effects
September 18, 2015. This work was supported by the ARISTEIA II Project [such as velocity overshoot (VO) and self-heating (SH)]
under Grant 4154 through the Greek General Secretariat for Research and
Technology within the European Social Fund and National Funds. The review in short-channel FD-SOI devices were not considered. The
of this paper was arranged by Editor J. C. S. Woo. threshold voltage-based models well predict the behavior of
T. A. Karatsori, A. Tsormpatzoglou, and C. A. Dimitriadis are long-channel devices [16] or short-channel devices in the
with the Department of Physics, Aristotle University of Thessaloniki,
Thessaloniki 54124, Greece (e-mail: tkarat@physics.auth.gr; substrate accumulation operating mode [17]. In this paper, we
atsormpa@auth.gr; cdimitri@physics.auth.gr). propose a threshold voltage-based compact model, which is
C. G. Theodorou, E. G. Ioannidis, and G. Ghibaudo are with the accurate for a wide range of back-gate biases in all oper-
Institut de Microelectronique, Electromagnetisme et Photonique-
Laboratoire d’Hyperfrequences et de Caracterisation Laboratory, ating modes and for all channel lengths using few model
Minatec, Grenoble 38016, France (e-mail: theodoch@minatec.inpg.fr; parameters.
ioannidis1980@gmail.com; ghibaudo@minatec.inpg.fr). Recently, we developed simple analytical models for the
S. Haendler and N. Planes are with STMicroelectronics, Crolles 38921,
France (e-mail: sebastien.haendler@st.com; nicolas.planes@st.com). threshold voltage and the ideality factor of the front interface
Digital Object Identifier 10.1109/TED.2015.2464076 for asymmetrical UTBB FD-SOI MOSFETs [19]. In this
0018-9383 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
3118 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 10, OCTOBER 2015

density N A of the silicon body, that is


ϕ(x c ,ym )
ni e Vth = NA (1)
where ϕ (x c , ym ) is the channel potential at the conductive
path x c and the position ym of the minimum potential, which
shows weak dependence on the gate voltage in the subthresh-
old region [21], Vth is the thermal voltage, and n i is the
intrinsic carrier concentration of silicon. The potential at the
conductive path ϕ(x c , ym ) can be written as [19]
ϕ(x c , ym ) = ϕ1 (x c , ym ) + ϕ2 (x c , ym )Vgf (2a)
where
Fig. 1. Schematic of the UTBB FD-SOI MOSFET cross section. ϕ1 (x c , ym )
 2L−ym (xc ) ym (x c )   L+ym (xc ) L−ym (x c )
paper, we have improved our previous model by defining e λ(xc ) −e λ(xc ) Vbi + e λ(xc ) −e λ(xc ) (Vbi +Vds)
the threshold voltage as the gate voltage when the electron = 2L
e λ(xc ) − 1
density at the effective conductive path reaches the doping  L−ym (xc ) −ym (x c )  L ym (x c )  ym (x c ) 
e λ(xc ) −e λ(xc ) e λ(xc ) −e λ(xc ) e λ(xc ) −1 Vgb  β(x )
density of the silicon body. Based on the analytical models c
for the voltage and the ideality factor, we derive a complete + 2L
analytical charge-based compact model for the drain current e λ(xc ) −1
(2b)
valid in all regions of operation with back-gate control, which  m (x c )
 L ym (x c ) 
− yλ(x
includes the drain-induced barrier lowering, channel-length 1−e c ) e c −e c
λ(x ) λ(x )

modulation (CLM), saturation velocity, mobility degradation, ϕ2 (x c , ym ) = a(x c ) L


(2c)
quantum confinement, VO, and SH effects. The proposed 1 + e λ(xc )
model has been validated by comparing the transfer and output εSi toxb + εox (tSi − x c )
a(x c ) = (2d)
characteristics with the experimental results and for a wide εSi (toxf + toxb ) + εox tSi
range of back-gate bias voltages. εSi toxf + εox x c
β(x c ) = . (2e)
εSi (toxf + toxb ) + εox tSi
II. D ISCUSSION ON THE T HRESHOLD VOLTAGE M ODEL Vgf = Vgf − Vfbf , Vgb
 = V − V , V is the built-in potential
gb fbb bi
A schematic cross section of an asymmetric n-channel across the source/drain-channel junctions, Vfbf(b) = φ f (b)
UTBB FD-SOI MOSFET and the definition of the geometrical is the flat-band voltage of the front (back) gate, φ f (b)
characteristics are shown in Fig. 1. The threshold voltage is the work function difference between metal gate/silicon,
in [19] is defined quantitatively as the gate voltage at which and λ (x c ) is the natural length at the effective conductive
the minimum carrier charge sheet density at the effective path [19]. Thus, the front-gate threshold voltage can be derived
conductive path reaches a value Q th adequate to achieve the from the relation
turn-ON condition. An empirical expression for Q th has been ϕ1 (x c ,ym ) + ϕ2 (x c ,ym )Vtf

derived in terms of the drain-bias Vds , device geometrical ni e Vth = NA (3)


parameters, and effective conductive path parameters to fit from which, we obtain the explicit expression
the model with simulated results. In this paper, we derive  
Vth N A − ϕ1 (xVc ,ym )
an analytical threshold voltage model for FD-SOI MOSFETs, Vtf = Vfbf + ln e th . (4)
using a definition from the literature based on the electron ϕ2 (x c , ym ) ni
density at the front and back channels simultaneously [20]. In (4), the Vtf dependence on Vgb is captured through the
In deep submicrometer FD-SOI MOSFETs, it has been potential φ1 (x c , ym ), which is described by (2b).
shown that the threshold voltage can be defined analytically The threshold voltage model has been verified by comparing
and with good accuracy as the gate voltage at which the sum the model with the experimental results. The devices measured
of the electron densities at the front and back channels reaches in this work are n-MOS transistors with backplane, issued from
the doping density of the silicon body [20]. Considering that the 28-nm FD-SOI CMOS technology with channel width
the work functions of the front and back metal gates are close, W = 0.5 μm and channel length L = 30 nm, fabricated by
in the case where the front-gate oxide is much thinner, the STM, France [22]. The doping concentration of the channel
case for the dominance of the front channel is sufficient to is N A ≈ 1015 cm−3 , and the doping concentration of the
explain the experimental results for a wide range of back-gate source/drain contacts is NSD ≈ 1020 cm−3 . The front-gate
biases. Furthermore, to derive analytical expression for the stack consists of TiN/HfO2 -based dielectric with the equivalent
threshold voltage, the effective conductive path concept should oxide thickness of 1.55 nm, the BOX thickness is 25 nm,
be accounted for [19]. Thus, for thinner front-gate oxide, the and the silicon film thickness is 7 nm. The change of the
threshold voltage is defined as the gate voltage at which the TiN work function from 4.3 to 4.65 eV depending on the
electron density at the effective conductive path located at a process parameters [23] and the variability of the TiN work
distance x = x c from the front interface is equal to the doping function due to grain-orientation [24] introduce uncertainty
KARATSORI et al.: ANALYTICAL COMPACT MODEL FOR LIGHTLY DOPED NANOSCALE UTBB SOI MOSFETs 3119

charges, in the subthreshold region, the charge sheet density


is defined as [17]
Vgf −Vtf −η f V y
η f Vth
i = η f Coxf Vth e
Q wi (6)

and in the strong inversion region, it becomes

i = Coxf (Vgf − Vtf − η f V y ).


Q si (7)

In (6) and (7), Coxf is the front-gate oxide capacitance per unit
area, η f is the ideality factor of the front-gate interface, and
Fig. 2. Front-gate threshold voltage Vtg versus back-gate voltage Vgb of a
UTBB FD-SOI MOSFET with W = 0.5 μm, L = 30 nm, toxf = 1.55 nm,
Vy is the quasi-Fermi potential in the channel (Vy = 0 at the
toxb = 25 nm, tSi = 7 nm, and N A = 1015 cm−3 . source and Vy = Vds at the drain). The SCEs and the coupling
of the front and back gates are included in (6) and (7) through
the parameters Vtf and η f [19].
for determining φ f . The substrate is standard p-type lightly
doped silicon, implanted with In to form a thin localized In order to obtain a single expression for the inversion
charge density denoted by Q i , valid from the subthreshold
highly doped (∼1018 cm−3 ) p-type layer underneath the BOX.
to the strong inversion region, we have used an interpolating
Taking the value of 4.05 eV for the electron affinity of
the silicon, the determined work function difference for the function of the form
 
back gate is φb = 0.17 V. Considering a decrease in the V −V −η V
gf tf f y
η f Vth
silicon work function by ∼0.13 V due to quantum confinement Q i = η f Coxf Vth ln 1 + e . (8)
(Section IV), it is φb = 0.3 V.
Fig. 2 shows the dependence of the front-gate threshold The normalized inversion sheet charge density
voltage Vtf on the back-gate bias Vgb . The values of Vtf qi = Q i /Coxf Vth valid in all regions of operation is
calculated from the model are compared with the experimental  V −V −η V

gf tf f y
values extracted from the transconductance linear extrapola- η f Vth
qi = η f ln 1 + e . (9)
tion method [21], as both values are determined at the onset
of inversion. The impact of the back-gate bias on the location
of the effective conductive path x c has been modeled with the The expression for the drain current is derived considering
empirical relations the charge distribution in the entire channel instead of the
  charge sheet approximation, which introduces some error in
xc Vgb
= Ac exp for Vgb ≤ 0 (5a) the moderate inversion region. Based on the drift–diffusion
tSi Bc transport, the drain current at any point y in the channel is
xc
= Ac + 0.04 × Vgb for Vgb > 0 (5b) given by [14]
tSi
which are in qualitative agreement with the simulation d Vy
Ids = W μCoxf Vth qi (10)
results of the mean channel position in UTBB FD-SOI dy
MOSFETs [25]. The model values of Vtf were obtained using where μ is the electron mobility, W is the gate width, and L is
φ f = 0.37 V, Ac = 0.2, and Bc = 3.1 V for the the gate length. With including the carrier saturation velocity
devices of the investigated SOI technology. It is noticed that effect, the mobility can be approximated as follows [26]:
φ f = 0.37 V is primarily an adjustment to the real
Vtf values, which includes the Vtf shift due to quantum μeff μeff
μ=  = (11)
 dϕs 
μeff μeff Vth dqi
1 + υsat d y
confinement [14] and interface traps [19], in addition to the 1+  dy 
υsat
work function difference between metal gate and silicon. The
results of Fig. 2 demonstrate the validity of the modified where ϕs is the surface potential, υsat is the saturation velocity
threshold voltage model. The parameters φ f , Ac , and Bc , with best value in MOS transistors ≈2 × 107 cm/s [27], and
extracted from the experimental data of Vtf versus Vgb , are μeff is the effective carrier mobility, which includes the effect
used in the drain-current model. of the transversal field. From (9), we obtain
 qi 
dqi ηf − d Vy
III. C OMPACT M ODEL D ESCRIPTION = e ηf − 1 . (12)
dy Vth dy
In charge-based models, the drain-current calculation is
usually based on the linear or exponential dependence of the Using (11) and (12), integration from the source to the drain
channel charge density in the strong or in the subthreshold results in the following drain-current equation in terms of
regions of operation, respectively. In an independent asymmet- the normalized charges at the source (qs ) and drain (qd )
ric DG device operation, the front interface charge becomes electrodes
relevant to compute the drain current by defining the threshold
W μeff Coxf Vth Vth qd qi
voltage and the ideality factor at an effective conductive path Ids = μeff Vth
dqi . (13)
L + υsat (qs − qd ) η f qs e− η f − 1
qi
controlled by the back-gate bias [19]. Starting from the channel
3120 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 10, OCTOBER 2015

Considering the effect of the series resistance Rsd , the mobility


attenuation factor θ1 becomes
θ1 = θ1,0 + W μo Rsd Coxf /L (19)
where θ1,0 is the linear mobility attenuation coefficient.
In the saturation region, based on [31] and [32], the channel
length shortening L due to the CLM effect can be
modeled as
 
(Vds − Vdsat + 0.05) tanh(Vds /Vdsat )3
L = λ f ln 1 +
Fig. 3. Dilogarithm function of (15) and analytical function of the right-hand VE
side of (15) as a function of qi /η f showing large error in the strong inversion (20)
region. The error is minimized using the optimized analytical function of (16).
where λ f is the natural length at the conductive path and
VE is a fitting parameter. It should be noted that (17) will lead
The integral in (13) can be written in terms of a dilogarithm to an implicit expression for the saturation drain voltage Vdsat .
function Considering that the second charge term in the brackets of (17)

 qi  q
W μeff Coxf Vth2 η f ηf π 2  d is dominant in the above-threshold region, an explicit expres-
Ids = poly log 2, 1 − e − . sion for Vdsat can be obtained from the relation dIds /d Vds = 0
L + μeff Vth
(q s −q d ) 6 q s
υsat yielding
(14) 
 
υsat L 2 2qs Vth υsat L υsat L qs Vth
In order to express the drain-current equation explicitly in Vdsat = + − + −qs Vth .
terms of the charge densities qs and qd in (14), the dilogarithm μeff μeff μeff ηf
function is replaced by the first two terms of the Taylor series (21)
expansion as Including the CLM effect, the drain-current equation
 qi   
qi qi 2 becomes
poly log 2, 1 − e η f = − − . (15)

ηf 2η f W μeff Coxf Vth2 1 2
Ids = (qs − qd ) + q − qd
2
In (15), the error between the exact value of the dilogarithm L eff + μeff Vth
υsat (qs − qd )
2η f s
function of the left-hand side and the analytical function of the (22)
right-hand side is up to ∼60% in the strong inversion region,
as shown in Fig. 3. This error is minimized with the analytical where L eff = L − L. The first charge term in the brackets
function (16) for the dilogarithm function (Fig. 3) of (22) dominates in weak inversion, while the second charge
 qi 
term in the brackets and the charge term in the denominator
qi q2 dominate in the strong inversion region. As the threshold
poly log 2, 1 − e η f = − − i2 (16)
ηf 2η f voltage Vtf is determined at the onset of inversion [19],
we found that, for a smooth transition from weak to strong
which is used in (14) for compact modeling purpose.
inversion, the charge of weak inversion should be obtained
From (14) and (16), we obtain the following analytical expres-
from (9) with increasing Vtf by 2.5 × Vth and the charge terms
sion for Ids in terms of the normalized charges qs and qd :

of strong inversion with increasing Vtf by 5 × Vth .
W μeff Coxf Vth2 1 2
Ids = (qs − qd ) + q − qd .
2
L + μeff Vth
υsat (qs − qd )
2η f s IV. I MPROVEMENT OF THE C OMPACT M ODEL
(17) The SCEs, mobility degradation, saturation velocity, and
coupling of front-back gates were already considered in the
We note that (17) constitutes the basic structure of com-
model through the threshold voltage, subthreshold swing coef-
pact drain-current models like Berkeley Short-channel IGFET
ficient, and carrier mobility. In order to improve the accuracy
Model (BSIM) [26], [28].
of the model, we include some other important effects, namely
The effective mobility μeff degradation due to the vertical
the quantum-mechanical effects (QMEs), VO effects, and
gate field is expressed in terms of the charge at the source
SH effects.
side qs as [29]
As the silicon body thickness tSi is scaled down <10 nm,
μo
μeff = (18) the splitting of the energy bands has to be considered in the
1 + θ1 Vth qs + θ2 (Vth qs )2 model. Due to this splitting, the lowest energy band rises and
where μo is the low-field mobility, and θ1 and θ2 are the the carriers need more energy to occupy it, resulting in an
mobility attenuation factors of the first and second order, increase in the threshold voltage. For compact modeling, the
which can be extracted from the experimental data at low drain quantum confinement effect has been considered in the model
voltage using a modified Y-function method [30]. The para- with decreasing the semiconductor work function ϕs by the
meter θ2 is correlated with the surface roughness scattering, minimum energy of the lowest conduction subband to a new
while θ1 includes phonon scattering and Coulomb scattering. work function φsnew [26]. Thus, the shift in Vtf due to quantum
KARATSORI et al.: ANALYTICAL COMPACT MODEL FOR LIGHTLY DOPED NANOSCALE UTBB SOI MOSFETs 3121

confinement is included in the model considering the work with K ox = 1.4 W/m · K and K Si = 63 W/m · K, the
function difference as [26] thermal conductivities of SiO2 and silicon, respectively. Once
the lattice temperature T is obtained, the saturation velocity,
φ = φm − φsnew (23)
threshold voltage, and mobility have to be recalculated to
where φm is the gate metal work function. The shift in the obtain the drain current at that temperature. For typical
threshold voltage due to the quantum confinement is Vtf = temperature rise by 80 K, the saturation velocity υsat = 2.4 ×
0.085 + 2.178/tSi 2 proposed in Berkeley Short-channel IGFET
107 /(1 + 0.8 × exp(T /600)) decreases from 1.03 × 107 cm/s
Model-Independent Multi-Gate [14], where tSi is expressed in at 300 K to 0.96 × 107 cm/s at 380 K. Thus, υsat has weak
nanometer. Thus, for tSi = 7 nm, the contribution of quantum temperature dependence, and it can be considered constant
confinement in φ is ∼0.129 V. The application of compact in our model. Furthermore, it has been demonstrated that, in
models in ultrathin symmetric common DG and asymmetric- lightly doped UTBB SOI MOSFETs, the effect of temperature
independent DG MOSFETs demonstrates that the threshold on the threshold voltage is negligible [36].
voltage shift due to structural confinement is sufficient to In addition to the mobility reduction due to the vertical
predict, with good accuracy, the current–voltage characteris- gate-oxide field as described by (18), the carrier mobility is
tics, without considering the quantum degradation of the gate degraded with increasing the temperature by the SH effect due
capacitance [14], [26]. to different scattering mechanisms. Whereas in Technology
In UTBB FD-SOI MOSFETs, positive Vgb moves the mean Computer Aided Design simulations, the local temperature
channel position deeper away from the front-gate interface is considered; in compact modeling, the mobility cannot be
into the silicon film [25]. Thus, in addition to the threshold explicitly derived considering local temperature variations.
voltage described by (4) in terms of the mean channel position, For compact modeling, taking uniform the lattice temperature
this effect is also considered in modifying the ideality factor throughout the channel of nanoscale UTBB FD-SOI devices
prior to the drain-current calculation. The following back-gate [16], [34] and considering as is usual a power law for the
bias-dependent equivalent thicknesses for the front-gate oxide temperature dependence of the mobility, the effective mobility
and the silicon body are applied to η f in (22) to refine the μeff,sh at temperature T is expressed in terms of the effective
drain-current calculation [25] mobility μeff at the ambient temperature To as
εox  r
toxf,eq = toxf + xc (24) To μeff
εSi μef,sh = μeff =  r (29)
εox T 1 + Rth TVod Ids
tSi,eq = tSi − xc . (25)
εSi
In short-channel transistors, in contrast to the classical where r is a fitting parameter. Note that, for typical UTBB
drift–diffusion models, the saturated velocity in the saturation SOI devices, the term Rth Vd Ids /To is of the order of 10−2 ,
region can achieve higher values than υsat due to nonstationary which makes possible the first-order expansion of the power
effects. This phenomenon is known as VO [33]. Considering term in (29) as
the VO effect for nanoscale devices, the contribution of the μeff
μef,sh ≈ . (30)
VO in (22) is introduced in a simple way by adding a term in 1 + r RthTVod Ids
the saturation velocity as [33]
  In (22), substituting μeff with μeff,sh , we get a quadratic
2λw
υsat,vo = υsat 1 + (26) expression in terms of Ids , and the solution of which is given
L by
where λw is the energy-relaxation length defined as 
λw ≈ 2υsat τw , with τw being the energy-relaxation time −A2 + A22 + 4 A1 A0
constant. Ids = (31)
2 A1  
Due to the thin silicon thickness in short-UTBB FD-SOI
W q 2 − qd2
devices, the SH effect is expected to be significant [34]. A0 = μeff Coxf Vth2 (qs − qd ) + s (32a)
Experimental evidence for the presence of SH effects in the L eff 2η f
investigated UTBB FD-SOI transistors has been presented r Rth Vds Vth μeff
A1 = , A2 = 1 + (qs − qd ) . (32b)
in [35]. Due to the ultrathin body, very small channel length, To υsat,vo L eff
and thin BOX of the fully depleted device, heat conduction
from the channel to the substrate is very quick. Therefore, the V. M ODEL VALIDATION
rise of the lattice temperature can be assumed to be uniform
The drain-current compact model has been verified by
throughout the channel, and, in turn, linearly related to the
comparing the model with the experimental results. The
power dissipated in the device as [16], [34]
devices measured in this work are n-MOS transistors with
T − To = Rth Vds Ids (27) backplane, issued from the 28-nm FD-SOI CMOS technology
with channel width W = 0.5 μm and channel length L = 30
where T is the lattice temperature, To is the ambient
and 240 nm, as described in Section II. The parameters of the
temperature, and Rth is the thermal resistance
 device under study, extracted from the transfer characteristics
1 tox,b measured at Vds = 30 mV at different back-gate voltages
Rth = (28)
2W K ox K Si tSi using the Y-function method [30], are listed in Table I. It is
3122 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 10, OCTOBER 2015

TABLE I
E XTRACTED M OBILITY PARAMETERS FOR UTBB FD-SOI
MOSFET W ITH L = 30 nm

Fig. 5. (a) and (b) Experimental (symbols) and modeled (solid lines) output
characteristics and (c) and (d) output conductance of a silicon UTBB FD-SOI
MOSFET with W = 0.5 μm, L = 30 nm, tSi = 7 nm, and tox = 1.55 nm,
measured at back-gate voltages Vgb = +3 and −3 V.

Fig. 4. (a) and (b) Experimental (symbols) and modeled (solid lines) transfer
and (c) and (d) transconductance characteristics of silicon UTBB FD-SOI
MOSFET with W = 0.5 μm, L = 30 nm, tSi = 7 nm, and tox = 1.55 nm,
measured at drain voltages 0.1 and 1 V and different back-gate voltages Vgb .

noted that the mobility is close to 93.5 cm2 /Vs, while the
parameters θ1 and θ2 are increased with negative Vgb due to
stronger surface roughness and phonon scattering mechanisms
at the front interface. This is consistent with the finding that
the effective carrier mobility is degraded by the proximity with
the HfO2 -based front-gate dielectric [37].
Fig. 4(a) and (b) presents the measured transfer character-
istics for Vds = 0.1 and 1 V, with back-bias voltages Vgb
varying from −3 to +3 V, and Fig. 5(a) and (b) presents
the output characteristics with the parameters: the front-gate
bias Vgf and different Vgb values. Good agreement between Fig. 6. Dependence of the electron mobility on the effective electric
model results and experimental measurements in all operation field E eff (a) and the temperature T due to the SH effect (b) used to reproduce
regimes and for a wide range of back biases is obtained, using the transfer and output characteristics of Figs. 4 and 5.
fixed values for the parameters: φ f = 0.37 V for the front
gate, φb = 0.3 V for the back gate, VE = 0.5 V, λw = 100 shows the dependence of the electron mobility on the effective
and 70 nm for Vgb ≤ 0 and Vgb > 0, respectively, and r = 0.5, electric field E eff = (q N A tSi /εSi )+Coxf (Vgf −Vtf )/2εSi and the
which is close to the value of r = 0.6 found for nanoscale temperature T for different back-gate voltages, used to obtain
(L = 22 nm) DG MOSFETs [38]. The low value of the the results of Figs. 4 and 5, justifying the proposed mobility
temperature exponent r in nanoscale FD-SOI devices has been model.
confirmed from the experimental measurements of the electron In order to check further the accuracy of the model, the
mobility with temperature [39], [40]. It has been found that the small-signal parameters of transconductance gm and output
mobility temperature exponent decreases as the gate length is conductance gds have been examined. Fig. 4(c) and (d)
reduced, which is attributed to the attenuation of the phonon shows the plots of gm versus Vgf and gds versus Vds ,
scattering mechanism with respect to the source/drain process- respectively, derived from the experimental data of
induced defect scattering mechanism [40]. Fig. 6(a) and (b) Figs. 4(a) and (b) and 5(a) and (b). The agreement between
KARATSORI et al.: ANALYTICAL COMPACT MODEL FOR LIGHTLY DOPED NANOSCALE UTBB SOI MOSFETs 3123

The extracted mobility parameters are reported in Fig. 8. The


unified expressions for the charge and current (9) and (17),
respectively, can be used to derive analytical expressions for
the total charges and capacitances at the source, drain, and
gate terminals. This will be the subject of our future work.

VI. C ONCLUSION
In this paper, we present an analytical compact
drain-current model for lightly doped short-channel
Fig. 7. Experimental (symbols) and modeled (solid lines) transfer character- UTBB FD-SOI MOSFETs with back-gate control, accounting
istics in the linear representation of a silicon UTBB FD-SOI MOSFET with for small geometry effects, saturation velocity effects,
W = 0.5 μm, L = 30 nm, tSi = 7 nm, and tox = 1.55 nm, measured at mobility degradation effects, QMEs, VO effects, and
drain voltage 1 V and different back-gate voltages Vgb with considering the
SH and VO effects (solid lines), without considering the SH and VO effects SH effects. In summary, in addition to the mobility
(dashed lines), and without considering the SH effect (dashed-dotted lines). parameters (μo , θ1 , and θ2 ) and threshold-voltage parameters
(φ f , Ac , and Bc ) extracted from the experimental data,
a limited number of fitting parameters (VE , λw , and r ) are
needed in the proposed drain-current compact model. Using
these parameters, the transfer and output characteristics
of UTBB FD-SOI MOSFETs can be predicted with good
accuracy for a wide range of back-gate biases covering the
inversion, depletion, and accumulation operation regimes. The
good level of accuracy makes the compact model suitable for
implementation in circuit simulation tools.

R EFERENCES
[1] H. Joachim, Y. Yamaguchi, K. Ishikawa, Y. Inoue, and T. Nishimura,
“Simulation and two-dimensional analytical modelling of subthreshold
slope in ultrathin-film SOI MOSFET’s down to 0.1 m gate length,” IEEE
Trans. Electron Devices, vol. 40, pp. 1812–1817, 1993.
[2] J. G. Fossum, V. P. Trivedi, and K. Wu, “Extremely scaled fully depleted
SOI CMOS,” in Proc. IEEE Int. SOI Conf., Oct. 2002, pp. 135–136.
[3] T. Skotnicki, “Competitive SOC with UTBB SOI,” in Proc. IEEE Int.
SOI Conf., Oct. 2011, pp. 1–61.
Fig. 8. (a) and (b) Experimental (symbols) and modeled (solid lines) transfer [4] A. Asenov, “Random dopant induced threshold voltage lowering and
characteristics and (c) and (d) output characteristics of the UTBB FD-SOI fluctuations in sub-0.1 μm MOSFET’s: A 3-D ‘atomistic’ simulation
MOSFET with L = 240 nm, measured at different back-gate voltages Vgb . study,” IEEE Trans. Electron Devices, vol. 45, no. 12, pp. 2505–2513,
Extracted mobility parameters: μo = 193 cm2 /Vs, θ1 = −0.2 V −1 , and Dec. 1998.
θ2 = 0.65 V −2 for Vgb = −2 V, μo = 200 cm2 /Vs, θ1 = −0.3 V−1 , and [5] T. Skotnicki et al., “Innovative materials, devices, and CMOS
θ2 = 0.55 V−2 for Vgb = 0 V, and μo = 225 cm2 /Vs, θ1 = −0.05 V−1 , technologies for low-power mobile multimedia,” IEEE Trans. Electron
and θ2 = 0.3 V−2 for Vgb = +2 V. Devices, vol. 55, no. 1, pp. 96–130, Jan. 2008.
[6] C. Fenouillet-Beranger et al., “Low power UTBOX and back plane (BP)
FDSOI technology for 32nm node and below,” in Proc. IEEE Int. Conf.
the experimental and modeled results is very good for IC Design Technol. (ICICDT), May 2011, pp. 1–4.
back-gate bias varying in the range of −3 to +3 V, [7] A. S. Roy, J.-M. Sallese, and C. C. Enz, “A closed-form charge-based
expression for drain current in symmetric and asymmetric double gate
supporting the good accuracy of the compact model. MOSFET,” Solid-State Electron., vol. 50, no. 4, pp. 687–693, 2006.
As shown in Fig. 7, for two typical back-gate voltages Vgb , [8] F. Lime et al., “A physical compact DC drain current model for
the drain is significantly underestimated without considering long-channel undoped ultra-thin body (UTB) SOI and
asymmetric double-gate (DG) MOSFETs with independent gate
both the VO and SH effects or overestimated without con- operation,” Solid-State Electron., vol. 57, no. 1, pp. 61–66, Mar. 2011.
sidering the SH effect. These results indicate the necessity to [9] H. Lu and Y. Taur, “An analytic potential model for symmetric and
include both the SH and VO effects for good model accuracy. asymmetric DG MOSFETs,” IEEE Trans. Electron Devices, vol. 53,
no. 5, pp. 1161–1168, May 2006.
The model has also been evaluated for the device with a [10] N. Sadachika et al., “Completely surface-potential-based compact model
larger gate length (L = 240 nm). Comparison between the of the fully depleted SOI-MOSFET including short-channel effects,”
model and experimental Ids –Vgf and the Ids –Vds characteristics IEEE Trans. Electron Devices, vol. 53, no. 3, pp. 2017–2024, Sep. 2006.
[11] A. Sahoo, P. K. Thakur, and S. Mahapatra, “A computationally efficient
is shown in Fig. 8 for the typical values of Vgb = −2, generalized Poisson solution for independent double-gate transistors,”
0, and +2 V, which demonstrate good model accuracy. The IEEE Trans. Electron Devices, vol. 57, no. 3, pp. 632–636, Mar. 2010.
model parameters are the same as for L = 30 nm, except for [12] J. Zhang, L. Zhang, J. He, and M. Chan, “A noncharge-sheet channel
potential and drain current model for dynamic-depletion silicon-on-
φ f = 0.27 V. The lower value of φ f in the long-channel insulator metal-oxide-semiconductor field-effect transistors,” J. Appl.
device can be attributed either to the process-induced traps Phys., vol. 107, no. 5, pp. 054507-1–054507-7, Apr. 2010.
near the source/drain regions or to the work function variability [13] D. D. Lu, M. V. Dunga, C.-H. Lin, A. M. Niknejad, and
C. Hu, “A computationally efficient compact model for fully-depleted
of TiN caused by the different grain-orientation, the effect of SOI MOSFETs with independently-controlled front- and back-gates,”
which becomes more pronounced in the short-channel device. Solid-State Electron., vol. 62, no. 1, pp. 31–38, Aug. 2011.
3124 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 10, OCTOBER 2015

[14] S. Khandelwal et al., “BSIM-IMG: A compact model for ultrathin-body [29] K. Rais, F. Balestra, and G. Ghibaudo, “On the high electric field
SOI MOSFETs with back-gate control,” IEEE Trans. Electron Devices, mobility behavior in Si MOSFET’s from room to liquid helium
vol. 59, no. 8, pp. 2019–2025, Aug. 2012. temperature,” Phys. Status Solidi A, vol. 145, no. 1, pp. 217–221,
[15] T. Poiroux et al., “UTSOI2: A complete physical compact model for Sep. 1994.
UTBB and independent double gate MOSFETs,” in IEDM Tech. Dig., [30] N. Subramanian, G. Ghibaudo, and M. Mouis, “Parameter extraction of
Dec. 2013, pp. 12.4.1–12.4.4. nano-scale MOSFETs using modified Y function method,” in Proc. Eur.
[16] M.-C. Hu and S.-L. Jang, “An analytical fully-depleted Solid-State Device Res. Conf. (ESSDERC) Sep. 2010, pp. 309–312.
SOI MOSFET model considering the effects of self-heating and [31] Y. Tsividis, Operation and Modeling of the MOS Transistor, 2nd ed.
source/drain resistance,” IEEE Trans. Electron Devices, vol. 45, no. 45, New York, NY, USA: McGraw-Hill, 1999.
pp. 797–801, Apr. 1998. [32] N. Fasarakis et al., “Compact model of drain current in short-channel
[17] M. Reyboz, P. Martin, T. Poiroux, and O. Rozeau, “Continuous model triple-gate FinFETs,” IEEE Trans. Electron Devices, vol. 59, no. 7,
for independent double gate MOSFET,” Solid-State Electron., vol. 53, pp. 1891–1898, Jul. 2012.
no. 5, pp. 504–513, May 2009. [33] M. Cheralathan et al., “Compact drain-current model for
[18] P. Kushwaha et al., “Modeling the impact of substrate depletion in reproducing advanced transport models in nanoscale double-gate
FDSOI MOSFETs,” Solid-State Electron., vol. 104, pp. 6–11, Feb. 2015. MOSFETs,” Semicond. Sci. Technol., vol. 26, no. 9, p. 095015, 2011.
[19] N. Fasarakis et al., “Analytical modeling of threshold voltage and [34] Y.-G. Chen, S.-Y. Ma, J. B. Kuo, Z. Yu, and R. W. Duton, “An analytical
interface ideality factor of nanoscale ultrathin body and buried oxide drain current model considering both electron and lattice temperatures
SOI MOSFETs with back gate control,” IEEE Trans. Electron Devices, simultaneously for deep submicron ultrathin SOI NMOS devices with
vol. 61, no. 4, pp. 969–975, Apr. 2014. self-heating,” IEEE Trans. Electron Devices, vol. 42, no. 5, pp. 899–906,
[20] S.-S. Chen and J. B. Kuo, “Deep submicrometer double-gate May 1995.
fully-depleted SOI PMOS devices: A concise short-channel effect [35] S. Makovejev et al., “On extraction of self-heating features in UTBB
threshold voltage model using a quasi-2D approach,” IEEE Trans. SOI MOSFETs,” in Proc. 13th Int. Conf. Ultimate Integr. Silicon (ULIS),
Electron Devices, vol. 43, no. 9, pp. 1387–1393, Sep. 1996. Mar. 2012, pp. 109–112.
[21] N. Fasarakis et al., “Analytical unified threshold voltage model of [36] G. Groeseneken, J. Coligne, H. E. Maes, J. C. Alderman, and
short-channel FinFETs and implementation,” Solid-State Electron., S. Holt, “Temperature dependence of threshold voltage in thin-film SOI
vol. 64, no. 1, pp. 34–41, Oct. 2011. MOSFETs,” IEEE Electron Device Lett., vol. 1, no. 8, pp. 329–331,
[22] N. Planes et al., “28 nm FDSOI technology platform for high-speed Aug. 1990.
low-voltage digital applications,” in Proc. Symp. VLSI Technol. (VLSIT), [37] T. Rudenko et al., “Transconductance and mobility behaviors in UTB
Jun. 2012, pp. 133–134. SOI MOSFETs with standard and thin BOX,” in Proc. Euro SOI Conf.,
[23] S. A. Vitale, J. Kedzierski, P. Healey, P. W. Wyatt, and C. L. Keast, 2009, pp. 111–113.
“Work-function-tuned TiN metal gate FDSOI transistors for subthreshold [38] M. Cheralathan, C. Sampedro, F. Gámiz, and B. Iñiquez, “Analytical
operation,” IEEE Trans. Electron Devices, vol. 58, no. 2, pp. 419–426, temperature dependent model for nanoscale double-gate MOSFETs
Feb. 2011. reproducing advanced transport models,” Solid-State Electron., vol. 98,
[24] H. F. Dadgour, K. Endo, V. K. De, and K. Banerjee, “Grain-orientation pp. 2–6, Aug. 2014.
induced work function variation in nanoscale metal-gate transistors— [39] L. Pham-Nguyen, C. Fenouillet-Beranger, A. Vandooren, T. Skotnicki,
Part I: Modeling, analysis, and experimental validation,” IEEE Trans. G. Ghibaudo, and S. Cristoloveanu, “In situ comparison of Si/high-k and
Electron Devices, vol. 57, no. 10, pp. 2504–2514, Oct. 2010. Si/SiO2 channel properties in SOI MOSFETs,” IEEE Electron Device
[25] S. Burignat et al., “Substrate impact on threshold voltage and Lett., vol. 30, no. 10, pp. 1075–1077, Oct. 2009.
subthreshold slope of sub-32 nm ultra thin SOI MOSFETs with thin [40] M. Shin et al., “Low temperature characterization of mobility in 14 nm
buried oxide and undoped channel,” Solid-State Electron., vol. 54, no. 2, FD-SOI CMOS devices under interface coupling conditions,” Solid-State
pp. 213–219, Feb. 2010. Electron., vol. 108, pp. 30–35, Jun. 2015.
[26] A. F. Abo-Elhadeed and W. Fikry, “Compact model for short and ultra
thin symmetric double gate,” in Proc. 22nd Int. Conf. Microelectron.,
2010, pp. 24–27.
[27] J. R. Hauser, “A new and improved physics-based model for
MOS transistors,” IEEE Trans. Electron Devices, vol. 52, no. 12,
pp. 2640–2647, Dec. 2005.
[28] M. Chan, Y. Taur, C.-H. Lin, J. He, A. M. Niknejad, and C. Hu,
“A framework for generic physics based double-gate MOSFET
modeling,” in Proc. NANOTECH, vol. 2. 2003, pp. 270–273. Authors’ photographs and biographies not available at the time of publication.

View publication stats

You might also like