You are on page 1of 63

10 카운터 (Counter)

IT CookBook, 디지털 논리회로


학습목표 및 목차

 비동기식, 동기식 카운터의 설계 과정 및 동작을 이해한다.


 링 카운터와 존슨 카운터의 동작을 이해한다.
 IC 카운터를 이용하여 다양한 형태의 카운터를 설계할 수 있는
능력을 배양한다.
 카운터의 주요 응용으로서 디지털 시계와 주파수 카운터의 동작
원리를 이해한다.

01. 비동기 카운터


02. 동기 카운터
03. 기타 카운터
04. IC 카운터
05. 카운터의 응용

-2-
01 비동기식 카운터

 비동기 카운터는 첫 번째 플립플롭의 CP(clock pulse) 입력에만 클록펄스


가 입력되고, 다른 플립플롭은 각 플립플롭의 출력을 다음 플립플롭의 CP
입력으로 사용한다.
 즉, 플립플롭의 출력 전이가 다른 플립플롭을 트리거시키는 원인으로 작
용한다.
 비동기 카운터는 리플(ripple) 카운터라고도 부른다.
 카운터에서 구별되는 상태의 수가 m일 때 modulo- m(간단히 mod- m;
m 진)의 카운터이다.
 비동기 카운터는 J-K 플립플롭 또는 T 플립플롭을 사용하여 구성
 카운터는 상향 카운터(up counter)와 하향 카운터(down counter)가 있
다.

-3-
01 비동기식 카운터

1. 상향 비동기식 카운터
 4비트 2진 상향 카운터
계수 상태
클록펄스 QD QC QB QA 10진수
1 0 0 0 0 0
2 0 0 0 1 1
3 0 0 1 0 2
4 0 0 1 1 3
5 0 1 0 0 4
6 0 1 0 1 5
7 0 1 1 0 6
8 0 1 1 1 7
9 1 0 0 0 8
10 1 0 0 1 9
11 1 0 1 0 10
12 1 0 1 1 11
13 1 1 0 0 12
14 1 1 0 1 13
15 1 1 1 0 14
16 1 1 1 1 15
-4-
01 비동기식 카운터
 각 플립플롭은 클록펄스의 하강에지에서 변화한다.
 QA에서는 입력 클록 주파수의 1/2, QB에서는 1/4, QC에서는 1/8, QD에서는
1/16의 주파수를 갖는 구형파가 얻어진다.

논리 회로도

CP
QA 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0
QB 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0
QC 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0
QD 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0
타이밍 도
상태 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0

-5-
01 비동기식 카운터

상태도

4비트 2진 상향 카운터(상승 에지 트리거)

-6-
01 비동기식 카운터

2. 하향 비동기식 카운터
 4비트 2진 하향 카운터 계수 상태
클록펄스 QD QC QB QA 10진수
1 1 1 1 1 15
2 1 1 1 0 14
3 1 1 0 1 13
4 1 1 0 0 12
5 1 0 1 1 11
6 1 0 1 0 10
7 1 0 0 1 9
8 1 0 0 0 8
9 0 1 1 1 7
10 0 1 1 0 6
11 0 1 0 1 5
12 0 1 0 0 4
13 0 0 1 1 3
14 0 0 1 0 2
15 0 0 0 1 1
16 0 0 0 0 0
-7-
01 비동기식 카운터
 각 플립플롭은 클록펄스의 상승에지에서 변화.
 QA에서는 입력 클록 주파수의 1/2, QB에서는 1/4, QC에서는 1/8, QD에서는
1/16의 주파수를 갖는 구형파가 얻어진다.

논리 회로도

CP
QA 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
QB 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1
QC 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1
QD 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1
상태 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15 타이밍 도

-8-
01 비동기식 카운터

상태도

4비트 2진 하향 카운터(하강 에지 트리거)

-9-
01 비동기식 카운터

3. 비동기 상향/하향 카운터


 S=0으로 하면 MUX의 입력 I0와 출력 F가 연결 : 상향 카운터
 S=1로 하면 MUX의 입력 I1 과 출력 F가 연결 : 하향 카운터

비동기 4비트 상향/하향 카운터

- 10 -
01 비동기식 카운터

4. modulo-m 비동기 카운터


 비동기 10진 카운터(BCD 카운터, decade counter)
 0에서 9까지의 카운트를 반복
 BCD 카운터를 구성하려면 4개의 플립플롭이 필요
 16개의 상태 중에서 10개의 상태만을 사용

상태표
클록펄스 QD QC QB QA 10진수
1 0 0 0 0 0
2 0 0 0 1 1
3 0 0 1 0 2
4 0 0 1 1 3
5 0 1 0 0 4
6 0 1 0 1 5
7 0 1 1 0 6
8 0 1 1 1 7
9 1 0 0 0 8
10 1 0 0 1 9
- 11 -
01 비동기식 카운터
 카운터 출력이 (목표하는 최고 카운트)+1에 도달한 순간을 포착하여 모든
플립플롭을 0으로 Clear
 QB와 QD 출력을 NAND 게이트로 결합하고 그 출력을 모든 플립플롭이
clear 입력에 연결

CP
QA glitch는 카운
glitch 터의 오동작
QB
원인이 될 수
QC 있다.
QD
CLR
- 12 -
01 비동기식 카운터

 3 자리 10진 카운터의 블록도


 3 자리 10진수인 000~999까지 카운트할 수 있는 카운터

- 13 -
01 비동기식 카운터

5. 프리세트 카운터
 0보다 큰 수로부터 카운터를 시작할 수 있다.
 LOAD=0 : 정상적인 상향 카운터로 동작
 LOAD=1 : 프리세트 입력으로 초기화.(PA PB PC=010 이면, QA QB QC=010)
PA PB PC

LOAD

QA QB QC
1
PR PR PR
JA Q JB Q JC Q
CP

KA KB KC CLR
CLR CLR

FF-A FF-B FF-C


- 14 -
01 비동기식 카운터
 이제 LOAD=0으로 하면 카운트 시작.

시작

000 001 010 011 100 101 110 111

 아래의 회로를 추가하여 modulus를 가변.


 QA QB QC =000일 때에 한해서 NOR 게이트의 출력 즉, LOAD=1이 되고, 기타
의 경우에는 출력이 0이 된다. 따라서 PA PB PC =010으로 설정하고 카운터가
계수를 하여 QA QB QC = 000이 되는 순간 카운터의 출력은 010으로 프리세트
된다.

010 011 100 101 110 111

- 15 -
01 비동기식 카운터

 Modulus 설정방법

(프리세트 카운터의 modulus) = (최대 modulus 2n ) - (프리세트된 수)

여기서 n은 카운터에서의 플립플롭의 수

- 16 -
02 동기식 카운터

 플립플롭에서의 전파지연 tPD인 경우 n개의 플립플롭을 종속 연결한 비동


기 카운터의 전체 전파지연은 ntPD 가 된다.
 이러한 지연 때문에 입력 클록펄스를 모든 플립플롭에 공통으로 인가하는
동기식 카운터를 사용.

1. 2비트 동기식 2진 카운터

00 현재상태 차기상태 플립플롭 입력


QB QA QB QA JB KB JA KA
01 11 0 0 0 1 0 x 1 x
0 1 1 0 1 x x 1
1 0 1 1 x 0 1 x
10 1 1 0 0 x 1 x 1
상태도 상태 여기표

- 17 -
02 동기식 카운터

QA QA QA QA
QB 0 1 QB 0 1 QB 0 1 QB 0 1
0 1 0 X X 0 1 X 0 X 1

1 X X 1 1 1 1 X 1 X 1

J B  QA K B  QA JA 1 KA 1

1 QA(LSB) QB

JA Q JB Q CP

QA 0 1 0 1 0
KA Q KB Q QB 0 0 1 1 0
FF-A FF-B
CP t1 t2 t3 t4

2비트 동기식 카운터 회로 및 타이밍 도

- 18 -
02 동기식 카운터

2. 3비트 동기식 2진 카운터


 J-K 플립플롭을 사용하여 설계
000
111 001

110 010

101 011
100

3비트 동기 2진 카운터의 상태도

- 19 -
02 동기식 카운터

현재상태 차기상태 플립플롭 입력


QC QB QA QC QB QA JC KC JB KB JA KA
0 0 0 0 0 1 0 x 0 x 1 x
0 0 1 0 1 0 0 x 1 x x 1
0 1 0 0 1 1 0 x x 0 1 x
0 1 1 1 0 0 1 x x 1 x 1
1 0 0 1 0 1 x 0 0 x 1 x
1 0 1 1 1 0 x 0 1 x x 1
1 1 0 1 1 1 x 0 x 0 1 x
1 1 1 0 0 0 x 1 x 1 x 1

QBQA QBQA QBQA


QC 00 01 11 10 QC 00 01 11 10 QC 00 01 11 10
0 1 0 X X X X 0 1 X X

1 X X X X 1 1 1 1 X X

J C  QB Q A K C  QB Q A J B  QA

- 20 -
02 동기식 카운터
QBQA QBQA QBQA
QC 00 01 11 10 QC 00 01 11 10 QC 00 01 11 10
0 X X 1 0 1 X X 1 0 X 1 1 X

1 X X 1 1 1 X X 1 1 X 1 1 X

K B  QA JA 1 KA 1
QA(LSB) QB QC
1
JA 1
JA Q JB Q JC Q
KA 1

J B  QA
KA Q KB Q KC Q K B  QA
FF-A FF-B FF-C
CP J C  QB Q A
CP K C  QB Q A
QA 0 1 0 1 0 1 0 1 0
QB 0 0 1 1 0 0 1 1 0
QC 0 0 0 0 1 1 1 1 0
상태 0 1 2 3 4 5 6 7 0
3비트 동기식 카운터 회로 및 타이밍 도
- 21 -
02 동기식 카운터

3. 4비트 동기식 2진 카운터


 J-K 플립플롭을 사용하여 설계
0000
1111 0001

1110 0010

1101 0011

1100 0100

1011 0101

1010 0110
1001 0111
1000

4비트 동기식 2진 카운터의 상태도


- 22 -
02 동기식 카운터

현재 상태 차기 상태 플립플롭 입력
QD QC QB QA QD QC QB QA JD KD JC KC JB KB JA KA
0 0 0 0 0 0 0 1 0 × 0 × 0 × 1 ×
0 0 0 1 0 0 1 0 0 × 0 × 1 × × 1
0 0 1 0 0 0 1 1 0 × 0 × × 0 1 ×
0 0 1 1 0 1 0 0 0 × 1 × × 1 × 1
0 1 0 0 0 1 0 1 0 × × 0 0 × 1 ×
0 1 0 1 0 1 1 0 0 × × 0 1 × × 1
0 1 1 0 0 1 1 1 0 × × 0 × 0 1 ×
0 1 1 1 1 0 0 0 1 × × 1 × 1 × 1
1 0 0 0 1 0 0 1 × 0 0 × 0 × 1 ×
1 0 0 1 1 0 1 0 × 0 0 × 1 × × 1
1 0 1 0 1 0 1 1 × 0 0 × × 0 1 ×
1 0 1 1 1 1 0 0 × 0 1 × × 1 × 1
1 1 0 0 1 1 0 1 × 0 × 0 0 × 1 ×
1 1 0 1 1 1 1 0 × 0 × 0 1 × × 1
1 1 1 0 1 1 1 1 × 0 × 0 × 0 1 ×
1 1 1 1 0 0 0 0 × 1 × 1 × 1 × 1
- 23 -
02 동기식 카운터

QBQA QBQA
QDQC 00 01 11 10 QDQC 00 01 11 10
00 00 X X X X
01 1 01 X X X X
11 X X X X 11 1
10 X X X X 10

J D  QC Q B Q A K D  QC QB Q A

QBQA QBQA
QDQC 00 01 11 10 QDQC 00 01 11 10
00 1 00 X X X X

01 X X X X 01 1

11 X X X X 11 1

10 X 10 X X X X

J C  QB Q A K C  QB Q A

- 24 -
02 동기식 카운터

QBQA QBQA
QDQC 00 01 11 10 QDQC 00 01 11 10
00 1 X X 00 X X 1
01 1 X X 01 X X 1
11 1 X X 11 X X 1
10 1 X X 10 X X 1

J B  QA K B  QA

QBQA QBQA
QDQC 00 01 11 10 QDQC 00 01 11 10
00 1 X X 1 00 X 1 1 X
01 1 X X 1 01 X 1 1 X
11 1 X X 1 11 X 1 1 X
10 1 X X 1 10 X 1 1 X
JA 1 KA 1

- 25 -
02 동기식 카운터

QA(LSB) QB QC QD
1

JA Q JB Q JC Q JD Q JA 1
KA 1
K B  QA
KA KB KC KD
Q Q Q Q
J B  QA
FF-A FF-B FF-C FF-D
CP J C  QB Q A
K C  QB Q A
논리 회로도
J D  QC Q B Q A
K D  QC QB Q A
CP
QA 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0
QB 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0
QC 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0
QD 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0
상태 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0

타이밍 도
- 26 -
02 동기식 카운터

4-비트 동기식 2진 카운터의 상태표


클록펄스 QD QC QB QA 10 진수
1 0 0 0 0 0
2 0 0 0 1 1
3 0 0 1 0 2
4 0 0 1 1 3
5 0 1 0 0 4
6 0 1 0 1 5
7 0 1 1 0 6
8 0 1 1 1 7
9 1 0 0 0 8
10 1 0 0 1 9
11 1 0 1 0 10
12 1 0 1 1 11
13 1 1 0 0 12
14 1 1 0 1 13
15 1 1 1 0 14
16 1 1 1 1 15
17 0 0 0 0 0

- 27 -
02 동기식 카운터

 n-비트 동기 2진 카운터
 상태표로부터 플립플롭의 입력함수를 추정할 수 있다.
 하위의 모든 출력이 1일 때, 각 출력은 0은 1로, 1은 0으로 변화한다.
 토글동작이 필요할 때, J와 K 입력은 모두 1이 되어야 한다.
 따라서 플립플롭의 입력 함수는 간단하게 하위비트의 논리적 AND이다.

JA  KA 1

J B  K B  QA

J C  K C  QB Q A

J D  K D  QC QB Q A

J E  K E  QD QC QB Q A

J F  K F  QE QD QC QB Q A

- 28 -
02 동기식 카운터

4. 동기식 BCD 카운터


 동기식 BCD 카운터의 상태도

0000
1001 0001

1000 0010

0111 0011

0110 0100
0101

- 29 -
02 동기식 카운터

 동기식 BCD 카운터의 상태 여기표

 자리 올림수 출력 C는 BCD 카운터의 계수가 9(1001)가 되었을 때 논리 1이


되도록 한다.

현재상태 차기상태 플립플롭 입력 출력


QD QC QB QA QD QC QB QA JD KD JC KC JB KB JA KA C
0 0 0 0 0 0 0 1 0 x 0 x 0 x 1 x 0
0 0 0 1 0 0 1 0 0 x 0 x 1 x x 1 0
0 0 1 0 0 0 1 1 0 x 0 x x 0 1 x 0
0 0 1 1 0 1 0 0 0 x 1 x x 1 x 1 0
0 1 0 0 0 1 0 1 0 x x 0 0 x 1 x 0
0 1 0 1 0 1 1 0 0 x x 0 1 x x 1 0
0 1 1 0 0 1 1 1 0 x x 0 x 0 1 x 0
0 1 1 1 1 0 0 0 1 x x 1 x 1 x 1 0
1 0 0 0 1 0 0 1 x 0 x x 0 x 1 x 0
1 0 0 1 0 0 0 0 x 1 0 x 0 x x 1 1

- 30 -
02 동기식 카운터

 카르노 맵
QBQA QBQA QBQA
QDQC 00 01 11 10 QDQC 00 01 11 10 Q D QC 00 01 11 10
00 00 X X X X 00 1
01 1 01 X X X X 01 X X X X
11 X X X X 11 X X X X 11 X X X X
10 X X X X 10 1 X X 10 X 0 X X
J D  QC QB QA K D  QA J C  QBQA

QBQA QBQA QBQA


QDQC 00 01 11 10 QDQC 00 01 11 10 QDQC 00 01 11 10
00 X X X X 00 1 X X 00 X X 1
01 1 01 1 X X 01 X X 1
11 X X X X 11 X X X X 11 X X X X
10 X X X X 10 X X 10 X X X X

K C  QB QA J B  Q DQA K B  Q DQA

- 31 -
02 동기식 카운터

QBQA QBQA Q BQ A
QDQC 00 01 11 10 QDQC 00 01 11 10 QD QC 00 01 11 10
00 1 X X 1 00 X 1 1 X 00
01 1 X X 1 01 X 1 1 X 01
11 X X X X 11 X X X X 11 X X X X
10 1 X X X 10 X 1 X X 10 1 X X

JA 1 KA 1 C  QD Q A

- 32 -
02 동기식 카운터

 동기식 BCD 카운터 회로도


QA(LSB) QB QC QD(MSB)

1
C
JA Q JB Q JC Q JD Q

KA Q KB Q KC Q KD Q
CP FF-A FF-B FF-C FF-D

JA 1 J B  Q DQA J C  QBQA J D  QC QB QA
KA 1 K B  Q DQA K C  QB QA K D  QA

C  QD Q A

- 33 -
02 동기식 카운터

5. 3비트 동기식 상향/하향 카운터


 외부 입력 x=0 : 증가 카운터
 외부 입력 x=1 : 감소 카운터

 3 비트 동기식 상향/하향 카운터의 상태도

1/ 000 1/

001 0/ 0/
111
1/ 1/
0/
0/
010 110
0/ 0/
1/ 1/
011 0/ 0/ 101

1/ 100 1/

- 34 -
02 동기식 카운터

 3비트 동기식 상향/하향 카운터의 상태 여기표


현재상태 입력 차기상태 플립플롭 입력
QCQBQA x QCQBQA JC KC JB KB JA KA
0 0 0 0 0 0 1 0 X 0 X 1 X
0 0 0 1 1 1 1 1 X 1 X 1 X
0 0 1 0 0 1 0 0 X 1 X X 1
0 0 1 1 0 0 0 0 X 0 X X 1
0 1 0 0 0 1 1 0 X X 0 1 X
0 1 0 1 0 0 1 0 X X 1 1 X
0 1 1 0 1 0 0 1 X X 1 X 1
0 1 1 1 0 1 0 0 X X 0 X 1
1 0 0 0 1 0 1 X 0 0 X 1 X
1 0 0 1 0 1 1 X 1 1 X 1 X
1 0 1 0 1 1 0 X 0 1 X X 1
1 0 1 1 1 0 0 X 0 0 X X 1
1 1 0 0 1 1 1 X 0 X 0 1 X
1 1 0 1 1 0 1 X 0 X 1 1 X
1 1 1 0 0 0 0 X 1 X 1 X 1
1 1 1 1 1 1 0 X 0 X 0 X 1
- 35 -
02 동기식 카운터

 카르노 맵
QAx QAx QAx
Q CQ B 00 01 11 10 Q CQ B 00 01 11 10 Q CQ B 00 01 11 10
00 1 00 X X X X 00 1 1
01 1 01 X X X X 01 X X X X
11 X X X X 11 1 11 X X X X
10 X X X X 10 1 10 1 1

J C  QBQA x  Q B Q A x K C  QBQA x  Q B Q A x J B  QA x  Q A x

QAx QAx QAx


Q CQ B 00 01 11 10 Q CQ B 00 01 11 10 Q CQ B 00 01 11 10
00 X X X X 00 1 1 X X 00 X X 1 1
01 1 1 01 1 1 X X 01 X X 1 1
11 1 1 11 1 1 X X 11 X X 1 1
10 X X X X 10 1 1 X X 10 X X 1 1

K B  QA x  Q A x JA 1 KA 1

- 36 -
02 동기식 카운터

 3비트 동기식 상향/하향 카운터의 회로도


QA(LSB) QB QC

x
1
JA QA JB QB JC QC

KA QA KB QB KC QC
FF-A FF-B FF-C
CP

JA 1 J B  QA x  Q A x J C  QBQA x  Q B Q A x

KA 1 K B  QA x  Q A x K C  QBQA x  Q B Q A x

- 37 -
02 동기식 카운터

6. 주파수 분할

16진 카운터 블록도 (mn)분주회로 개념도

- 38 -
03 기타 카운터

1. 링 카운터
 임의의 시간에 한 개의 플립플롭만 논리 1이 되고 나머지 플립플롭은 논
리 0이 되는 카운터

 논리 1은 입력펄스에 따라 그 위치가 한쪽 방향으로 순환

 상태도

1000

0100 0001

0010

- 39 -
03 기타 카운터

 상태 여기표

현재상태 차기상태 플립플롭 입력


QA QB QC QD QA QB QC QD DA DB DC DD
1 0 0 0 0 1 0 0 0 1 0 0
0 1 0 0 0 0 1 0 0 0 1 0
0 0 1 0 0 0 0 1 0 0 0 1
0 0 0 1 1 0 0 0 1 0 0 0

- 40 -
03 기타 카운터

 카르노 맵
Q CQ D Q CQ D
QAQB 00 01 11 10 QAQB 00 01 11 10
00 X 1 X 00 X X
01 X X X 01 X X X
11 X X X X 11 X X X X
10 X X X 10 1 X X X

DA  QD DB  QA

Q CQ D Q CQ D
QAQB 00 01 11 10 QAQB 00 01 11 10
00 X X 00 X X 1
01 1 X X X 01 X X X
11 X X X X 11 X X X X
10 X X X 10 X X X

DC  QB DD  QC

- 41 -
03 기타 카운터

 처음에 Clear 단자를 논리 0으로 하여 모든 플립플롭의 출력을 0으로 한 다


음 처음 플립플롭의 출력 을 1로 세트하고 Clear 단자를 다시 논리 1로 하면
링 카운터의 최초의 출력은 QA QB QC QD =1000이다.
 이 후부터 클록펄스가 입력될 때마다 클록펄스의 상승 에지에서 오른쪽으로
한 자리씩 이동을 하며, QD 의 출력은 다시 DA 로 입력된다.
QA QB QC QD

DA PR Q DB Q DC Q DD Q DA  QD
DB  QA
DC  QB
CP
CLR DD  QC

Clear

CP
QA
QB
QC
QD
- 42 -
03 기타 카운터

 링 카운터 응용 : 커피 자판기(vending machine)


동작순서
[단계 1] 동전이 들어오는 것을 기다린다.

[단계 2] 동전을 확인하고 적절한 잔돈을 돌려준다.

[단계 3] 선택스위치(블랙, 크림, 및 설탕)을 읽어라.

[단계 4] 공급창(커피를 빼내는 곳)에 종이컵을 떨어뜨린다.

[단계 5] 종이컵에 인스턴트 커피를 붓는다.

[단계 6] 선택된([단계 3])대로 크림이나(과) 설탕을 추가하라.

[단계 7] 컵에 뜨거운 물을 붓는다.

물, 커피, 크림 및 설탕이 적절히 공급되었는지를 검사한다.


[단계 8]
만약 적으면 적당한 메시지를 보이게 한다.

[단계 9] [단계 1]로 간다.

- 43 -
03 기타 카운터

 처음에 CLR 를 논리 0으로 하여 QA=1이 되고 QB=QC=…QH=0이 된다. 이제


CLR 를 논리 1로 한다.

링 카운터를 응용한 커피자판기 동작도

- 44 -
03 기타 카운터

2. 존슨 카운터
 n개의 플립플롭으로 구성된 링 카운터는 n 가지의 서로 다른 상태를 출력
 존슨 카운터는 2n 가지의 서로 다른 상태를 출력
QA QB QC QD

DA Q DB Q DC Q DD Q

CP Q

CP
QA
QB
QC
QD

- 45 -
03 기타 카운터

4비트 존슨 카운터의 상태표

클록펄스 QA QB QC QD 10진수
1 1 0 0 0 8
2 1 1 0 0 12
3 1 1 1 0 14
4 1 1 1 1 15
5 0 1 1 1 7
6 0 0 1 1 3
7 0 0 0 1 1
8 0 0 0 0 0

 존슨 카운터의 단점은 사용되지 않는 초기상태가 주어지면 사용되지 않는


계수의 순서만이 계속하여 반복하게 된다. 이 단점은 회로에서 세 번째 플
립플롭의 입력을 다음 부울함수로 수정하면 해결할 수 있다.

DC  (QA  QC )QB

- 46 -
04 IC 카운터

1. IC 비동기식 카운터
 7493(16진 비동기 상향 카운터)
 2진 카운터와 8진 카운터가 독립적으로 내장
 2진 카운터 : 입력은 Input A이고 출력은 QA.
 8진 카운터 : 입력은 Input B이고 출력은 QDQCQB.
 16진 카운터 : 입력을 Input A에 넣고, QA를 Input B에 연결하고 출력은
QDQCQBQA 에서 얻는다.

7493 핀 배치도

- 47 -
04 IC 카운터

 7492(12진 비동기 상향 카운터)


 2진 카운터(mod-2)와 6진 카운터(mod-6)가 독립적으로 내장
 사용법은 7493에 준한다.

 7490(10진 비동기 상향 카운터)


 2진 카운터(mod-2)와 5진 카운터(mod-6)가 독립적으로 내장
 사용법은 7493에 준한다.

7490 핀 배치도

- 48 -
04 IC 카운터

2. IC 동기식 카운터
 74163(synchronous presettable mod-16 counter
with asynchronous clear)
 74163은 4-비트 동기 2진 카운터로서 4개의 D 플립플롭으로 구성되며, 4
비트의 병렬입력과 병렬출력이 있다.

CLR LOAD ENP,ENT 기능


0 X X 플립플롭이 clear된다.
1 0 X 병렬입력이 수행된다.
1 1 0 불변상태가 된다.
1 1 1 카운터가 동작한다.

74163 핀 배치도

- 49 -
04 IC 카운터

 ENP와 ENT 입력 및 RCO 출력은 더 높은 계수순서를 갖는 카운터를 설계


할 때 사용
D C B A D C B A

1 ENP RCO 1 ENP RCO


ENT ENT
1 CLEAR 1 CLEAR 8-비트 카운터
1 LOAD 74163/ 1 LOAD 74163/
74161 74161

CP
QD QC QB QA QD QC QB QA

 임의의 modulus 카운터로 사용 가능


0 1 0 1 D C B A
D C B A
1 ENP RCO 1 ENP RCO
ENT ENT
1 CLEAR CLEAR 74163/
LOAD 74163/ 1 LOAD 74161
74161
CP CP QD QC QB QA

QD Q C Q B Q A

Mod-11 카운터 Mod-13 카운터


- 50 -
04 IC 카운터

 74162(synchronous presettable BCD counter with asynchronous clear)


 핀 기능, 동작, 사용법 등이 74163과 같으며, 74163은 4비트 동기 16진 카
운터이지만, 74162는 4비트 10진 동기 카운터이다.

 74161 (synchronous presettable mod-16 counter with asynchronous clear)

 핀 기능, 동작, 사용법 등이 74163과 같은 presettable 16진 동기식 상향


카운터이다. 또한 비동기적인 클리어 입력을 갖는다.

 74160 (synchronous presettable BCD counter with asynchronous clear)


 74160은 74161과 동일한 입력과 출력을 가지며, 74161은 4비트 동기 16
진 카운터이지만, 74160은 4비트 10진 동기 카운터이다.

- 51 -
04 IC 카운터

 74169(Synchronous presettable up/down mod-16 counter)


 16진 상향/하향 동기식 카운터이다.
 제어입력 U/ D 를 논리 1로 하면 상향 카운터, 논리 0으로 하면 하향 카운터
로 동작
 프리세트 데이터 입력 DCBA는 LOAD 를 논리 0으로 할 때 클록펄스의 상
승 에지에서 출력을 프리세트시킨다. 카운트가 일어나려면 ENP와 ENT가
둘 다 논리 0으로 되어야 한다.
 출력 QD, QC, QB, QA가 상향 모드 시에는 1111, 하향 모드 시에는 0000에 도
달하면 RCO(ripple carry output)가 논리 0이 된다.

74169 핀 배치도

- 52 -
04 IC 카운터

 74168(synchronous presettable up/down BCD counter)


 10진의 단일 modulus를 가지며 동작은 74169에 준한다.

 74190(presettable synchronous up/down BCD counter)


 핀 기능, 동작, 사용법 등이 74163과 같은 presettable 16진 동기식 상향
카운터이다. 또한 비동기적인 클리어 입력을 갖는다.

LOAD ENABLE DOWN/UP 기능


0 X X 증가 카운터로 동작한다.
1 0 X 감소 카운터로 동작한다.
1 1 0 병렬입력이 수행된다.
1 1 1 불변상태가 된다.

74190 핀 배치도
- 53 -
04 IC 카운터

 74191(presettable synchronous up/down mod-16 counter)


 74191은 4-비트 16진 상향/하향 동기식 카운터로서 핀 배치도는 74190과
같다.
 CTEN =0이면 계수가능 상태이고, 1이면 계수정지 상태가 된다.
 D/ U =0이면 상향 카운터로 동작하고, 1이면 하향 카운터로 동작한다.
 이 외의 모든 동작은 74190에 준한다.

- 54 -
05 카운터의 응용

1. 디지털 시계

발진 분주 카운터 디코더 표시
회로 회로 회로 회로 회로

디지털 시계의 블록 다이어그램

 발진회로
 디지털 시계에 안정적인 클록(clock)을 제공할 목적으로 설계되는 회로
– 첫 번째 방법 : 가정용 220[V] 전원의 안정된 60Hz의 주파수를 이용
– 두 번째 방법 : CR 발진회로를 이용하는 방법
– 세 번째 방법 : 수정 발진자(crystal oscillator)를 사용하는 방법

- 55 -
05 카운터의 응용

CR 발진회로 수정 발진자를 사용한 회로

 분주회로
 발진회로로부터 얻어진 구형파를 이용하여 디지털 시계의 기본 단위인 1초
를 나타내기 위한 1Hz 주파수를 얻는 회로
60Hz 60Hz 6Hz 1Hz
슈미트 ÷10 ÷6
트리거 7490 7492

60Hz 정현파에서 1Hz 구형파를 얻는 회로

- 56 -
05 카운터의 응용

CP CLK
RES 4020

Q14 Q13 Q12 Q11 Q10 Q9 Q8 Q7 Q6 Q5 Q4 Q1


÷2
÷ 24
÷210 ÷ 25
÷ 211
4020을 이용하여 1Hz 구형파를 얻는 회로

카운터 회로의 블록도

- 57 -
05 카운터의 응용

1Hz
7492(÷ 6) 7490(÷ 10)
QD QC QB Q A QD QC QB QA

분, 초 단위의 카운터 디코더 및


7447 7447 드라이브 회로
또는 또는
7448 7448

a b c d e f g a b c d e f g

R0(1) R0(1) 1펄스/시간


7492(÷2) 7490(÷10)
R0(2) R0(2)

QD QC QB QA Q D QC QB Q A

7447 7447
시 단위의 카운터, 디코더 또는 또는
및 드라이브 회로 7448 7448

a b c d e f g a b c d e f g

- 58 -
05 카운터의 응용
디지털 시계의 전체 회로도
+5V +5V +5V +5V +5V +5V
330 330 330 330 330 330
a
f b
g
SND517 SND517 SND517 SND517 SND517
e d c

a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g
13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14

A B C D E F G A B C D E F G A B C D E F G A B C D E F G A B C D E F G A B C D E F G

7447 BI BI
7447 7447 BI BI
7447 7447 BI 7447 BI
/R /R /R /R /R /R
B R B R B R B R B R B R
1 2 4 8 O BI LI 1 2 4 8 O BI LI 1 2 4 8 O BI LI 1 2 4 8 O BI LI 1 2 4 8 O BI LI 1 2 4 8 O BI LI

7 1 2 6 4 5 3 7 1 2 6 4 5 3 7 1 2 6 4 5 3 7 1 2 6 4 5 3 7 1 2 6 4 5 3 7 1 2 6 4 5 3
2
13 1

12 11 9 12 9 8 11 12 11 9 12 9 8 11 12 11 9 12 9 8 11
Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q
12 A B C D 7492 A B C D 7490 A B C D 7492 A B C D 7490 A B C D 7492 A B C D 7490
10 R0 R0 R0 R0 R9 R9 R0 R0 R0 R0 R9 R9 R0 R0 R0 R0 R9 R9
11 9 A B (1) (2) A B (1) (2) (1) (2) A B (1) (2) A B (1) (2) (1) (2) A B (1) (2) A B (1) (2) (1) (2)

14 1 6 7 14 1 2 3 6 7 14 1 6 7 14 1 2 3 6 7 14 1 6 7 14 1 2 3 6 7

8
3
4 6
S1 S2
5
7410
hour minite

10
74HC04 : GND(7), Vcc(14)
1Hz 11
74LS10 : GND(7), Vcc(14) 15 1
2
3
4
5
6

74LS47 : GND(8), Vcc(16) Q11


74LS90 : GND(10), Vcc(5) 2Hz 14 100K 74HC04
Q10
74LS92 : GND(10), Vcc(5) 0.01F
4020 : GND(8), Vcc(16)
4020 100K

- 59 -
05 카운터의 응용

2. 주파수 카운터
 임의의 주기적인 파형의 주파수(frequency)를 측정하는 디지털 기기
 측정 주파수는
Counter Output
Frequency 
t

 t=1초이면 표시된 수치가 곧 주파수가 된다.


 t=10초이면 소수점을 한 자리 높인다.
 t=0.1초이면 소수점을 한 자리 낮춘다.

미지입력
증폭기
카운터

클록
발진기 분주기 t

gate enable
주파수 카운터의 블록도
- 60 -
05 카운터의 응용
+5V +5V +5V +5V +5V +5V
330 330 330 330 330 330

f b
g
SND517
e d c

a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g
13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14 13 12 11 10 9 15 14

A B C D E F G A B C D E F G A B C D E F G A B C D E F G A B C D E F G A B C D E F G

7447 BI 7447 BI 7447 BI BI


7447 7447 BI 7447 BI
/R /R /R /R /R /R
B R B R B R B R B R B R
8 4 2 1 O BI LI 8 4 2 1 O BI LI 8 4 2 1 O BI LI 8 4 2 1 O BI LI 8 4 2 1 O BI LI 8 4 2 1 O BI LI

6 2 1 7 4 5 3 6 2 1 7 4 5 3 6 2 1 7 4 5 3 6 2 1 7 4 5 3 6 2 1 7 4 5 3 6 2 1 7 4 5 3

9 10 15 16 9 10 15 16 9 10 15 16 9 10 15 16 9 10 15 16 9 10 15 16
Q3 Q2 Q1 Q0 Q3 Q 2 Q 1 Q 0 Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

7475 7475 7475 7475 7475 7475


D3 D2 D1 D0 enable D3 D 2 D 1 D 0 enable D3 D2 D1 D0 enable D3 D2 D1 D0 enable D3 D2 D1 D0 enable D3 D2 D1 D0 enable
7 6 3 2 4 13 7 6 3 2 4 13 7 6 3 2 4 13 7 6 3 2 4 13 7 6 3 2 4 13 7 6 3 2 4 13
+5V +5V +5V +5V +5V +5V
11 12 13 14 7 9 11 12 13 14 7 9 11 12 13 14 7 9 11 12 13 14 7 9 11 12 13 14 7 9 11 12 13 14 7 10 9
QD QC QB QA P L QD Q C Q B Q A P L QD QC QB QA P L QD QC QB QA P L QD QC QB QA P L QD QC QB QA P T L
D D D D D D
10 15 10 15 10 15 10 15 10 15
74160 T RCO 74160 T RCO 74160 T RCO 74160 T RCO 74160 T RCO 74160
CLK CLK CLK CLK CLK CLK
CLR CLR CLR CLR CLR CLR
2 1 2 1 2 CLK 1 2 1 2 1 2 1

3
strobe t count
gate gate 7408
+5V
enable
+5V 3 2 1 2 2 1
4 CLR PR 15 3
J Q A1 Q 7414 미지입력
4
+5V A2
1 5
7476 B 74121 RESET
16
K Q 14
R=100k 11
C=20pF 10
Rext
Q 1
Reset용 파형정형
Cext
Gate 신호
X-TAL 1MHz 10Hz
+5V 2 +5V 2 +5V 2 +5V 2 +5V 2 +5V 2 +5V 2 1Hz
2K 2K 7 7 7 7 7 7 7
P CLK P CLK P CLK P CLK P CLK P CLK P CLK
10 0.1Hz
2 4 T 15 10 15 10 15 10 15 10 10 10 15
1 3 5 6 RCO T RCO T RCO T RCO T RCO T RCO T RCO
9 9 9 9 9 15 9 15 9
1K 0.01F 1K LOAD LOAD LOAD LOAD LOAD LOAD LOAD
74LS04 1
CLR
1
CLR
1
CLR
1
CLR
1
CLR
1
CLR
1
CLR
74160 74160 74160 74160 74160 74160 74160

주파수 카운터 회로도


- 61 -
05 카운터의 응용

시작 끝 시작 끝

Gate
Enable
strobe

미지입력

CLK

RESET

주파수 카운터의 타이밍 도

- 62 -
10장 카운터 끝

You might also like