You are on page 1of 2

EXPERIMENT NO: 03

Aim of the Experiment: VHDL IMPLEMENTATION OF HALF ADDER

Requirements: 1.Computer
2. Xilinx ISE – VLSI Design Software

Theory:

A half adder is a type of adder, an electronic circuit that performs the addition of numbers.
The half adder is able to add two single binary digits and provide the output plus a carry value. It
has two inputs, called A and B, and two outputs S (sum) and C (carry).

Vhdl code of Half adder in behavioral modeling:

Test bench code:

RTL schematic:

Simulation result and discussion:


Conclusion:
In this experiment a half adder was implemented using VHDL code and the results were verified
through the simulation results by taking the truth table values as reference.

You might also like