Professional Documents
Culture Documents
Nhân 2 Bit
Nhân 2 Bit
Nhân 2 Bit
01 B
4 BIT
0000 -> 1111
10 C
S
11 C
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
II. Viết chương trình
1) Khai báo
1 0
S: 00
MSB LSB
S: 00
LSB MSB
A,B,C,Y: 0000
port(A,B,C: in std_logic_vector (3 downto 0);
Y: out std_logic_vector (3 downto 0));
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
II. Viết chương trình
1) Khai báo
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
2) Behavior: If - then - else
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
3) Behavior: Case when
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
4) Behavior: When - else
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
5) Behavior: With select when
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
6) Ngôn ngữ verilog (tham khảo)
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
7) Cách viết structure
B T
M0
S0
Y
M0
C
S1
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
7) Cách viết structure
Thiết kế bộ Mux 3 to 1 với ngõ vào và ngõ ra 4 bit
7) Cách viết structure
library ieee;
use ieee.std_logic_1164.all;
entity structure is
port(A,B,C: in std_logic_vector (3 downto 0);
S: in std_logic_vector (1 downto 0);
Y: out std_logic_vector (3 downto 0));
end structure;