You are on page 1of 52

Архітектура спеціалізованих комп'ютерних систем

Лекція 1

Теоретичні основи побудови спеціалізованих комп'ютерних систем.

Методологія – вчення про правила, створення та дослідження об’єктів науки(складні


об’єкти,обчислювальна система).

Далі ми описуємо комп’ютерні системи на такі частини які наведенні нижче:

Комп’ютерні системи

Універсальні Функціонально-орієнтовані СКС


(MS 320)
сумування алгоритмічні ф-ції спеціальні ф-ції

віднімання ф-ції 1-го аргументу абстрактний аналіз

множення

ділення

Дослідження і проектування КС проводиться на основі емпіричних моделей та


моделей. Ця сукупність об’єднана назвою архітектура комп’ютера.

Теоретичним базисом може бути використана універсальну SH-модель обчислювача.

Модель (modulus – міра, аналог, зразок) – спрощений матеріальний, або уявний образ
об’єкта, який дозволяє досліджувати властивості та характеристики об’єкта достатнього для
експериментатора точністю, опускаючи несуттєві особливості.
Схема розроблення алгоритму

параметри
Синтез Аналіз
х-ки х-ки’

Оптимізація

Рис.1

Універсальні:швидкодія,надійність.

В наш час КС характеризується неціновою характестикою.(мінімізована складність вона


забезпечує швидкодію і надійність)

Універсальні характеристики поділяються на:

1) Продуктивність

2)Об’єм обладнання

Характеристики СКС:

1)Часова складність – пристрою визначається кількістю елементів схеми, розташованих


вздовж максимального критичного шляху розповсюдження сигналу, включаючи повторні
проходження елементів в циклі . Позначається в характеристиках буквою (L) ;

2) Апаратна складність - кількість елементарних перетворювачів та елементів


пам’яті(регістрів) пристрою. Позначається в характеристиках буквою (А);

3) Програмна складність - Програмна складність оцінюється ступенем нерегулярності


(ентропії) часової діаграми. Позначається в характеристиках буквою (Р) ;

4) Структурна складність - відображає ступінь нерегулярності міжзв`язків схеми деякого


рівня ієрархії побудови апаратних засобів. Позначається в характеристиках буквою (S);

Ці 4 характеристики які ми заводимо на початок схеми яка зображено на рис.1 так далі в нас
іде синтез і після синтезу ми отримуємо деякі параметри .Після аналізу чи відповідають ці
параметри дійсності по такій формулі :

= | х-ки – х-ки’|

Якщо нас не задовільняють нас число то ідемо на оптимізацію.

Інструмент ситезу є алгоритм.

1)Структурний синтез це синтез на основі : задача → алгоритм→ структура(Основа


структурного синтезу).

2)Параметричний синтез це є процес покращення моделі,яка отримана в результаті


структурного синтезу.(Проводиться зміною параметрів).
Далі ми розглянемо визначення архітектури вона почалась з давніх часів. В давні часи вона
означала як будівництво деякої будівлі. В техніці комп’ютера цей термін був виданий в 50-х
роках фірмою ІВМ в ХХст. Перший спеціальний процесор в радянському союзі був
реалізований на кафедрі ЕОМ в НУ “ЛП” проф.Черкаському.

Спочатку слово архітектура означало можливість реалізувати програми користувача.


Підтримує унаслідування ПЗ.

Спеціалізовані комп’ютерні системи вільні від забов’язку унаслідування ПЗ.(В


універсальних іде наслідування). Теоретична база обмежена головним чином математичною
імітаційного моделювання.
Схема перемножувала на багато розрядному суматорі

C1 C2

RG1 RG2
C3
&


C4

RG3
C5

Рис 2

Запис в RG1 данних


Запис в RG2 данних
Зсув даних у регістрі 2 на 1 розряд вліво
Зсув даних у регістрі 3 на 1 розряд вліво

Мікрокоманда Запис результату в регістр

C1

C2
Мікропограма

Мікронаказ

n
C3

C4

C5
m
1 2 3 4 5 6 7 8 9 10 t

Реалізовуємо приклад для цієї схеми


Основою для структурного синтезу обираємо алгоритм Аль-Хорезмі послідовного
підсумовування часткових добутків до множеного із зсувом множника на один розряд на
кожній ітерації. Множення проводити із двійковими числами.

Наприклад:
1101
1011
1101
0000
1101
1101
10001111
Опис множення в перемножувачі.

Цей пристрій був популярним в часи, коли апаратна складність у порівнянні з іншими
характеристиками мала найбільшу вартісну вагу. Тепер його конфігурація збереглася у
контролерах, у вбудованих процесорах, у багатьох видах спеціалізованих систем. Але в
згаданих схемах замість суматора використовується АЛП – вузол, який реалізує декілька
функцій. Потенційна багатофункціональність у порівнянні з іншими схемами є основною
перевагою перемножувача на багаторозрядному суматорі. Суттєвим недоліком його є те що
він є лише частиною універсальної SH-моделі. Остання містить дві SH-моделі: SH-модель
функціонального вузлу і SH-модель пристрою керування. Характеристики складності
пристрою керування залежать від обрання одного з декількох варіантів його побудови. Тому
оцінка характеристик складності пристрою керування при аналізі алгоритмів множення
недоцільна.

Принцип роботи перемножувача пояснюється його функціональною схемою (рис.1) та


часовою діаграмою (рис.2). Додатково зауважимо, регістри RG2 і RG3 є регістрами зсуву,
сигнали управління: C1, C2, C3 є сигналами запису даних в регістри, а C3, C5 є сигналами
зсуву даних в регістрах в бік старших розрядів.Спочатку з шини заносимо число в RG1,
друге число заносимо в RG2. Після цього дані з RG1 та старший розряд RG2 заносяться в
перемножувач , після цього як перемножили заносимо це число в суматор і подається на вхід
RG3, де воно зсувається вліво в бік старших розрядів. Потім повертаємось на початок число,
яке міститься в RG1 переписуємо в перемножувач, а число в RG2 зсуваємо вліво в бік
старших розрядів і повторюємо всі дії.
Тригер D

C t

D t

Q t

Q t

Схема, яка має комбінаційні схеми і елементи пам’яті – послідовнісна.

Приклад №2. Конвеєрна SH-модель перемножувача – квазі систолічний


перемножувач
Вибір алгоритму
Основою для структурного синтезу приймемо SH-модель перемножувача на багато
розрядному суматорі (Рис.1) із послідовним підсумовуванням часткових добутків до
множеного із зсувом множника на один розряд на кожній ітерації. Множення проводити із
двійковими числами.
Структурний синтез Принциповою відзнакою нової SH-моделі від попередньої полягає
в тому, що шина циклу, яка з’єднує вихід регістра Рг3 і правий вхід багато розрядного
суматора відсутня, розірвана. На рис.3,а зображена схема і-го ступеня систолічного
пристрою множення.

Ai Bi Ri
a b r
Pr1, i Pr2, i Ti Pr3, i-1 c

T1 T2 T3

i CM1

e' e

& & MX & &


1
1
c
Pr1, i+1 Pr2, i+1 Ti+1 Pr3, i
Ai+1 Bi+1 Ri+1 a' b' r'

а б в

Рис.3 Схеми конвеєрного пристрою множення (а), комірки систолічної матриці (б),
фрагмента систолічної матриці і характер з’єднання комірок(в):

Rj – множник; Bj –множене; Aj сума часткових проекцій; C – синхросигнали; T1, T2, T3 –


тригери, які використовуються для зберігання розрядів проміжного результату a, множеного
b і множника r; Σ – одно розрядний суматор; схема І-АБО – елемент мультиплексора МХ; с,
e – сигнали синхронізації і переносу; вхідні сигнали позначені символами без штрихів.
Пристрій виконує наступну операцію:

A = B x R + A0.

Кількість ступенів суматору матриці рівна кількості розрядів множника n. Асимптотична


продуктивність перемножувача складає 1/(nτe + τr), де τe – час затримки переносу в одно
розрядному суматорі; τr - час спрацювання регістра. Мультиплексор МХ служить для того,
щоб при множенні на наступний розряд множника rj пропускати частинне проектування
через суматор СМ1 або обминати його, якщо rj = 0.

Описану схему також можна у вигляді систолічної матриці з одно розрядними


комірками. Схема комірки систолічної матриці зображена на рис.3,б, а схема фрагмента
систолічної матриці і характер міжзєднань комірок – на рис.3,в.

Параметрична оптимізація

Метою параметричної оптимізації є розбиття схеми на послідовність однотипних


ступенів. Розбиття ступенів на однакові за структурою комірки з метою спрощення
наступних технологічних операцій: побудови VHDL моделі та реалізації її на ПЛІС.
Результатом параметричної оптимізації є представлення конвеєрної структури у вигляді
систолічної матриці з одно розрядними комірками. Схема комірки систолічної матриці
зображена на рис.3,б, а схема фрагмента систолічної матриці і характер міжзєднань комірок
– на рис.3,в.В цих комірках Т1,Т2,Т3 відбувається все одразу занесення даних,зсув і запис
проміжних результатів.

Опис роботи пристрою

На першому такті при множенні інверсне значення множеного записується в регістр Рг2,
а множник – в регістр Рг3. Вміст регістру Рг2 (інверсні виходи) першого рівня сумується з
операндом, записаним в регістр Рг1. Множення проводиться по алгоритму молодшими
розрядами вперед. Якщо к-й розряд множника, в регістрі Рг3, рівний 1, тоді вмістиме
регістрів Рг1 і Рг2 сумується на суматорі СМ1, а мультиплексор МХ3 пропускає отриману
суму на входи регістра Рг1 другого рівня, зсуваючи її на один розряд вправо. Якщо перший
розряд множника рівний 0, то мультиплексор МХ3 пропускає вмістиме регістра Рг1 в обхід
суматора першого рівня. На другому такті на перший рівень поступає друга пара операндів і
повторюються описані дії, а на виході другого рівня получають суму, збільшеною на нове
значення частинного добутку і т.д., доки не получимо повний добуток. Для n–розрядних
вхідних операндів результат обчислення для першої пари чисел, получають на виході
суматора n–го рівня через n тактів, а всіх наступних добутків, через кожний такт.

Цей пристрій є такий самий, як і перемножувач на багаторозрядному


суматорі.Відрізняються вони лише тим, що в цьому пристрої операція виконуєтся підряд.

Висновок по 1 –й лекції : В цій лекції ми розглядали що таке моделі та на які


характеристики розбиваються СКС також ми розглядали багато розрядний перемножувач на
суматорі та конвеєрний перемножувач і розібрали як вони працюють.
Лекція №2

Машина Тюрінга:
Машина Тюрінга є формальною алгоритмічною системою (ФАС) з абстрактним
обчислювачем. Абстрактний обчислювач не враховує технічні засоби виконання
обчислювальних операцій. Ця особливість не дозволяє повністю перенести результати
досліджень математичних моделей на практику досліджень апаратно-програмних
комп’ютерних засобів. Для опису властивостей параметрів та характеристик алгоритму
оберемо абстрактну модель алгоритму – машину Тюрінга - це шістка:

M: < A , Q , q0 , qf , a0 , P >

А-символ зовнішнього алфавіту який нам треба обробляти в двійкові системі задається.
Q – алфавіт станів або множина внутрішнього алфавіту.
q0 – початковий стан .
qf – кінцевий стан.
a0 – штучний об’єкт його треба щоб позначити пусту комірку.
Р- програма яка задається програмістом.
Можна навести такий приклад програми:
А\Q Q0 Q1 Q2 ……….. Qn
A0
A1
………
An

Машини Тюрінга має одну і ту ж конфігурацію засобів реалізації алгоритму для


розв’язання будь-якої задачі. В конфігурацію входять: нескінченна нерухома стрічка, що
поділена на окремі комірки, в які можна розмістити не більше одного символу зовнішнього
алфавіту; рухома головка, яка може стирати , записувати і зчитувати символи зовнішнього
алфавіту в комірках стрічки; програма із кінцевою кількістю команд. Машина Тьюрінга є
абстрактною ідеалізованою моделлю алгоритму. На відміну від моделей software/hardware,
вона не враховує апаратні витрати, необхідні для реалізації алгоритму. Ця особливість
абстрактних моделей не дозволяє у повній мірі використовувати досягнення теоріі ФАС у
проектуванні апаратно-програмних засобів. А у деяких випадках цей недолік приводить до
практично неприйнятних висновків.

a0

1 2 3 4 @ … … … … … …

Pk : { A } * { Q } -> { A } * { R, L, S } * { Q } ,-ці команди містяться в кожній комірці.


q0 , qf є Q
R- це зсув вправо.
L-це зсув вліво.
Недолік моделі Тюрінга полягає в тому що не має апаратних засобів абстрактних даних.

Приклад Декартового множення


а1 , a2 ; q1 , q2

{ а1 a2 } * { q1 q2 } = а1 q1; а1 q2; a2 q1; a2q2


Клод шанон запропонував перемикання апаратних засобів тобто так: 1*1: перемикання від
0→1.
Архітектурна модель :<A,Q,qo,qf,G,P>.
Недолік такого шляху це складність такої побудови зростає.

Типічні основи СКС є SH – модель алгоритму та SH – модель комп’ютера . ( SH – Software


Hardware )

SH – модель алгоритму :
SH-модель є фіксованою для деякого класу задач конфігурацією апаратно-програмних
засобів перетворення, передавання і зберігання даних, що задає обчислювальний процес,
який починається з деякої системи початкових даних і скерований на отримання результату,
повністю визначеного цими початковими даними.

Програмування Розробка SH засобів

Універсальні комп’ютери

→ CKC
< A, Q, q0 , qf , G, P >

G = ( X, U ) – конфігурація апаратних засобів


X – множина елементарних перетворювачів X = { X1 , X2 , X3 , ….. , Xn }
U – множина зв’язків між ними U = { U1 , U2 , U3 , ….. , Um }
P - Програма
Принципова різниця: розробка програм в універсальних комп’ютерах відокремленні від
розроблення апаратної програми засобів комп’ютера .

Елементарний перетворювач
Поняття “елементарний перетворювач” має принципово важливе значення. Воно дозволяє
уникнути нестрогості визначення властивості “елементарність”, розширити список
властивостей і характеристик комп’ютерного алгоритму. Елементарний перетворювач
перетворює деяку сукупність початкових даних в сукупність вихідних даних.
I O I O
Ф Ф

ЕП < I, Ф, О> ЕП < I, Ф, Y, О >

Ф – функція Y – сигнал управління


I – вхід I – вхід
O – вихід O – вихід
Ф – функція
Властивість елементарність в теорії абстрактних алгоритмів математично не визначається
тому теорія алгоритмів не існує в понятті елементарності.

Властивості SH – моделей:
1) Детермінованість – Кожний крок алгоритму визначений функцією елементарного
перетворювача і командою програми. Напрямок передачі даних від одного елементарного
перетворювача до іншого точно визначений напрямком, що задають з’єднання або команди
програми.
2) Дискретність – Робота SH-моделі здійснюється множиною обмежених у часі кроків.
Кожний крок може включати елементарні операції перетворення, передачу даних від одного
елементарного перетворювача до іншого, а також операцію запису даних в елементи пам’яті.
3) Масовість – Одна і та ж SH-модель може бути застосована для будь-якої кількості задач, які
відрізняються набором вхідних даних при постійному правилі безпосереднього
перероблення.
4) Елементарність – Операції перетворення, передачі і запису даних в елементи пам’яті SH-
моделі є простими і локальними в просторі і часі.
5) Ієрархічність – Кожний елементарний перетворювач може бути представлений SH-моделлю
нижчого ієрархічного рівня. З іншого боку, кожна SH-модель може бути використана як
елементарний перетворювач нижчого ієрархічного рівня.
Ієрархічність поділяється на такі рівні:
А) Булевські команди
Б) Логічні (одно розрядні операційні пристрої)
В)Арифметичні (багато розрядні операційні пристрої)
Г)Вузли(АЛП)
Д)Процесор
Е)Комп’ютер
Ж) Комп’ютерні системи(кластери)
Ієрархічність відіграє дуже важливу роль у проектуванні комп’ютера.
Характеристика SH – моделей:
В процесах синтезу, аналізу і оптимізації SH-моделей пропонується використовувати п’ять
характеристик складності: апаратну, часову, ємнісну програмну і структурну:

1) Апаратна складність - кількість елементарних перетворювачів і елементів оперативної


пам’яті деякого ієрархічного рівня апаратних засобів SH-моделі.

A=|D| ,де D – множина елементарних перетворювачів схеми

2) Часова складність SH-моделі визначається кількістю елементарних перетворювачів,


розташованих вздовж максимального критичного шляху розповсюдження сигналу:

L = max X i
,
Де max Xi - певні елементів SH-моделі, що належать до максимального критичного
шляху розповсюдження сигналу, включаючи повторні проходження елементів в циклі.

0001
0000—мінімальний шлях
0001

11111-макс.крит.шлях
1
1< -00000

3) Ємнісна складність – кількість комірок пам’яті, необхідних для реалізації алгоритму.

4) Програмна складність визначається логарифмічною мірою ступеня нерегулярності


розташування сигналів керування часової діаграми SH-моделі:
F
P = − F log 2
nm , (8)
F =  fl
L ;
n - кількість входів керування;
m - кількість дискрет часу часової діаграми;
f - кількість сигналів керування l - того фрагмента часової діаграми для обраного рівня
ієрархії побудови апаратних засобів;
L- кількість фрагментів часової діаграми, конфігурації яких не повторюються.

5) Структурна складність відображає ступінь нерегулярності між зв’язків деякого рівня ієрархії
побудови апартних засобів. Структурна складність SH-моделі визначається аналогічним
способом, що і програмна. Відмінність лише в тому, що об’єктом розрахунків є матриця
інциденцій. Структурна складність алгоритмічного пристрою - це ентропія матриці
інциденцій:

E
S = − E log 2
qr
E - кількість елементів матриці інціденцій системи;
q r - розмір матриці.
Лекція №3
Емністна складність це стільки комірок пам’яті які необхідні для реалізації комп’ютерного
алгоритму.Для часової складності необхідно знати час спрацьовування.

Звя’зок L та T

L-часова складність

Т-час спрацювання

T =  t ( xl ) -час спрацювання і-ого елементарного перетворювача


L

Хі є max Xkp.

Теоретичні аксіоми від архітектурного відрізняються.

Теорія базується на наступних аксіомах:

1) Алгоритм може бути реалізований апаратними засобами.


2) Алгоритм може бути реалізований апаратно-програмними засобами.
3) Алгоритм не може бути реалізований лише програмними засобами.

Н-модель не має програмних засобів, а реалізується тільки апаратними засобами.

Н:<A,Q, qo, qt, G>- алгоритм Н-моделі.

RH-модель комп’ютера (реконфігуровані комп’ютери).

SH-модель комп’ютера Архітектура комп’ютера

H+S H + S

Недолік архітектурної моделі:

1) Розроблена програмна частина з ПЗ.

2) Не визначена властивість “елементарність”. В SH- моделі обчислюється точно.

3) Продуктивність може бути збільшена коли ускладнюється апаратну складність.

Продуктивність можна ще збільшити за рахунок зв’язків. Структурну складність генерує

розробку так само і програмна складність

Характеристика програмної і структурної складності є продукт, який генерує інтелект


людини. Програмна складність (Р) визначається нерівномірністю часової діаграми робота
комп’ютера реальної задачі мова іде мікропрограми.

Приклад:

Архітектура CISC(Складна система команд ) і RISC(Спрощенна система команд)


розв’язання задач.
CISC архітектура

ОЗП РФ АЛП

RISC архітектура

ОЗП РФ АЛП

Професор Патерсон сказав що команди CISC архітектури не ефективна що кожна складна


команда можна розкласти на більш прості. Тим самим піднімається швидкодія. Був
створений створений перший RISC комп’ютер.

Переваги RISC перед CISC мала системна складність.RISC буде працювати швидше ніж
CISC тому-що в CISC дуже багато не потрібних команд тому RISC буде працювати швидше.

Система сучасних комп’ютерів

Intel
Команди
почат
RISC
ковий

Програмна складність CISC набагато більша ніж RISC. Pcisc >Prisc.

Програмна складність це ступінь нерівномірності мікропрограм(Часових діаграм).

F
P = − F log 2 ,
nm
Приклад виконання операції множення

U1 U2 U3

X1 X2 X3

U4 U5
U6
U7
X4

U8 U9 U10

X5
U11
X6

X7

U12

Рис.1 Oрієнтований граф структури

Опереція множення в сучасних комп’ютерів може виконуватися багатьма способами.


Одним з них є множення за допомогою додавання зі зсувом на один чи декілька розрядів
часткових добутків, кожне з яких є результатом множення множиного на відповідний розряд
(розряди) множника. Керування процесом множення може починатись як з молодших так і з
старших розрядів множника. При цьому повну суму (добуток) можна отримати чотирма
способами: 1. множенням молодших розрядів множника зі зсувом накоплюваної суми
вправо; 2. множення молодшими розрядами множника зі зсувом множимого вліво; 3.
множення старшими розрядами множника зі зсувом суми часткових добутків вліво; 4.
множення старшими розрядами множника зі зсувом множимого вправо.
Розглянемо структуру пристроб множення, що реалізує спосіб множенням молодших
розрядів множника зі зсувом накоплюваної суми вправо. Операнди є 4-х розрядними,
відповідно результат множення res – 8-м розрядів. Пристрій складається з 4-х розрядних
регістрів rg0, rg1 операндів, 8-ми розрядного регістра часткових добутків rg2 та вихідного 8-
ми розрядного регістра результату. В склад пристрою також входить 4-х розрядний
мультиплексор, що керується молодшим розрядом регістра rg1, суматора та пристрою зсуву
на один розряд вправо.

Структурна складність відображає ступінь нерегулярності міжзв`язків схеми деякого


рівня ієрархії побудови апаратних засобів.
Для розрахунку структурної складності необхідно структуру пристрою перетворити в
орграф, орграф закодувати у вигляді матриці інциденцій та обчислити значення
нерівномірності матриці інциденцій. Для наведеного прикладу орграф пристрою наведено на
рис. 1б., де X0- rg0, X1 – rg1, Х3 – rg2 X4 – суматор, Х5 – мультиплексор, Х6 – блок зсуву,
X7 – res. Матриця інцеденції має вигляд:

X1 −1 0 0 1 0 0 −1 1 1 0 0 0
X2 0 −1 0 0 1 0 0 0 0 0 0 0
X3 0 0 −1 0 0 1 0 0 0 0 0 0
I = X4 0 0 0 −1 −1 0 0 0 0 1 0 0
X5 0 0 0 0 0 −1 0 0 −1 −1 1 0
X6 0 0 0 0 0 0 1 0 0 0 −1 0
X7 0 0 0 0 0 0 0 −1 0 0 0 0
U 1 U 2 U 3 U 4 U 5 U 6 U 7 U 8 U 9 U 10 U 11 U 12

Матриця інцеденції даного пристрою містить фрагмент, що повторюється для X2 та X3


та для зв’язків U6,U5,U9, U10 тому фрагменти, що повторюються вилучаємо:

X 20 −1 0 0 0 0 0 0 0
X 30 0 −1 0 0 0 0 0 0
I = X 40 0 0 −1 0 0 1 0 0
X50 0 0 0 0 0 −1 1 0
X 60 0 0 0 1 0 0 −1 0
X70 0 0 0 0 0 0 0 1
U 1 U 2 U 3 U 4U 7 U 8 U 10U 11U 12

Тоді структурна складність:


E 9
S = − E log 2 = −9  log 2 = 1,34
qr 69
Лекція №4
Способи збільшення продуктивності СКС
1)Апаратне виконання алгоритмів(спеціалізовані та апаратні функції).
2)Конвеєризація.
3)Паралелізм
Ієрархічні рівні побудови комп’ютера
1)Операційні пристрої.
2)Пристрої реалізації елементарних функцій.
3)Пристрій реалізації спеціальних функцій.
Класифікація ОП

ОП

З регулярною З нерегулярною
структурою структурою

Лінійні Матричні Одиночні Багато


трактові трактові

однорідними Багато
комірками розрядним
комірками

комбіновані комбіновані

Асоціативні Асоціативні

систолічні
систолічні
Асц/сист.
Асц/сист.

Приклад:

Лінійні це є суматор
Схема перемножувала на багато розрядному суматорі

C1 C2

RG1 RG2
C3
&


C4

RG3
C5

Матричні

Комбінаційні Матрична пам’ять

Асоціативні Систолічні

Матричні мають більшу продуктивність ніж багато розрядні суматори.

Матриця з горизонтальним переносом. Часова складність складає максимальному


критичному шляху L=3n-2.

n-2 n-1

S=0 S= 0

L=3n-2 L=2n-1; V=1/ iRi

S=-F log2 - матриця інцидентності


S=-log2 1/1*1=0

U1 U2 U3

X1 X2 X3

U4 U5
U6
U7
X4

U8 U9 U10

X5
U11
X6

X7

U12

Рис.1 Oрієнтований граф структури


Опереція множення в сучасних комп’ютерів може виконуватися багатьма способами.
Одним з них є множення за допомогою додавання зі зсувом на один чи декілька розрядів
часткових добутків, кожне з яких є результатом множення множиного на відповідний розряд
(розряди) множника. Керування процесом множення може починатись як з молодших так і з
старших розрядів множника. При цьому повну суму (добуток) можна отримати чотирма
способами: 1. множенням молодших розрядів множника зі зсувом накоплюваної суми
вправо; 2. множення молодшими розрядами множника зі зсувом множимого вліво; 3.
множення старшими розрядами множника зі зсувом суми часткових добутків вліво; 4.
множення старшими розрядами множника зі зсувом множимого вправо.
Розглянемо структуру пристроб множення, що реалізує спосіб множенням молодших
розрядів множника зі зсувом накоплюваної суми вправо. Операнди є 4-х розрядними,
відповідно результат множення res – 8-м розрядів. Пристрій складається з 4-х розрядних
регістрів rg0, rg1 операндів, 8-ми розрядного регістра часткових добутків rg2 та вихідного 8-
ми розрядного регістра результату. В склад пристрою також входить 4-х розрядний
мультиплексор, що керується молодшим розрядом регістра rg1, суматора та пристрою зсуву
на один розряд вправо.

Структурна складність відображає ступінь нерегулярності міжзв`язків схеми деякого


рівня ієрархії побудови апаратних засобів.
Для розрахунку структурної складності необхідно структуру пристрою перетворити в
орграф, орграф закодувати у вигляді матриці інциденцій та обчислити значення
нерівномірності матриці інциденцій. Для наведеного прикладу орграф пристрою наведено на
рис. 1б., де X0- rg0, X1 – rg1, Х3 – rg2 X4 – суматор, Х5 – мультиплексор, Х6 – блок зсуву,
X7 – res. Матриця інцеденції має вигляд:

X1 −1 0 0 1 0 0 −1 1 1 0 0 0
X2 0 −1 0 0 1 0 0 0 0 0 0 0
X3 0 0 −1 0 0 1 0 0 0 0 0 0
I = X4 0 0 0 −1 −1 0 0 0 0 1 0 0
X5 0 0 0 0 0 −1 0 0 −1 −1 1 0
X6 0 0 0 0 0 0 1 0 0 0 −1 0
X7 0 0 0 0 0 0 0 −1 0 0 0 0
U 1 U 2 U 3 U 4 U 5 U 6 U 7 U 8 U 9 U 10 U 11 U 12

Матриця інцеденції даного пристрою містить фрагмент, що повторюється для X2 та X3


та для зв’язків U6,U5,U9, U10 тому фрагменти, що повторюються вилучаємо:

X 20 −1 0 0 0 0 0 0 0
X 30 0 −1 0 0 0 0 0 0
I = X 40 0 0 −1 0 0 1 0 0
X50 0 0 0 0 0 −1 1 0
X 60 0 0 0 1 0 0 −1 0
X70 0 0 0 0 0 0 0 1
U 1 U 2 U 3 U 4U 7 U 8 U 10U 11U 12

Тоді структурна складність:


E 9
S = − E log 2 = −9  log 2 = 2,1
qr 69
Прості числа

Закон Мерсена: M= p=4,5,7,…

Числа Ферма: F=22n +1 n-просте число

Згортки чисел за модулем числа Мерсена М=7

101|111|011|111|011|101 - Mal 7

101 Σ Σ Σ Σ
011 Σ Σ Σ Σ S=0
111 ΣΣ ΣΣ ΣΣ ΣΣ
011 Σ Σ Σ Σ
111 ΣΣ ΣΣ ΣΣ ΣΣ
101 Σ Σ Σ Σ
010 Σ Σ Σ Σ
Діагональний переніс
Σ Σ Σ Σ
S!=0
Σ
Σ Σ Σ L2<L1
Σ
ΣΣ Σ ΣΣ ΣΣ
A2=A1
Σ
Σ Σ Σ
Σ
ΣΣ Σ ΣΣ ΣΣ
Σ Σ Σ
Σ
Σ Σ Σ
Σ
Σ Σ Σ Σ
Σ Σ Σ
Σ
Σ Σ Σ
Σ
Зміна часової складності збільшує структурну. В даної схеми ми можемо виконати
паралелізм воно дозволяє ще зменшити часову складність.

Асоціативні матриці:

1)Матриця пошуку за ком парадом(кеш – пам'ять )

1 0 1 1

1 1 1 1

1 1 1 1

1 1 1 1

1 1 1 1
Асоціативну матрицю ми отримуємо матрицю памяті і матрицю логіки пошуку.
Лекція №5

Порівняння модулей машино орієнтованих алгоритмів

Х-ки \ Моделі Параметрична М Архітектурна SH-Модель


модель модель
L(Часова Кількість Кількість кроків Кількість Кількість
складність) операцій затримок на елементарних
елементі перетворень які
належать
максимальному
критичному
шляху
A(Апаратна - - Об’єм A=|x|
складність) обладнання
M(ємнісна - - Об’єм M=|m|
складність) опер.пам’яті
S(структурна - - - E
складність) S = − E log 2
qr
P(Програмна - - - E
складність) P = E log 2
qr
Взаємно залежна - - - +
характеристики
складності
Гіпотеза повноти - - - +
характеристики
Властивість
Дискретність + + + +
Детермінованість + + + +
Масовість + + + +
Елементарність - умовна Арифметичні та +
логічні операції
Ієрархічність - - + +
SH-математично визначена модель а ті всі інші не мають теорії. Параметрична модель
уведена академіком Калмандором . Алгоритм має 8 параметрів :

1)Система вхідних даних.

2)Система проміжних результатів.

3)Система вихідних результатів.

4)Правила початку.

5)Правила вводу даних.

6)Правила безпосереднього перероблення.

7)Правила виводу результатів.

8)Правила закінчення зміна одного параметра викликає зміну характеристика SH-моделей.


Де немає характеристики не можна провести матричну оптимізацію.

Часова складність змінується з структурною складністю.

Числа Ферма для знаходження залишків використовуються алгоритми сумування і


віднімання без операції ділення. Існує декілька алгоритмів знаходження залишків перший то
прості числа.

Числа Ферма.

F = 22 t +1

де t – додатнє ціле число. F = 5, 17,...

Розглянемо алгоритм для t = 2 (F = 17)

<A> = <a020+a121+a222+a323 + a424+a525+a626+a727+a424+a525+a626+a727 + …> 17 ()

А0 А1 А2

A0 = a020 + a121 + a222 + a323

A1 = a424 + a525 + a626 + a727

< 24 > 17 = < 16 > 17 = -1 16 – 17 = -1 ( доповнення до модуля )

< A > = < A0 – A1 + A2 – A3 + … > 17

< 24 > 17 * < 24 > 17 = ( -1 ) * ( -1 ) = 1

маємо число 110 1111 1100 1100

0111 0101 1111

За алгоритмом

+0110 – 1111 + 1100 – 1100 + 0111 – 0101 + 1111 =

+A6 -A5 +A4 -A3 +A2 -A1 +A0


+ 0110

+ 0111

- 0101

+ 0110

0010

1000

Залишок дорівнює 1000.

Якщо не вистачає чисел Мерсена і Ферма для знаходження залишків використовують інші
прості числа: 11,13, 19, 23, …

Таблиця 2i mod 11

2i 20 21 22 23 24 25 26 27 28 29 210

+r 1 2 4 8 5 10 9 7 3 6 1

-r -10 -9 -7 -3 -6 -1

Алгоритм будується так як для чисел Ферма, але з більшою розрядною сіткою.
a11 a2 a10 a1 a9 a0 a8 a0

Σ Σ Σ Σ
a7 a6 a5 a4

Σ Σ Σ Σ
a16 a15 a13 a12

Σ Σ Σ Σ

Якщо порівнювати ці дві схеми то перша схема тільки для чисел Мерсена (тріад), а друга
для чисел Ферма (тетрад) і Мерсена.

Таблиця 2i mod 11

2i 20 21 22 23 24 25 26 27 28 29 210

+r 1 2 4 8 5 10 9 7 3 6 1

-r -10 -9 -7 -3 -6 -1

Алгоритм будується так як для чисел Ферма, але з більшою розрядною сіткою.
Лекція 6

"Китайська теорема"

Китайська теорема була відома ще 2000 років до н.е.

Три напрями існують:

1)Залишки

2)Точне обчислення

3)Використання хеш-функцій

Приклад використання китайської теореми.

Студентам дано завдання вимірити периметр кімнати ступнями, довжина ступні


відома. В результаті кожний студент знайшов для себе кількість ступенів плюс залишок. Але
з’ясувалося, що всі студенти забули скільки вийшло ступнів, але пам’ятають залишки.
Знайти первинне значення числа (периметр кімнати), користуючись тільки залишками

m1 = 7, r1 = < A > m1 = 2

m2 = 8, r2 = < A > m2 = 5

m3 = 9, r3 = < A > m3 = 5

m4 = 10, r4 = <A >m4 = 6

де m – довжина ступні, r – залишок, A – периметр, знак “ ” означає порівняння

A = Mi Ni ri m
1. i

2. r = A  m = A mod m
n

3. M =  mi
i =1

4.  M i  m N i  1 mod mi
M
5. M i =
mi

Ці рівняння є формулюванням китайської теореми.

Далі наводяться розрахунки для знаходження периметру за наведеним завданням за


китайсько теоремою

M = 7 * 8 * 9 * 11 = 5544

M1 = 5544 : 7 =792
M2 = 693

M3 = 616

M4 = 504

m1 = 792 * N1 1 mod 7

1* N1 1 mod 7

N1 1

m2 = 693 * N2 1 mod 8

5 * N2 1 mod 8

5*1 1 mod 8 , не порівнюється, тому що залишок від ділення 10 на 8 не дорівнює 1.

5*2 1 mod 8

5*3 1 mod 8

5*4 1 mod 8

5*5 1 mod 8

N2 = 5

N3 = 7, N4 = 9

Пошук по максимуму

A = < 792 * 1 * 2 + 693 * 5 * 5 + 616 * 7 * 5 + 594 * 9 * 6 > 5544 = 149

Модулярна арифметика використовується для:

• точних обчислень, коли розрядність числа суттєво більша за розрядну сітку комп’ютера,
• в криптографії (кодування, дешифрування)
• в допоміжних операціях комп’ютерних обчислень (контроль по модулю, хешування та
інших).

Асоціативний пристрій пошуку по max


Розв’язання задач сортування має вигляд як L=O(N2)

Lac=O(N)-асоціативні матриці.

Програмна складність Р=0.

0 0 1 0

1 1 0 1

0 0 1
1

1
0 1 1

Всі інші комірки мають бути мають бути менше ніж де 1.

S=S*a

Якщо всі 1 то S’=1

Схема для китайської теореми


Y X
T Y
1

0
&
1

&
Лекція 7

Комбінаційні матриці з багато розрядними комірками.

Матриця сортування

0 1 3 7 2 5 4 6
1 3 2 7 4 5 6
4 7 5 6

Максимальна кількість кроків =7

L=O(N) N- кількість порівнянь. L=7.

Робиться схема порівняня подається 1 чи 0 якщо воно більша то міняється місцями L –


часова складність позначається кількість дискрет часу роботи пристрою ємнісної складністю
немає S=0, всі комірки однакові p=0,A=0

a b

Сх.порівняння

& & & &


1 1

c Д
Приклад виконання матриці порівняння.

Розглянемо медіану фільтрації

Dk =  X k −i * bi - формула лінійної фільтрації


i

X k −i це початкова реалізація випадкового процесу.

bi це імпульсна характеристика.

Переваги:

В лінійні фільтрації можна повернути кроки назад. Зворотня фільтрація та лінійна


фільтрація.

Недолік такої фільтрації є зв’язаний з ефектом Ганна.

Характеристики сигналів від вибуху:

1. Частотний діапазон (5 – 250)Гц


2. Динамічний діапазон

Umax – max не спотворений сигнал;

Umin – оцінюється за рівнем білого шуму.

Медіана фільтрація потребує проведення трьох операцій:

1) Вивід вікна
2) Сортування відліків у вікні
3) Формування вихідного сигналу

Схема порівняння матриці порівняння


5 4
Вхід 3
RG1 RG2 RG3

CХ.порівняння

CХ.порівняння

CХ.порівняння Вихід
Лекція 8

Систолічні матриці з багато розрядним перемножувачем.

1) Ознаки
2) Приклад
3) Переваги та Недоліки

Ознаки:

1) Однорідність комірок.
2) Зв’язки.
3) Апаратне виконання спеціальних функцій.
4) Паралелізм.
5) Конверизація.
6) Пульсуючий рух даних у різних напрямках.
7) Вхід та вихід через крайні інтерфейсні елементи.
8) Обробка даних з мінімізованого кількістью звернення до ОЗП.

Мета: Досягнення великої продуктивності на обмеженому колі задач.

Типи задач: На матриці головним чином розв’язується системи лінійних задач. Рівняння мат-
фізики, фізичних полів, ядерної фізики і багато таких в яких вимагається безперервна
обробка великих масивів даних за обмеженої кількості даних.

Складність операції:

O(N2), O(N3), O(N4) – це означає що кількість операції дорівнює кількості вхідних даних до
(кубу).

Для обробки сигналів використовується O(N2), O(N3) цей напрям є основним.

Апаратна реалізація краще ніж програмна реалізація має такі пункти:

1)Підвищення продуктивності.

2) Простота реалізації.

Це є перевага апаратної реалізації обмеження порівнянь з програмними засобами.

1) Програмна реалізація має Lпр.>La за наступним чином.

Апаратна реалізація не має додаткових операцій.

А) Виклик команди.

Б) Дешифрація команди.

В) Виконання.

Г) Завантаження.

2) Функціональність в апартній можна їх покращити.

Пристрій керування займає площу = 50%. Використовує для покращення вузлів.


3) Час проектування.

Тап.<< Тпрогр.

Pпрогр.→ велика

Структурна складність функціонального вузла може бути більшою від АЛП або
функціональність процесора.

Апаратна складність апаратної реалізації більша (вона дешевша)

Аапар.>> Апрогр.

Приклад множення матриці на вектор реалізований на СМ.


N −1
y к =  x k +i bi - формула згортки.
i =0

При перемноженні матриці на вектор(стовпець), ми отримуємо новий вектор


стовпець. Приклад:

a11 a12 a13 a14 x1 y1


a 21 a 22 a 23 a 24 x2 y2
* =
a 31 a 32 a 33 a 34 x3 y3
a 41 a 42 a 43 a 44 x4 y4

y1 = a11x1 + a12x2 + a13x3 + a14x4


y2 = a21x1 + a22x2 + a23x3 + a24x4
y3 = a31x1 + a32x2 + a33x3 + a34x4
y4 = a41x1 + a42x2 + a43x3 + a44x4

Об’єднання елементів проводиться по діагоналі (позначено штрих пунктирними


еліпсами). Детальніше продемонстровано алгоритм виконання задачі на схемі виконання за
допомогою систолічної матриці.
aij
RG2

x RG2 x’
×
t7 a44 y‘ y
∑ RG2

t6 a34 a43
t5 a24 a33 a42
t4 a14 a23 a32 a41
t3 a13 a22 a31
t2 a12 a21
t1 a11

y Просторовий паралелізм
x L=N (кількість комірок)
x1 P=0
t1
x2 x1 S=0 (на рівні квадратів)
t2
x3 x2 x1 A=N
t3
t4 x4 x3 x2 x1
t5 x4 x3 x2
t6 x4 x3
t7 x4

Комірка систолічної матриці виглядає так само як і в попередньому прикладі з


множенням матриці на вектор.

Переваги та недоліки структури реалізованої на систолічних матрицях.

Переваги: висока продуктивність, P=0, S=0.

Недоліки: жорстка спеціалізація. Сфери використання систолічних матриць –


розв’язання задач з однотипними потоковими операціями.

Лекція 9
Ефективний конвеєр згортки

1) Формування задач.

Задачі(Розробити пристрій цифрової фільтрації)

2) Структурний синтез.

Структурний синтез починається з розгляду математичних основ.

Найбільш поширений алгоритм є алгоритм згортки або алгоритм цифрової фільтрації.


N −1
Алгоритм згортки записується у вигляді такої формули : y к =  x k +i bi
i =0

Xk+і – Реалізація випадкового процесу.

Ві – імпульсна характеристика.

Імпульсна характеристика це відгук на прямокутну подію.

Структурний синтез.

Реалізація у вигляді графа або структурною схемою який він заданий.

Сума
накопичувача

3) Структурний синтез операційних пристроїв.

Нижче наведений малюнок який може бути переповнений у випадку нагромадження великих
добутків. Щоб уникнути це треба поставити ще 1 регістр та зсувати його.
*

Сума

Рг

RG

Схема з
зсувом

4) Параметрична оптимізація

Перед параметричною оптимізацією треба знати що покращувати.

Вимоги до схеми

Часова складність

Щоб збільшити продуктивність є три етапи.

1) Апаратна реалізація.
2) Конвеєризація.
3) Паралелізм.
При конвеєризації.

Сума

Рг

RG

Схема з
зсувом

При конвеєризації треба поставити ще 1 регістр між сумою та перемножувачем.

1) L< 1 багаторозрядного суматора. Незалежність від розрядної сітки.


2) Кількість ступенів конвеєра не має залежити від розрядної сітки регістрів

Діагональна матриця яка задовольняє L< 1.

a3 a2 a1 a0

& & & &

& & & &

& 0/ 0/ 0/ 0/

& 0/ 0/ 0/ 0/

<-- <-- <-- <--

RG

В нас реалізований приклад 1111*1111.

Зменшити часову складність можна за допомогою збільшення апаратної складності та


конвеєризації.
Лекція 10

Множиний тракт H-Моделі приклад ШПФ(швидке перетворення фур’є). Одиниця даних


вектор (тракт) –спец.комп. В універсальних комп’ютерах є скаляр. В спеціалізованих
використовуємо тракт обробки, вектор – масив взаємозалежних даних. Скаляр це один
операнд.

Н-модель не має програмних засобів, а реалізується тільки апаратними засобами.

Н:<A,Q, qo, qt, G>- алгоритм Н-моделі.

G = ( X, U ) – конфігурація апаратних засобів


X – множина елементарних перетворювачів X = { X1 , X2 , X3 , ….. , Xn }
U – множина зв’язків між ними U = { U1 , U2 , U3 , ….. , Um }

Перетворення фур’є дозволяють зв’язати два способи відображення сигналу :

1) В часовій області .
2) В частотній області.

Фур’є дозволяє переходити з спектру в часове і навпаки з часового в спектр.

ПДПФ – пряме дискретне перетворення фур’є.

ЗДПФ – зворотне дискретне перетворення фур’є.

ДПФ має таке аналітичне відображення


N −1
Xk= x e
i =0
n
− 2 пj / n*nk

Xk- відлік спектру.

Часова складність L=O(N2)

Алгоритм ШПФ має таку форму L=O(N*log2*N)

Метелик ШПФ
В мене перший етап шифрування починається праворуч і ці W обозначає розряд числа
другий ета посередині та третій зліва.Розглянемо реконфігуровану H-модель реалізації двох
функцій – згортки та метелика ШПФ. Будемо користуватися наступними рівняння метелика
ШПФ (Рис. 5.3). До схеми згортки Рис.5.2. додамо нові вузли: два суматора, регістр, 17
мультіплексоров і необхідну систему з’єднань.

A’=A+WC
A A’
C’=A-WC

A=a+jb w
C C’
C=c+jd

W=w+jv

A’=(a+cw-dv)+j(b+vc+dw)

C’=(a-cw+dv)+j(b-vc-dw)

Схема ШПФ.
Лекція 11

Суміщення операцій згортки і ШПФ.

В універсальних комп’ютерах виконується арифметичні операції + логічні операції.

Спеціалізовані виконують будь-які функції.

1) Спеціальні функції.
2) Логічні функції.
3) Арифметичні функції.

ШПФ і Згортка є найбільш використовані в спеціалізованих комп’ютерах особливий процес


обробки сигналів.

1) Операції універсальних комп’ютерних систем і спеціалізовані комп’ютерні системи.


2) Математичний апарат універсальних комп’ютерних систем архітектури.
3) Математичний апарат СКС базується на SH моделі ШПФ та згортки є комбінаційною
системою тобто вони мають велику продуктивність програмна складність за рахунок
апаратної складності та має малу часову складність.
4) Н-модель не має програмних засобів, а реалізується тільки апаратними засобами.

Н:<A,Q, qo, qt, G>- алгоритм Н-моделі.

5) RH- модель :<A,Q, qo, qt, G, Y >


Y- задає необхідну операцію.
6) Варіант H-моделі реалізації згортки наведений нижче.

{x} {b}

РГ РГ РГ РГ РГ

* * * *

∑ ∑ ∑ ∑

РГ РГ РГ РГ

Yk+3 Yk+2 Yk+1 Yk


MX коп

Рис Реалізація згортки по 4-м точкам


Y0=x0b0+ x1b1+ x2b2+ x3b3

Y1=x1b0+ x2b1+ x3b2+ x4b3

Y2=x2b0+ x3b1+ x4b2+ x5b3

Y3=x3b0+ x4b1+ x5b2+ x6b3

Порівняємо ці дві схеми за характеристиками складності. Часова складність, як слідує з


аналізу конвеєрних структур, у систолічної схеми в ~2 рази більша, апаратна складність
також в 2 рази більша, програмна складність обох схем дорівнює нулю. Єдина перевага
систолічної схеми – нульове значення структурної складності.Відносно велика структурна
складність H-моделі збільшує витрати на топологічне проектування, але вирішальною
перевагою її є продуктивність – вона вища в ~2 рази.Другою суттєвою превагою H-моделі,
яка також обумовлена необхідністю збільшення структурної складності, є її
реконфігурованість. Термін реконфігурованість означає можливість на одній і тій же
паралельно конвеєрній апаратно орієнтованій структурі обчислювати декілька спеціальних
функцій. Процес обчислення різних функцій не повинний співпадати за часом.

A=4(*,+,3 rg)+rg

L=1/4 L(C+ RG)

P= -3lg2 3/5*2

S = Одному тракту згортки.


Схема конвеєра ШПФ+Згортка
{x} c d u v {b} b

MUX MUX MUX MUX MUX

RG RG RG RG RG RG

MUX MUX MUX MUX

Перша частина Перша частина Перша частина Перша частина


перемножувача перемножувача перемножувача перемножувача

RG RG RG RG RG RG RG RG

Σ Σ Σ Σ
RG RG RG RG

Σ Σ
RG RG

MUX MUX MUX MUX MUX MUX MUX MUX

Σ Σ Σ Σ

RG RG RG RG
Лекція 11

Організація системи пам’яті РК.

Паралельно підвищує швидкість. Проблема суміщення операцій: Суттєво економить


апаратні засоби.

Проти:

1) Зменшується продуктивність
2) Збільшується структурна складність.
3) Неможливо одночасно виконувати операції.

Кеш1 Кеш 2

Сума

RG

Кеш 3
C1

C2

C3

РФ КОП S1 S2 Д

АЛП ПК
В універсальних процесорах адреси виробництва на АЛП в сучасних контролерах
використовується окремі арифметичні блоки адресів і даних.

Адресний пристрій РК.

КОП ЛАі+2 ЛА2і

КОП Аі2 Аj+k Аі2 А2i Аі2 А3k Регістр


ознак

А1 А3

I >= N
I >= N

А2
РС
Кеш

^А1-лічильник А1

А2-вихід для шини адреси 2

Система реконфігурованих комп’ютерів.

1) Процесор алгоритмів О(N2), O(N log N) (Згортка,ШПФ, множення


матриць)
2) Сумування процесорів O(N)
3) Елементарні функції O(N)
4) Спеціальні функції (рішення систем лінійних рівнянь методом Гауса)
5) Пошукові алгоритми O(N), О(N1.5)
Кеш1 Кеш2
П1 П2 Пк
Кеш2 Кеш к

Закон Мінського розраховується за такою формулою: V= log2 n

Лекція 12
Багатопроцесорна система із різнорідними процесорами.

1) Вибір спеціальних процесорів

А) За складністю алгоритмів з умовою суміщення.

Б) За призначенням з 4 умов одного напрямку.розвитку.

В) За складністю алгоритмів користаючи загальним графом оброблення.

Загальний граф обробки даних

1) ШПФ часова складність O(N log2 N) використовує тільки 30% ШПФ.


2) Згортка O(N2) використовує 30 %
3) Арифметичний та логічний оператор O(N) використовує 30%
4) Пошукові операції O(N) використовує 10%

Можна перейти до чистого часу.

Час виконання Згортка 70%

ШПФ 20%

Ариф. 8%

Пошукова 2%

Це час викликання цих операцій ШПФ зустрічається найчастіше а згортка на 1 етапах задачі.
ШПФ+ згортка це є спец процесор одночасне використання ШПФ згортки дуже мала.
Потрібен автоматичний вузол генерації адрес. Найкраще не передавати дані а перемикання
між ОЗП.

кеш1
Проц.1 Проц.2
кеш2

Добре працює якщо є жорсткий алгоритм.

Структурна схема багатопроцесорні системи

Ці різні задачі виконуються окремо

Озп Проц.к
команд оманд

Адр.пр Адр.пр Адр.пр Адр.пр


Комп’ютер ист.1 ист.2 ист.3 ист.4

Кеш1 Кеш 2 Кеш 3 Кеш 4

Комутатор

Операційні тракти

Головна проблема це зв’язки провідників основна проблем це вузол коммутатора множина


зв’язків.

Основні риси архітектури DSP(Digital signal processor)

Це є процесор обробка сигналів (функціональний процесор випущений у 1985р.)


Головні риси:

1) В цього є пара пристрій який добре виконують згортки (суматор , пристрій швидкого
зсуву)
2) Адресний пристрій був відокремлений від пристрою оброблення даних.

Тим самим використовується особливість векторної обробки даних а також були


відокремлені всі інші пристрої (регістри адрес, лічильник адрес, програмний лічильник ).
Відміна від суматорів і спеціальних процесорів використовується на рівні арифметичних
операцій та логічних операцій (+,-,*, /). Обробка проводиться одна команда ШПФ потім
одна команда згортки.

1) Відсутність макрокоманд
2) Команди є одно тактові та чотирьох тактові

Позитив:

1) Наявність арифметичних вузлів.


2) Розділення арифметичних і адресного простору

Загальна структура ТМС 320

Пам'ять
Адресний Блок
блок керування
Шина
керування

Пам'ять

Функціональний блок

Шина даних

РК

А Команди
МХ
Д
МХ

РС

Стек

Цей процесор ще розвивається але не витримує конкуренції.

Це шина даної арифметичний вузол.

Особливості арифметичного блоку.

Регістр вер.
Зсув

Стек

R RG 32

МХ 1

Адреса

ОЗП
акумулятор

зсув

Немає послідовності в тому що іде спочатку розподілена адресація та в арифметичному


блоці так само.

You might also like