Professional Documents
Culture Documents
Practical #4
Practical #4
ПРАКТИЧНА РОБОТА №4
з курсу: «Проєктування К (Ц) С на одному кристалі (СоК - SoC)»
з теми: «Розроблення елементарних автоматів (тригерів) за допомогою мови
опису апаратури VHDL»
Прийняла:
Професор, каф. ТПС
Мірошник М. А.
Виконала:
студентка групи КI-51
Волинець К.А.
Харків 2024
Мета роботи: вивчити закони функціонування елементарних
автоматів, способи їх завдання; отримати навички складання
таблиць переходів та матриць переходів тригерних схем. Вивчити
основні прийоми канонічного методу синтезу цифрових
автоматів; отримати навички синтезу одного типу елементарного
автомата на основі іншого. Отримання навичок у побудові
VHDL-опису моделей тригерів.
Варіант 2
Рис. 1. Варіант
K* J* Q(t) Q(t+1)
0 0 0 1
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 0
1 1 1 1
Табл. 1. Повна таблиця переходів J*K*
K* J* Q(t+1)
0 0 !Q(t+1)
0 1 0
1 0 1
1 1 Q(t)
Табл. 2. Скорочена таблиця переходів
Q(t)/K*J* 00 01 11 10
0 1 0 0 1
1 0 0 1 1
Табл. 4. Карта Карно:
Рис. 2. J*K*-тригер
library ieee;
use ieee.std_logic_1164.all;
entity test is
port (
K, J,C, R: in std_logic;
Q: out std_logic
);
end test;
1. RS-тригер:
2. JK-тригер:
3. D-тригер:
4. T-тригер:
Побудови лічильників.
Регістрів.
Двобічних машин стану.
Демультиплексорів.
Шифрів.
Інших цифрових пристроїв.
Лічильників.
Регістрів.
Двобічних машин стану.
Демультиплексорів.
Шифрів.
Синхронізація за рівнем: