Professional Documents
Culture Documents
Лб1 Коль КІУКІ 20 6
Лб1 Коль КІУКІ 20 6
Кафедра АПОТ
Звіт
з лабораторної роботи №1 на тему:
«РОЗРОБКА ВЕНТЕЛЬНИХ VHDL-МОДЕЛЕЙ»
з курсу «Мова опису апаратних засобів»
Виконав: Перевірила:
ст. гр. КІУКІ-20-6 Рахліс Д.Ю.
Коль Є.В.
Харків 2022
ВАРІАНТ 20
1.1 Мета:
Ознайомитись з основами введення, компіляції та
верифікації VHDL-моделей у системі Active – VHDL.
entity lb1 is
port(
X1: in STD_LOGIC;
X2: in STD_LOGIC;
X3 : in STD_LOGIC;
Y1: out STD_LOGIC;
Y2: out STD_LOGIC;
Y3 : out STD_LOGIC
);
end lb1;
end lb1;
Ручне моделювання
X1 0 0, 1 30NS
X2 0 0, 1 60NS
X3 0 0, 1 30
library ieee;
use ieee.std_logic_1164.all;
entity lb1_tb is
end lb1_tb;
component lb1
port(
X1 : in STD_LOGIC;
X2 : in STD_LOGIC;
X3 : in STD_LOGIC;
Y1 : out STD_LOGIC;
Y2 : out STD_LOGIC;
Y3 : out STD_LOGIC );
end component;
signal Y1 : STD_LOGIC;
signal Y2 : STD_LOGIC;
signal Y3 : STD_LOGIC;
signal test : std_logic_vector(2 downto 0);
begin
UUT : lb1
port map (
X1 => test(2),
X2 => test(1),
X3 => test(0),
Y1 => Y1,
Y2 => Y2,
Y3 => Y3
);
test <= "000", "001" after 30 ns, "010" after 60 ns, "011" after 90 ns,
"100" after 120 ns,
"101" after 150 ns, "110" after 180 ns, "111" after 210 ns;
end TB_ARCHITECTURE;
run 90 ns
Рисунок 4 – waveform
Рисунок 5 –list
Висновок: Під час виконання лабораторної роботи ми
ознайомились з основами введення, компіляції та верифікації
VHDL-моделей у системі Active – VHDL та застосували на
практиці.