You are on page 1of 38

笔记本图纸QQ107203753

1 2 3 4 5 6 7 8

ED3-UMA DESIGN VER : B3B


A A
RUN POWER AC/BATT
SW CONNECTOR PG 37 Dothan DC/DC
+3V_SRC CPU VR CLOCKS
(478 Micro-FCPGA) +5VSUS
PG 34
BATT
CHARGER PG 37 PG 34 PG 33 PG 17
PG 5, 6

FSB
133MHZ
LVDS Panel Connector
DDRII-SODIMM1 Alviso 915GM/GML PG 18
PG 15, 16 400/533 MHZ DDR II S-Video
TVOUT S-Video reserved
1257 PCBGA PG 24
DDRII-SODIMM2
VGA VGA PR-VGA
B
PG 15, 16 B

PG 7,8,9,10, 11 PG 19

DMI USB2.0 (P3) Bluetooth


interface USB2.0
(P0~P7) PG 24
SATA - HDD USB2.0 (P2) PR-USB2.0
SATA0
PG 20 USB2.0 (P0~P1,P4) USB2.0 I/O P2 reserved for
third USB
Ports PG 24
PATA - HDD ICH6-M
PATA 100 LAN Magnetics RJ45
PG 26 PG 26
PG 20 RTL8100S PR-LAN Port
PG 25 Replicator
609 BGA
Internal ODD PCI Bus 33MHz
CD-ROM
PG 31
PG 20 PG 12,13, 14
AC97/Azalia MINI-PCI
C
CARDBUS PC7411 C

PG 21,22,23 PG 24

Conexant Audio LPC


PCMCIA Card IEEE1394 Wireless
PG 28 CON. Reader CONN. LAN Card
PG 21 PG 22 PG 23 PG 24

Serial PR-COM
AUDIO MDC DAA KBC
NS97551 Super IO
Amplifier
Parallel PR-Printer
PG 29 PG 30 PG 32
LPC47N217
X-Bus
PR-PS/2

D
Jack to Audio MODEM Key Touch Flash PR-Audio out D
Speaker Jacks RJ 11 Matrix Pad PG 31 IrDA
PG 29 PG 29 PG 26 PG 27 PG 27 PG 32 PG 31
PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
Block Diagram 1 A3A

Date: Wednesday, June 22, 2005 Sheet 1 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

PCI ROUTING
A TABLE IDSEL INTERUPT DEVICE SMB I A

REQ0# / GNT0# AD24 PIRQA# RTL8110S


CLK
REQ2# / GNT2# AD19 PIRQB# , PIRQD# MINI-PCI
GEN
REQ1# / GNT1# AD17 PIRQC#,PIRQD#,PIRQA# TI 7411
ICH6 MOSFET

DIMM1

DIMM0

+3VSUS +3VRUN

B B
SMB II

NS551 MOSFET

551 Smart Thermal


EPROM Battery IC of
CPU

+3VALW +3VRUN
C C

D D

PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
Block Diagram 2 A3A

Date: Tuesday, June 14, 2005 Sheet 2 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

P
o
w
e
r
R
a
i
l
F
l
o
w
A A

VIN VHCORE DC_IN FB VA Diode AO4404


SC451
HWPG
VRON
IMVP_PWRGD
CPU_VID[0..5] LM339 VIN +15VALW MAIND +12VRUN
Charger AO4411 2N7002
MAX1632A
STP_CPU# +12VALW
DPRSLPVR
CLK_EN#
AOD4411
PSI +5VALW +5VSUS
AO4812
MAIND
Battery MBATT FB +5VRUN
3.3VREF SUSD

VIN +VCCP
+3VALW +3VSUS
MAINON LM27281 +2_5VSUS AO4812
AO4414 MAIND
B B
+2_5VRUN PG HWPG +3VRUN
SUSON HWPG SUSD

MAIND
+3V_S5
SI5402
S5_ON
VIN HWPG +1_5VRUN
AO4414
SC1470
SUSON +1_5VSUS
+3VRUN FB FB AVDD_CLK

Pass Through AIC1117 AMCVDD FB +3_3VDC


+2_5VSUS for SUS Rail +1_25VSUS
G2966
+5VRUN FB AVDD FB +3_3VDD
MAINON Controlled
for RUN Rail
+2_5VRUN +1_25VRUN
C
+3VSUS FB +3V_MODEM C

+5VRUN AO6402 FAN_PWR


+5VRUN FB +5VHDD +3V_LAN_D 1197 +2P5V_LAN FB DVDD_LAN
+3V_S5 FB
CTRL25
+5VRUN FB +5VODD FB
+3V_LAN_A
+3VRUN FB +3VHDD
1197
CTRL18 +1P8V_LAN

D D

Size Document Number Rev


Block Diagram 3 A3A

Date: Tuesday, June 14, 2005 Sheet 3 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

INDEX Power and Ground

Pg# Description DNI LIST New Label NOTE Description Control Signal or Source
VA AC ADAPTER (20V)
1-3 Schematic Block Diagram
VIN MAIN POWER (10~20V)
4 FRONTPAGE
MBATT MAIN BATTERY + (10~17V)
5-6 Dothan/Younah
+15VALW +15V ALWAYS
7-11 ALVISO GM
A +12VALW +12V ALWAYS A
12-14 ICH6M
+12VRUN +12V RUN MAINON
15-16 DDRII SO-DIMM(200P)
17 CLOCK GENERATOR
+5VALW +5V ALWAYS & KBC POWER
18-19 LCD CONN & CRT CONN
+5V_S5 NO USE THIS POWER WILL BE TUNEED OFF IN S5 BATTERY MODE S5_ON
20 SATA & IDE (HDD&CD_ROM)
+5VSUS +5V S5 CONTROLED POWER SUSD
21-23 PCI7411 & CONN & IEEE1394
+5VRUN +5V S3 CONTROLED POWER MAIND
24 MINI-PCI & MDC CONN
+5VHDD CONNECT TO +5VRUN DIRECTLY +5V HDD POWER +5VHDD_EN#
25-26 LAN & LAN Conn.
+5VODD CONNECT TO +5VRUN DIRECTLY +5V ODD POWER +5VMOD_EN#
27 TOUCH PAD & FAN&KB
+5VFDD NO USE EXTERNAL FDD POWER (5V) +5VFDD_EN#
28 Azilia AC97 CODEC
FAN_PWR FAN POWER (5V) VFAN, MAX6657_OV#
29 Audio Amplifier
VDDA Amplifier Power 5V RUN Plane +5VRUN
30 MODEM
AMCVDD AC97 Code DAC Power 3VRUN +3VSUS
31 DOCKING & SIO & FIR
3V_MODEM MODEM Power 3VSUS +5VRUN or +3VRUN
32 KBC PC97551
33 CPU Power
B B
+3VALW 8051 POWER (3V)
34 3.3V/5V/12V/15V
+3V_S5 THIS POWER WILL BE TUNEED OFF IN S5 BATTERY MODE S5_ON
35 1.5VSUS/1.5VRUN
+3VSUS SLP_S5# CTRLD POWER SUSD
36 +VCCP/+1.25V/+2.5V
+3VRUN SLP_S3# CTRLD POWER MAIND
37 Battery & Charger
+3VHDD CONNECT TO +3VRUN DIRECTLY SATA HDD Power +3VHDD_EN#

+3V_LAN_D LAN Digital Power +3V_S5

+3V_LAN_A LAN Analog Power +3V_S5

+2P5V_LAN LAN Analog Power +3V_LAN_D (+3V_S5)

DVDD_LAN LAN Digital Power 1.8 or 2.5V +2P5V_LAN(+3V_S5)

RTCVCC RTC & PCL POWER

REF3V

+2_5VSUS SUSON

+2_5VRUN MAIND
C C
+1_8VSUS NO USE

+1_8VRUN NO USE +2_5VRUN

+1_8V_M24 NO USE +1_8VSUS or +1_8VRUN

+1_5V_S5 THIS POWER WILL BE TUNEED OFF IN S5 BATTERY MODE S5_ON

+1_5VSUS SUSON

+1_5VRUN AGP I/O POWER MAIND

+1_25VSUS SMDDR_VTERM +2_5VSUS

+1_25VRUN MAINON

VGA1_2V NO USE ATI VGA 1.2V +2_5VRUN

VGACORE NO USE ATI VGA CORE 1.0/1.2V MAINON, POW_SW

+VCCP AGTL+ POWER (1.05V) MAINON

VHCORE CPU CORE POWER (1.25/1.15V) VR_ON, HWPG

GND ALL PAGES DIGITAL GROUND

D AGND Page 28,29 AUDIO GND D

GNDP NO USE CPU POWER GND

CGNDP NO USE CHARGER GND

DC_GND DC Jcak DC/DC POWER GND


PROJECT : ED3
LANGND NO USE COMBO CONN GND Quanta Computer Inc.
Size Document Number Rev
Index A3A
Date: Tuesday, June 14, 2005 Sheet 4 of 38
1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

CT_0505: Change footprint to +3VRUN +3VRUN


BGA479M-SOCKET from
L100505 from MPGA479M
HD#[0..63]
U31A HD#[0..63] 7
R69
HA#[3..31] 10K-0402 Q14
7 HA#[3..31]

2
HA#3 P4 A19 HD#0 2N7002
HA#4 A3# D0# HD#1
U4 A25
HA#5
HA#6
V3
A4#
A5#
Dothan D1#
D2# A22 HD#2
HD#3
1 3 MBDATA MBDATA 32,37
R3 A6# D3# B21
HA#7 V2 A24 HD#4
HA#8 A7# D4# HD#5
A
HA#9
W1
T4
A8# 1 OF 3 D5# B26
A21 HD#6 +3VRUN +3VRUN A

HA#10 A9# D6# HD#7


W2 A10# D7# B20
HA#11 Y4 C20 HD#8
HA#12 A11# D8# HD#9 +3VRUN R66
HA#13
Y1
U1
A12#
A13#
D9#
D10#
B24
D24 HD#10 15 MIL
HA#14 AA3 E24 HD#11 R68 47 3V_THM 10K-0402
HA#15 A14# D11# HD#12 Q13
Y3 A15# D12# C26

2
HA#16 AA2 B23 HD#13 C83 2N7002
HA#17 A16# D13# HD#14
AF4 A17# D14# E23
HA#18 AC4 C25 HD#15 .1U/10V_4 1 3 MBCLK MBCLK 32,37
HA#19 A18# D15# HD#16
AC7 A19# D16# H23
HA#20 HD#17 U18
AC3 A20# D17# G25
HA#21 AD3 L23 HD#18 1 7 KBSMDAT 0 1 2 R71
A21# D18# VCC SMDATA THRM# 13
HA#22 AE4 M26 HD#19 THERMDC 3 8 KBSMCLK
HA#23 A22# D19# HD#20 DXN SMCLK
AD2 A23# D20# H24 2 DXP -ALT 6 MAX6657_AL# 32
HA#24 AB4 F25 HD#21 4 5
HA#25 A24# REQUEST DATA D21# HD#22 -OVT GND
AC6 A25# D22# G24
HA#26 AD5 PHASE PHASE J23 HD#23 10 mil trace / MAX6657 +3VRUN R72
HA#27 A26# D23# HD#24 C86 10K-0402
AE2 SIGNALS SIGNALS M23
HA#28 AD6
A27# D24#
J25 HD#25 10 mil space
HA#29 A28# D25# HD#26 2200P
AF3 A29# D26# L26
HA#30 AE1 N24 HD#27 R310
HA#31 A30# D27# HD#28 10K-0402
AF1 A31# D28# M25
H26 HD#29 +3VRUN
D29# HD#30 THERMDA
D30# N25 MAX6657_OV# 27,34
K25 HD#31
D31# HD#32
7 HADSTB0# U3 ADSTB0# D32# Y26
AE5 AA24 HD#33
7 HADSTB1# ADSTB1# D33#
T25 HD#34
D34# HD#35
B
D35# U23 B
R2 V23 HD#36
7 HREQ#0 REQ0# D36#
P3 R24 HD#37
7 HREQ#1 REQ1# D37#
T2 R26 HD#38
7 HREQ#2 REQ2# D38#
P1 R23 HD#39
7 HREQ#3 REQ3# D39#
T1 AA23 HD#40
7 HREQ#4 REQ4# D40#
U26 HD#41
D41# HD#42
D42# V24
ERROR HD#43
7 ADS# N2 ADS#
SIGNALS
D43# U25
V26 HD#44
ITP disable guidelines
D44# HD#45
D45# Y23 Signal Resistor Value Connect To Resistor Placement
AA26 HD#46
IERR# D46# HD#47
A4 IERR# D47# Y25 TDI 150 ohm +/- 5% VTT Within 2.0" of the CPU
AB25 HD#48
D48# HD#49
7 HBREQ0# N4 BREQ0# D49# AC23 TMS 39 ohm +/- 5% VTT Within 2.0" of the CPU
J3 ARBITRATION AB24 HD#50
7 BPRI# BPRI# D50#
L1 PHASE AC20 HD#51 TRST# 680 ohm +/- 5% GND Within 2.0" of the CPU
7 BNR# BNR# D51#
J2 SIGNALS AC22 HD#52
7 HLOCK# LOCK# D52#
AC25 HD#53 TCK 27 ohm +/- 5% GND Within 2.0" of the CPU
D53# HD#54
7 HIT# K3 HIT# D54# AD23
K4 SNOOP PHASE AE22 HD#55 TDO Open VTT Within 2.0" of the CPU
7 HITM# HITM# D55#
L4 SIGNALS AF23 HD#56
7 DEFER# DEFER# D56#
AD24 HD#57 Note: Populate R58, R62 when ITP
BPM0# D57# HD#58
T143 C8 AF20
BPM1# B8
BPM0# RESPONSE D58#
AE21 HD#59 connector is populated.
T144 BPM1# D59#
T145 BPM2# A9 PHASE AD21 HD#60
BPM3# BPM2# D60# HD#61
T146 C9 BPM3# SIGNALS D61# AF25
M3 AF22 HD#62 +VCCP +3VSUS
7 HTRDY# TRDY# D62#
H1 AF26 HD#63
7 RS#0 RS0# D63#
K1 R60 56_4
C 7 RS#1 RS1# C
L2 FERR# 1 2
7 RS#2 RS2#

1
A20M# C2 C23 R319 56_4 R318
12 A20M# A20M# DSTBN0# HDSTBN0# 7
FERR# D3 PC C22 IERR# 1 2 150/F_4
12 FERR# FERR# DSTBP0# HDSTBP0# 7
IGNNE# A3 COMPATIBILITY K24
12 IGNNE# IGNNE# DSTBN1# HDSTBN1# 7
CPUPWRGD E4 SIGNALS L24 R58 200/F
12 CPUPWRGD PWRGOOD DSTBP1# HDSTBP1# 7
SMI# CPUPWRGD

2
12 SMI# B4 SMI# DSTBN2# W25 HDSTBN2# 7 1 2
W24 DBR#
DSTBP2# HDSTBP2# 7
TCK A13 AE24
TCK DSTBN3# HDSTBN3# 7
TDO A12 DIAGNOSTIC AE25
TDO DSTBP3# HDSTBP3# 7
TDI C12 & TEST
TMS TDI
C11 TMS SIGNALS
TRST# B13 D25
TRST# DINV0# HDBI0# 7
T91 *PAD A16 J26 +VCCP +VCCP
ITP_CLK0 DINV1# HDBI1# 7
T93 *PAD A15 T24 R315 27.4/F
ITP_CLK1 DINV2# HDBI2# 7
T147 *PAD PREQ# B10 AD20 TCK 1 2
PREQ# DINV3# HDBI3# 7
T148 *PAD PRDY# A10 TRST# 1 2
PRDY#

1
DBR# A7 M2
13 SYS_RESET# DBR# DBSY# DBSY# 7
H2 R62 680 R317 R316 R56 R57
DRDY# DRDY# 7
D1 54.9/F 54.9/F 39.2/F 150/F_4
12 INTR LINT0
D4 EXECUTION
12 NMI LINT1
STPCLK# C6 CONTROL B14
12 STPCLK# STPCLK# BCLK1 HCLK_CPU# 17
CPUSLP# TDI

2
7,12 CPUSLP# A6 SLP# SIGNALS BCLK0 B15 HCLK_CPU 17
DPSLP# B7 TMS
G1: NC for Dothan and 12 DPSLP# DPSLP#
G1 TDO
12 DPRSTP# DPRSTP#
DPRSTP# for Yonah CPURST#
THERMDA B18 B5 CPUINIT#
THERMDA INIT# CPUINIT# 12
THERMDC A18 THERMDC CPURST#
RESET# B11 CPURST# 7
D 1 2 THERMTRIP1# C17 D
8,12 THERMTRIP# THERMTRIP#
0_4 R312 THERMAL DIODE C19
DPWR# DPWR# 7
+VCCP CPU_PROCHOT# B17
R311 56_4 PROCHOT#

Dothan Processor PROJECT : ED3


Quanta Computer Inc.
Size Document Number Rev
Dothan (HOST) A3A

Date: Wednesday, June 15, 2005 Sheet 5 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

Place voltage +VCCP


+VCCP U31C
divider within U31B
0.5" of GTLREF VSS120 W23
D10 VCCP0 VSS121 W26
COMP0 pin COMP0 P25 A2 D12 Y2
COMP0 VSS00 VCCP1 VSS122

2
COMP1 COMP1 P26 A5 D14 Y5
COMP2 R15 COMP2 COMP1 VSS01 VCCP2 VSS123
AB2 COMP2 VSS02 A8 D16 VCCP3 VSS124 Y21
COMP3 1K/F-0402 Trace as Wider as COMP3 AB1 A11 E11 Y24
possible.
COMP3
Dothan
VSS03
VSS04 A14 E13
VCCP4
VCCP5
Dothan VSS125
VSS126 AA1
2

2
A VSS05 A17 E15 VCCP6 VSS127 AA4 A
R27 R307 R19 R22 GTLREF0

1
27.4/F 54.9/F 27.4/F 54.9/F
AD26 GTLREF0 VSS06 A20 F10 VCCP7 3 OF 3 VSS128 AA6
2 OF 3 VSS07 A23 F12 VCCP8 VSS129 AA8

2
VSS08 A26 F14 VCCP9 VSS130 AA10
R16 T94 TEST1 C5 B3 F16 AA12
2K/F T89 TEST2 TEST1 VSS09 VCCP10 POWER, GROUND AND NC VSS131
1

1
F23 TEST2 VSS10 B6 K6 VCCP11 VSS132 AA14
VSS11 B9 L5 VCCP12 VSS133 AA16
VSS12 B12 L21 VCCP13 VSS134 AA18
T97

1
B2 NC1 VSS13 B16 M6 VCCP14 VSS135 AA20
Place pulldown resistors within VSS14 B19 M22 VCCP15 VSS136 AA22
T95 C3 B22 N5 AA25
0.5" of COMP pins T84 AF7
RSVD2 VSS15
B25 N21
VCCP16 VSS137
AB3
T83 RSVD3 POWER, VSS16 VCCP17 VSS138
18mils Trace Width of COMP0,2 AC1 RSVD4 VSS17 C1 P6 VCCP18 VSS139 AB5
5mils Trace Width of COMP1,3 CPU_VCCA T90 E26 GROUND, C4 P22 AB7
RSVD5 VSS18 VCCP19 VSS140
RESERVED VSS19 C7 R5 VCCP20 VSS141 AB9

1
C71 C75 C10 R21 AB11
T85 SIGNALS VSS20 VCCP21 VSS142
AC26 VCCA3 VSS21 C13 T6 VCCP22 VSS143 AB13
.01U/16V_4 10U_6.3V_8 T92 N1 C15 T22 AB15
T96 VCCA2 VSS22 VCCP23 VSS144

2
B1 VCCA1 VSS23 C18 U21 VCCP24 VSS145 AB17
R55 0_4 CPU_VCCA F26 C21 AB19
+1_5VRUN VCCA0 VSS24 VSS146
VSS25 C24 P23 VCCQ0 VSS147 AB21
Removed +1_8VRUN VHCORE D2 W4 AB23
VSS26 VCCQ1 VSS148
VSS27 D5 VSS149 AB26
D6 VCC00 VSS28 D7 VSS150 AC2
D8 VCC01 VSS29 D9 33 CPU_VID0 E2 VID0 VSS151 AC5
D18 VCC02 VSS30 D11 33 CPU_VID1 F2 VID1 VSS152 AC8
VHCORE VHCORE D20 D13 F3 AC10
VCC03 VSS31 33 CPU_VID2 VID2 VSS153
D22
E5
VCC04
VCC05
VSS32
VSS33
D15
D17
33
33
CPU_VID3
CPU_VID4
G3
G4
VID3
VID4
VID VSS154
VSS155
AC12
AC14
E7 VCC06 VSS34 D19 33 CPU_VID5 H4 VID5 VSS156 AC16
B E9 VCC07 VSS35 D21 VSS157 AC18 B
E17 VCC08 VSS36 D23 VSS158 AC21
1

1
C37 C59 C405 C412 C418 C64 C409 C426 C420 C408 E19 D26 AC24
VCC09 VSS37 VSS159
E21 VCC10 VSS38 E3 VSS160 AD1
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 F6 E6 DothanA DothanB AE7 AD4
VCC11 VSS39 T81 *PAD VCCSENSE VSS161
2

2
F8 VCC12 VSS40 E8 T82 *PAD AF6 VSSSENSE VSS162 AD7
F18 E10 R313 NC Install AD9
VCC13 VSS41 VSS163
F20 VCC14 VSS42 E12 VSS164 AD11
F22 VCC15 VSS43 E14 VSS165 AD13
VHCORE VHCORE G5 E16 SELPSB2_CLK R313 1 2 0_4 BSEL0 C16 AD15
VCC16 VSS44 SELPSB1_CLK R314 1 BSEL0 VSS166
G21 VCC17 VSS45 E18 2 0_4 BSEL1 C14
BSEL1 VSS167 AD17
H6 VCC18 VSS46 E20 VSS168 AD19
H22 E22 R50 *0_NC AD22
VCC19 VSS47 VSS169
1

C407 C415 C406 C404 C32 C36 C421 C60 C416 C413 J5 E25 2 1 E1 AD25
VCC20 VSS48 PSI PSI VSS170
J21 VCC21 VSS49 F1 VSS171 AE3

2
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 K22 F4 No using for MAX1907 R6 AE6
VCC22 VSS50 R46 VSS100 VSS172
2

U5 VCC23 VSS51 F5 R22 VSS101 VSS173 AE8


V6 F7 *0_NC R25 AE10
VCC24 VSS52 VSS102 VSS174
V22 VCC25 VSS53 F9 T3 VSS103 VSS175 AE12
W5 VCC26 VSS54 F11 T5 VSS104 VSS176 AE14
VHCORE VHCORE

1
W21 VCC27 VSS55 F13 13,17,33 STP_CPU# T21 VSS105 VSS177 AE16
Y6 VCC28 VSS56 F15 T23 VSS106 VSS178 AE18
Y22 VCC29 VSS57 F17 T26 VSS107 VSS179 AE20
AA5 VCC30 VSS58 F19 U2 VSS108 VSS180 AE23
1

C419 C63 C62 C414 C411 C35 C417 C410 C33 C422 AA7 F21 U6 AE26
VCC31 VSS59 VSS109 VSS181
AA9 VCC32 VSS60 F24 U22 VSS110 VSS182 AF2
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 AA11 G2 U24 AF5
VCC33 VSS61 VSS111 VSS183
2

AA13 VCC34 VSS62 G6 V1 VSS112 VSS184 AF9


AA15 VCC35 VSS63 G22 V4 VSS113 VSS185 AF11
C
AA17 VCC36 VSS64 G23 V5 VSS114 VSS186 AF13 C
AA19 VCC37 VSS65 G26 V21 VSS115 VSS187 AF15
VHCORE AA21 H3 V25 AF17
VCC38 VSS66 VSS116 VSS188
AB6 VCC39 VSS67 H5 W3 VSS117 VSS189 AF19
AB8 VCC40 VSS68 H21 W6 VSS118 VSS190 AF21
AB10 VCC41 VSS69 H25 W22 VSS119 VSS191 AF24
2

C34 C61 C423 C424 C425 AB12 J1


VCC42 VSS70
AB14 VCC43 VSS71 J4
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8 AB16 J6
VCC44 VSS72 Dothan Processor
1

AB18 VCC45 VSS73 J22


AB20 VCC46 VSS74 J24
AB22 VCC47 VSS75 K2
AC9 K5
VHCORE AC11
VCC48
VCC49
VSS76
VSS77 K21
AC13 K23
Total caps = 1670 uF > 1430 uF (Intel Recommendation) AC15
VCC50
VCC51
VSS78
VSS79 K26 8,17 SELPSB2_CLK
SELPSB2_CLK
AC17 L3 SELPSB1_CLK
ESR = 9m ohm/4 // 5m ohm/35 ---> = 0.1343m ohm AC19
VCC52
VCC53
VSS80
VSS81 L6
8,17 SELPSB1_CLK
AD8 VCC54 VSS82 L22
AD10 VCC55 VSS83 L25
AD12 VCC56 VSS84 M1
AD14 VCC57 VSS85 M4
AD16 VCC58 VSS86 M5
AD18 VCC59 VSS87 M21
AE9 VCC60 VSS88 M24
+VCCP +VCCP AE11 N3
VCC61 VSS89
AE13 VCC62 VSS90 N6
AE15 VCC63 VSS91 N22
AE17 VCC64 VSS92 N23
1

C427 C44 C51 C73 C76 C46 C27 C52 C30 C45 C74 AE19 N26
+ 150U/6.3V_7 VCC65 VSS93
D AF8 VCC66 VSS94 P2 D
CC7343 .1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4 AF10 P5
VCC67 VSS95
2

AF12 VCC68 VSS96 P21


2

AF14 VCC69 VSS97 P24


AF16 VCC70 VSS98 R1
AF18 VCC71 VSS99 R4
PROJECT : ED3
C, mF---------ESR, mW-----------ESL, nH
1 x 150 mF-----42 mW (typ) / 2--------2.5 nH / 12
Quanta Computer Inc.
Dothan Processor
10 x 0.1 mF----16 mW (typ) / 10-------0.6 nH / 10 Size Document Number Rev
Dothan (Power) A3A

Date: Wednesday, June 15, 2005 Sheet 6 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

U33A
HXRCOMP HD#[0..63] HA#[3..31]
5 HD#[0..63] HA#[3..31] 5
HD#0 E4 G9 HA#3
HD0# HA3#

1
HD#1 E1 C9 HA#4
R98 HD#2 HD1# HA4# HA#5
A 10mil Trace F4 HD2# HA5# E9 A
24.9/F HD#3 H7 B7 HA#6
Length and HD#4 E2
HD3# HA6#
A10 HA#7
HD#5 HD4# HA7# HA#8
Width F1 HD5# HA8# F9
2 HD#6 E3 D8 HA#9
HD#7 HD6# HA9# HA#10
D3 HD7# HA10# B10
HD#8 K7 E10 HA#11
HD#9 HD8# HA11# HA#12
F2 HD9# HA12# G10
+VCCP HD#10 J7 D9 HA#13
HD#11 HD10# HA13# HA#14
J8 HD11# HA14# E11
HD#12 H6 F10 HA#15
HD12# HA15#
1

HD#13 F3 G11 HA#16


R95 HD#14 HD13# HA16# HA#17
K8 HD14# HA17# G13
54.9/F HD#15 H5 C10 HA#18
HD#16 HD15# HA18# HA#19
H1 HD16# HA19# C11
HD#17 H2 D11 HA#20
HXSCOMP HD#18 HD17# HA20# HA#21
2

K5 HD18# HA21# C12


HD#19 K6 B13 HA#22
HD#20 HD19# HA22# HA#23
J4 HD20# HA23# A12
+VCCP HD#21 G3 F12 HA#24 +VCCP
HD#22 HD21# HA24# HA#25
H3 HD22# HA25# G12
HD#23 J1 E12 HA#26
HD#24 HD23# HA26# HA#27
L5 HD24# HA27# C13
1

HD#25 K4 B11 HA#28


HD25# HA28#

2
R102 20mil Trace HD#26 J5 D13 HA#29
HD#27 HD26# HA29# HA#30 R129
221/F P7 A13
Length and HD#28 L7
HD27# HA30#
F13 HA#31 100/F close to
HD#29 HD28# HA31#
Width J3 HD29# Alviso
HXSWING HD#30
2

P5 HD30# HADS# F8 ADS# 5


HD#31 100mil

1
L3 HD31# HADSTB0# B9 HADSTB0# 5
1

B HD#32 U7 E13 B
HD32# HADSTB1# HADSTB1# 5
2

R107 C130 HD#33 V6 J11 HVREF


100/F HD#34 HD33# HVREF
R6 HD34# HBNR# A5 BNR# 5
.1U/10V_4 HD#35 R5 D5
HD35# HBPRI# BPRI# 5

1
HD#36
1

P3 HD36# BREQ0# E7 HBREQ0# 5

1
HOST
HD#37 C160 R128
2

T8 HD37# HCPURST# H10 CPURST# 5


HD#38 R7 .1U/10V_4 200/F
HD#39 HD38#
R8 HD39#
HD#40

2
U8 HD40#
HYRCOMP HD#41

2
R4 HD41# HCLKINN AB1 HCLK_MCH# 17
HD#42 T4 AB2
HD42# HCLKINP HCLK_MCH 17
HD#43 T5 HD43#
1

10mil Trace HD#44 R1 C6


HD44# HDBSY# DBSY# 5
R152 HD#45 T3 E6
Length and HD45# HDEFER# DEFER# 5
24.9/F HD#46 V8 H8 Concern about HVREF Trace
HD46# HDINV#0 HDBI0# 5
Width HD#47 U6 K3
HD#48 W6
HD47# HDINV#1
T7
HDBI1# 5 Length & Width
HD48# HDINV#2 HDBI2# 5
HD#49
2

U3 HD49# HDINV#3 U5 HDBI3# 5


HD#50 V5 G6
HD50# HDPWR# DPWR# 5
HD#51 W8 F7
HD51# HDRDY# DRDY# 5
HD#52 W7 G4
HD52# HDSTBN0# HDSTBN0# 5
HD#53 U2 K1
HD53# HDSTBN1# HDSTBN1# 5
+VCCP HD#54 U1 R3
HD54# HDSTBN2# HDSTBN2# 5
HD#55 Y5 V3
HD55# HDSTBN3# HDSTBN3# 5
HD#56 Y2 G5
HD56# HDSTBP0# HDSTBP0# 5
1

HD#57 V4 K2
HD57# HDSTBP1# HDSTBP1# 5
R133 HD#58 Y7 R2
HD58# HDSTBP2# HDSTBP2# 5
54.9/F HD#59 W1 W4
HD59# HDSTBP3# HDSTBP3# 5
HD#60 W3 F6 T7
HD#61 HD60# HEDRDY# *PAD
C
Y3 HD61# HHIT# D4 HIT# 5 C
HYSCOMP HD#62
2

Y6 HD62# HHITM# D6 HITM# 5


HD#63 W2 B3
HD63# HLOCK# HLOCK# 5
A11 T105
+VCCP HXRCOMP HPCREQ# *PAD
C1 HXRCOMP HREQ0# A7 HREQ#0 5
HXSCOMP C2 D7
HXSCOMP HREQ1# HREQ#1 5
HXSWING D1 B8
HXSWING HREQ2# HREQ#2 5
HYRCOMP T1 C7
HYRCOMP HREQ3# HREQ#3 5
1

HYSCOMP L1 A8 CT_0513: Install R89 0 ohm.


HYSCOMP HREQ4# HREQ#4 5
R143 20mil Trace HYSWING P1 A4
HYSWING HRS0# RS#0 5
221/F C5 RS#1 5
Length and HRS1#
B4 R89
HRS2# RS#2 5
Width G8 HCPUSLP#_GMCH 1 2
HCPUSLP# CPUSLP# 5,12
HYSWING
2

HTRDY# B5 HTRDY# 5
0_4
1

R144 C173 ALVISO Do not install R89 for Dothan-A


100/F
.1U/10V_4 and install for Dothan-B
1

CT_0505: Change footprint to


2

mbga1257-intel-alviso from MBGA-1257

D D

PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
Alviso (HOST) A3A

Date: Wednesday, June 15, 2005 Sheet 7 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

CFG6 CFG5
+VCCP

1
Low=DDR2
R106 Low=DMIx2 R109
High=DDR1

1
2.2K/F *2.2K/F_NC
R126 High=DMIx4
10K-0402 SDVOCTRL_DATA default is no SDOV VCC3G_PCIE

2
U33C U33F R104
CFG0 D36 VCC3G_PCIE_R

2
13 DMI_TXN0 AA31 DMIRXN0 CFG0 G16 T27 H24 SDVOCTRL_DATA EXP_COMPI 1 2

MISC
AB35 H13 SELPSB1_CLK H25 D34
13 DMI_TXN1 DMIRXN1 CFG1 SELPSB1_CLK 6,17 T28 SDVOCTRL_CLK EXP_ICOMPO
AC31 G14 SELPSB2_CLK AB29 24.9/F
13 DMI_TXN2 DMIRXN2 CFG2 SELPSB2_CLK 6,17 17 CLK_MCH_3GPLL# GCLKN
AD35 F16 CFG3 T18 AC29 E30
A 13 DMI_TXN3 DMIRXN3 CFG3 17 CLK_MCH_3GPLL GCLKP EXP_RXN0 A
F15 CFG4 T20 F34
CFG4 CFG5 EXP_RXN1
CFG5 G15 T26 Reserved EXP_RXN2 G30
Y31 E16 CFG6 T12 T104 INT_TV_COMP A15 H34
13 DMI_TXP0 DMIRXP0 CFG6 CFG7
for AV INT_TV_Y/G TVDAC_A EXP_RXN3
13 DMI_TXP1 AA35 DMIRXP1 CFG7 D17 T13 24 TV_Y/G C16 TVDAC_B EXP_RXN4 J30
AB31 J16 CFG8 T31 INT_TV_C/R A17 K34
13 DMI_TXP2 DMIRXP2 CFG8 24 TV_C/R TVDAC_C EXP_RXN5

TV
AC35 D15 CFG9 T5 TV_REFSET J18 L30
13 DMI_TXP3 DMIRXP3 CFG9 TV_REFSET EXP_RXN6
E15 CFG10 T19 B15 M34
CFG10 TV_IRTNA EXP_RXN7

1
D14 CFG11 T2 4.99K/F B16 N30
CFG11 CFG12 R110 R99 R93 TV_IRTNB EXP_RXN8
13 DMI_RXN0 AA33 DMITXN0 CFG12 E14 T17 B17 TV_IRTNC EXP_RXN9 P34
AB37 H12 CFG13 T25 150/F_4 150/F_4 R30
13 DMI_RXN1 DMITXN1 CFG13 EXP_RXN10
AC33 C14 CFG14 T3 T34
13 DMI_RXN2 DMITXN2 CFG14 EXP_RXN11
AD37 H15 CFG15 T33 U30

DMI
13 DMI_RXN3 DMITXN3 CFG15 EXP_RXN12
CFG16

2
CFG16 J15 EXP_RXN13 V34

1
H14 CFG17 T29 W30
CFG17 CFG18 R647 EXP_RXN14
13 DMI_RXP0 Y33 DMITXP0 CFG18 G22 T21 19 INT_DDCCLK E24 DDCCLK EXP_RXN15 Y34
AA37 G23 CFG19 T22 *2.21K/F E23
13 DMI_RXP1 DMITXP1 CFG19 19 INT_DDCDAT DDCDATA
AB33 D23 CFG20 T14 INT_VGA_BLU E21 D30

CFG/RSVD
13 DMI_RXP2 DMITXP2 CFG20 31 INT_VGA_BLU BLUE EXP_RXP0
13 DMI_RXP3 AC37 DMITXP3 RSVD21 G25 1 2 D21 BLUE# EXP_RXP1 E34
R103 150/F_4 INT_VGA_GRN

2
RSVD22 G24 31 INT_VGA_GRN C20 GREEN EXP_RXP2 F30

VGA
RSVD23 J17 1 2 B20 GREEN# EXP_RXP3 G34
AM33 A31 R96 150/F_4 INT_VGA_RED A19 H30
15 M_CLKOUT0 SM_CK0 RSVD24 31 INT_VGA_RED RED EXP_RXP4
AL1 A30 1 2 B19 J34

PCI-EXPRESS GRAPHICS
15 M_CLKOUT1 SM_CK1 RSVD25 RED# EXP_RXP5
T42 CLK_SDRAM2 AE11 D26 R94 150/F_4 H21 K30
SM_CK2 RSVD26 VSYNC EXP_RXP6
15 M_CLKOUT3 AJ34 SM_CK3 RSVD27 D25 G21 HSYNC EXP_RXP7 L34
AF6 R81 2 1 39 J20 M30
15 M_CLKOUT4 SM_CK4 19 INT_VSYNC REFSET EXP_RXP8
CLK_SDRAM5 AC10 N34
T34 SM_CK5 EXP_RXP9
R80 2 1 39 P30
19 INT_HSYNC EXP_RXP10
15 M_CLKOUT0# AN33 SM_CK0# EXP_RXP11 R34
AK1 REFSET T30
DDR MUXING

15 M_CLKOUT1# SM_CK1# EXP_RXP12


B CLK_SDRAM2# AE10 Item135 R122 220/F_4 U34 B
T40 SM_CK2# EXP_RXP13
15 M_CLKOUT3# AJ33 SM_CK3# E25 LBKLT_CTRL EXP_RXP14 V30
AF5 INT_BLON T10 F25 W34
15 M_CLKOUT4# SM_CK4# 18 INT_BLON LBKLT_EN EXP_RXP15
CLK_SDRAM5# AD10 INT_DISP_ON C23
T36 SM_CK5# 18 INT_DISP_ON LCTLA_CLK
J23 T8 C22 E32
BM_BUSY# PM_BMBUSY# 13 LCTLB_DATA EXP_TXN0
M_CKE0 AP21 J21 PM_EXTTS#0 T9 F23 F36
15,16 M_CKE0 SM_CKE0 EXT_TS0# 18 I_EDIDCLK LDDC_CLK EXP_TXN1
M_CKE1 AM21 H22 PM_EXTTS#1 F22 G32
15,16 M_CKE1 SM_CKE1 EXT_TS1# 18 I_EDIDDATA LDDC_DATA EXP_TXN2
M_CKE2 AH21 F5 F26 H36
15,16 M_CKE2 SM_CKE2 THRMTRIP# THERMTRIP# 5,12 LVDD_EN EXP_TXN3

LVDS
M_CKE3 AK21 AD30 R97 1.5K/F C33 J32
PM

15,16 M_CKE3 SM_CKE3 PWROK IMVP_PWRGD 13,33 LIBG EXP_TXN4


AE29 PLTRST#_R 1 2 C31 K36
RSTIN# PLTRST# 12,13,20,31,32 T1 LVBG EXP_TXN5
M_CS#0 AN16 R168 100/F F28 L32
15,16 M_CS#0 SM_CS0# T23 LVREFH EXP_TXN6
M_CS#1 AM14 A24 DOT96# F27 M36
15,16 M_CS#1 SM_CS1# DREF_CLKN DOT96# 17 T24 LVREFL EXP_TXN7
M_CS#2 AH15 A23 DOT96 N32
15,16 M_CS#2 SM_CS2# DREF_CLKP DOT96 17 EXP_TXN8
M_CS#3 AG16 C37 DREFSSCLK# INT_TXLCLKOUT- B30 P36
LCK

15,16 M_CS#3 SM_CS3# DREF_SSCLKN DREFSSCLK# 17 18 INT_TXLCLKOUT- LACLKN EXP_TXN9


D37 DREFSSCLK INT_TXLCLKOUT+ B29 R32
DREF_SSCLKP DREFSSCLK 17 18 INT_TXLCLKOUT+ LACLKP EXP_TXN10
M_OCDCOMP0 AF22 C25 T36
M_OCDCOMP1 SM_OCDCOMP0 TP_NC1 LBCLKN EXP_TXN11
AF16 SM_OCDCOMP1 NC1 AP37 T113*PAD C24 LBCLKP EXP_TXN12 U32
1

AN37 TP_NC2 T111*PAD V36


R173 R169 NC2 TP_NC3 INT_TXLOUT0- EXP_TXN13
15,16 M_ODT0 AP14 SM_ODT0 NC3 AP36 T117*PAD 18 INT_TXLOUT0- B34 LADATAN0 EXP_TXN14 W32
*40.2/F *40.2/F AL15 AP2 TP_NC4 T115*PAD INT_TXLOUT1- B33 Y36
15,16 M_ODT1 SM_ODT1 NC4 18 INT_TXLOUT1- LADATAN1 EXP_TXN15
AM11 AP1 TP_NC5 T114*PAD INT_TXLOUT2- B32
15,16 M_ODT2 SM_ODT2 NC5 18 INT_TXLOUT2- LADATAN2
AN10 AN1 TP_NC6 T112*PAD D32
15,16 M_ODT3 SM_ODT3 NC6 EXP_TXP0
TP_NC7 INT_TXLOUT0+
2

NC7 B1 T109*PAD 18 INT_TXLOUT0+ A34 LADATAP0 EXP_TXP1 E36


M_RCOMPN AK10 A2 TP_NC8 T107*PAD INT_TXLOUT1+ A33 F32
NC

SMRCOMPN NC8 18 INT_TXLOUT1+ LADATAP1 EXP_TXP2


M_RCOMPP AK11 B37 TP_NC9 T110*PAD INT_TXLOUT2+ B31 G36
SMRCOMPP NC9 18 INT_TXLOUT2+ LADATAP2 EXP_TXP3
C598 0.1U_4 SMVREF_GMCH AF37 A36 TP_NC10 T106*PAD H32
C599 0.1U_4 SMVREF0 NC10 TP_NC11 EXP_TXP4
AD1 SMVREF1 NC11 A37 T108*PAD T6 C29 LBDATAN0 EXP_TXP5 J36
SMXSLEW AE27 D28 K32
SMXSLEWIN T16 LBDATAN1 EXP_TXP6
AE28 SMXSLEWOUT T30 C27 LBDATAN2 EXP_TXP7 L36
SMYSLEW AF9 M32
C SMYSLEWIN EXP_TXP8 C
AF10 SMYSLEWOUT T11 C28 LBDATAP0 EXP_TXP9 N36
T15 D27 LBDATAP1 EXP_TXP10 P32
ALVISO T4 C26 LBDATAP2 EXP_TXP11 R36
Route as short EXP_TXP12 T32
U36
as possible. EXP_TXP13
It's point to point, EXP_TXP14 V32
W36
EXP_TXP15
55ohm trace, keep as
short as possible. close ALVISO

Alviso.
1.8VSUS
2

1.8VSUS 1K/F_4
C212 R176 +2_5VRUN
.1U/16V_6 R83 10K-0402
1

PM_EXTTS#0
1

1 2
R189 SMVREF_GMCH
80.6/F R82 10K-0402
1 2 PM_EXTTS#1
1K/F_4
M_RCOMPN C222 R181
2

1U/6.3V/X5R
System memory
M_RCOMPP throttling
using
1

D R183 D
80.6/F
2

PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
Alviso (VGA, DMI) A3A

Date: Wednesday, June 15, 2005 Sheet 8 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

M_A_DQ[0..63] 15 M_B_DQ[0..63] 15

U33B U33G
A A
M_A_DQ0 AG35 AK15 M_A_BS#0 M_B_DQ0 AE31 AJ15 M_B_BS#0
SADQ0 SA_BS0# M_A_BS#0 15,16 SBDQ0 SB_BS0# M_B_BS#0 15,16
M_A_DQ1 AH35 AK16 M_A_BS#1 M_B_DQ1 AE32 AG17 M_B_BS#1
SADQ1 SA_BS1# M_A_BS#1 15,16 SBDQ1 SB_BS1# M_B_BS#1 15,16
M_A_DQ2 AL35 AL21 M_A_BS#2 M_B_DQ2 AG32 AG21 M_B_BS#2
SADQ2 SA_BS2# M_A_BS#2 15,16 SBDQ2 SB_BS2# M_B_BS#2 15,16
M_A_DQ3 AL37 M_B_DQ3 AG36
SADQ3 M_A_DM[0..7] 15 SBDQ3 M_B_DM[0..7] 15
M_A_DQ4 AH36 AJ37 M_A_DM0 M_B_DQ4 AE34 AF32 M_B_DM0
M_A_DQ5 SADQ4 SA_DM0 M_A_DM1 M_B_DQ5 SBDQ4 SB_DM0 M_B_DM1
AJ35 SADQ5 SA_DM1 AP35 AE33 SBDQ5 SB_DM1 AK34
M_A_DQ6 AK37 AL29 M_A_DM2 M_B_DQ6 AF31 AK27 M_B_DM2
M_A_DQ7 SADQ6 SA_DM2 M_A_DM3 M_B_DQ7 SBDQ6 SB_DM2 M_B_DM3
AL34 SADQ7 SA_DM3 AP24 AF30 SBDQ7 SB_DM3 AK24
M_A_DQ8 AM36 AP9 M_A_DM4 M_B_DQ8 AH33 AJ10 M_B_DM4
M_A_DQ9 SADQ8 SA_DM4 M_A_DM5 M_B_DQ9 SBDQ8 SB_DM4 M_B_DM5
AN35 SADQ9 SA_DM5 AP4 AH32 SBDQ9 SB_DM5 AK5
M_A_DQ10 AP32 AJ2 M_A_DM6 M_B_DQ10 AK31 AE7 M_B_DM6
M_A_DQ11 SADQ10 SA_DM6 M_A_DM7 M_B_DQ11 SBDQ10 SB_DM6 M_B_DM7
AM31 SADQ11 SA_DM7 AD3 M_A_DQS[0..7] 15 AG30 SBDQ11 SB_DM7 AB7 M_B_DQS[0..7] 15
M_A_DQ12 AM34 M_B_DQ12 AG34
M_A_DQ13 SADQ12 M_A_DQS0 M_B_DQ13 SBDQ12 M_B_DQS0
AM35 SADQ13 SA_DQS0 AK36 AG33 SBDQ13 SB_DQS0 AF34
M_A_DQ14 AL32 AP33 M_A_DQS1 M_B_DQ14 AH31 AK32 M_B_DQS1
M_A_DQ15 SADQ14 SA_DQS1 M_A_DQS2 M_B_DQ15 SBDQ14 SB_DQS1 M_B_DQS2
AM32 SADQ15 SA_DQS2 AN29 AJ31 SBDQ15 SB_DQS2 AJ28
M_A_DQ16 AN31 AP23 M_A_DQS3 M_B_DQ16 AK30 AK23 M_B_DQS3
M_A_DQ17 SADQ16 SA_DQS3 M_A_DQS4 M_B_DQ17 SBDQ16 SB_DQS3 M_B_DQS4
AP31 SADQ17 SA_DQS4 AM8 AJ30 SBDQ17 SB_DQS4 AM10
M_A_DQ18 AN28 AM4 M_A_DQS5 M_B_DQ18 AH29 AH6 M_B_DQS5
M_A_DQ19 SADQ18 SA_DQS5 M_A_DQS6 M_B_DQ19 SBDQ18 SB_DQS5 M_B_DQS6
AP28 SADQ19 SA_DQS6 AJ1 AH28 SBDQ19 SB_DQS6 AF8
M_A_DQ20 AL30 AE5 M_A_DQS7 M_B_DQ20 AK29 AB4 M_B_DQS7
SADQ20 SA_DQS7 M_A_DQS#[0..7] 15 SBDQ20 SB_DQS7 M_B_DQS#[0..7] 15
M_A_DQ21 AM30 M_B_DQ21 AH30
M_A_DQ22 SADQ21 M_A_DQS#0 M_B_DQ22 SBDQ21 M_B_DQS#0
AM28 SADQ22 SA_DQS0# AK35 AH27 SBDQ22 SB_DQS0# AF35
M_A_DQ23 AL28 AP34 M_A_DQS#1 M_B_DQ23 AG28 AK33 M_B_DQS#1
M_A_DQ24 SADQ23 SA_DQS1# M_A_DQS#2 M_B_DQ24 SBDQ23 SB_DQS1# M_B_DQS#2
AP27 SADQ24 SA_DQS2# AN30 AF24 SBDQ24 SB_DQS2# AK28
M_A_DQ25 AM27 AN23 M_A_DQS#3 M_B_DQ25 AG23 AJ23 M_B_DQS#3
M_A_DQ26 SADQ25 SA_DQS3# M_A_DQS#4 M_B_DQ26 SBDQ25 SB_DQS3# M_B_DQS#4
DDR SYSTEM MEMORY A

AM23 SADQ26 SA_DQS4# AN8 AJ22 SBDQ26 SB_DQS4# AL10

DDR SYSTEM MEMORY B


M_A_DQ27 AM22 AM5 M_A_DQS#5 M_B_DQ27 AK22 AH7 M_B_DQS#5
M_A_DQ28 SADQ27 SA_DQS5# M_A_DQS#6 M_B_DQ28 SBDQ27 SB_DQS5# M_B_DQS#6
B AL23 SADQ28 SA_DQS6# AH1 AH24 SBDQ28 SB_DQS6# AF7 B
M_A_DQ29 AM24 AE4 M_A_DQS#7 M_B_DQ29 AH23 AB5 M_B_DQS#7
SADQ29 SA_DQS7# M_A_A[0..13] 15,16 SBDQ29 SB_DQS7# M_B_A[0..13] 15,16
M_A_DQ30 AN22 M_B_DQ30 AG22
M_A_DQ31 SADQ30 M_A_A0 M_B_DQ31 SBDQ30 M_B_A0
AP22 SADQ31 SA_MA0 AL17 AJ21 SBDQ31 SB_MA0 AH17
M_A_DQ32 AM9 AP17 M_A_A1 M_B_DQ32 AG10 AK17 M_B_A1
M_A_DQ33 SADQ32 SA_MA1 M_A_A2 M_B_DQ33 SBDQ32 SB_MA1 M_B_A2
AL9 SADQ33 SA_MA2 AP18 AG9 SBDQ33 SB_MA2 AH18
M_A_DQ34 AL6 AM17 M_A_A3 M_B_DQ34 AG8 AJ18 M_B_A3
M_A_DQ35 SADQ34 SA_MA3 M_A_A4 M_B_DQ35 SBDQ34 SB_MA3 M_B_A4
AP7 SADQ35 SA_MA4 AN18 AH8 SBDQ35 SB_MA4 AK18
M_A_DQ36 AP11 AM18 M_A_A5 M_B_DQ36 AH11 AJ19 M_B_A5
M_A_DQ37 SADQ36 SA_MA5 M_A_A6 M_B_DQ37 SBDQ36 SB_MA5 M_B_A6
AP10 SADQ37 SA_MA6 AL19 AH10 SBDQ37 SB_MA6 AK19
M_A_DQ38 AL7 AP20 M_A_A7 M_B_DQ38 AJ9 AH19 M_B_A7
M_A_DQ39 SADQ38 SA_MA7 M_A_A8 M_B_DQ39 SBDQ38 SB_MA7 M_B_A8
AM7 SADQ39 SA_MA8 AM19 AK9 SBDQ39 SB_MA8 AJ20
M_A_DQ40 AN5 AL20 M_A_A9 M_B_DQ40 AJ7 AH20 M_B_A9
M_A_DQ41 SADQ40 SA_MA9 M_A_A10 M_B_DQ41 SBDQ40 SB_MA9 M_B_A10
AN6 SADQ41 SA_MA10 AM16 AK6 SBDQ41 SB_MA10 AJ16
M_A_DQ42 AN3 AN20 M_A_A11 M_B_DQ42 AJ4 AG18 M_B_A11
M_A_DQ43 SADQ42 SA_MA11 M_A_A12 M_B_DQ43 SBDQ42 SB_MA11 M_B_A12
AP3 SADQ43 SA_MA12 AM20 AH5 SBDQ43 SB_MA12 AG20
M_A_DQ44 AP6 AM15 M_A_A13 M_B_DQ44 AK8 AG15 M_B_A13
M_A_DQ45 SADQ44 SA_MA13 M_B_DQ45 SBDQ44 SB_MA13
AM6 SADQ45 AJ8 SBDQ45
M_A_DQ46 AL4 AN15 M_A_CAS# M_B_DQ46 AJ5 AH14 M_B_CAS#
SADQ46 SA_CAS# M_A_CAS# 15,16 SBDQ46 SB_CAS# M_B_CAS# 15,16
M_A_DQ47 AM3 AP16 M_A_RAS# M_B_DQ47 AK4 AK14 M_B_RAS#
SADQ47 SA_RAS# M_A_RAS# 15,16 SBDQ47 SB_RAS# M_B_RAS# 15,16
M_A_DQ48 AK2 AF29 T139 M_B_DQ48 AG5 AF15 T140
M_A_DQ49 SADQ48 SA_RCVENIN# M_B_DQ49 SBDQ48 SB_RCVENIN#
AK3 SADQ49 SA_RCVENOUT# AF28 T141 AG4 SBDQ49 SB_RCVENOUT# AF14 T142
M_A_DQ50 AG2 AP15 M_A_WE# M_B_DQ50 AD8 AH16 M_B_WE#
SADQ50 SA_WE# M_A_WE# 15,16 SBDQ50 SB_WE# M_B_WE# 15,16
M_A_DQ51 AG1 M_B_DQ51 AD9
M_A_DQ52 SADQ51 M_B_DQ52 SBDQ51
AL3 SADQ52 AH4 SBDQ52
M_A_DQ53 AM2 M_B_DQ53 AG6
M_A_DQ54 SADQ53 M_B_DQ54 SBDQ53
AH3 SADQ54 AE8 SBDQ54
M_A_DQ55 AG3 M_B_DQ55 AD7
M_A_DQ56 SADQ55 M_B_DQ56 SBDQ55
AF3 SADQ56 AC5 SBDQ56
C M_A_DQ57 AE3 M_B_DQ57 AB8 C
M_A_DQ58 SADQ57 M_B_DQ58 SBDQ57
AD6 SADQ58 AB6 SBDQ58
M_A_DQ59 AC4 M_B_DQ59 AA8
M_A_DQ60 SADQ59 M_B_DQ60 SBDQ59
AF2 SADQ60 AC8 SBDQ60
M_A_DQ61 AF1 M_B_DQ61 AC7
M_A_DQ62 SADQ61 M_B_DQ62 SBDQ61
AD4 SADQ62 AA4 SBDQ62
M_A_DQ63 AD5 M_B_DQ63 AA5
SADQ63 SBDQ63

ALVISO ALVISO

D D

PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
Alviso (DDR) A3A
Date: Wednesday, June 15, 2005 Sheet 9 of 38
1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
5 4 3 2 1

+VCCP VCC_TVDACA_R R90 0_4 L20


3900mA T29
R29
VCC0 VCCA_TVDACA0 F17
E17 VCC_TVDACA_R 1 2 VCC_TVDACA 2 1
VCC1 VCCA_TVDACA1 +3VRUN
N29 D18 VCC_TVDACB_R BLM18PG181SN1
VCC2 VCCA_TVDACB0

1
M29 C18 C107
VCC3 VCCA_TVDACB1 VCC_TVDACC_R C106
K29 VCC4 VCCA_TVDACC0 F18

1
J29 E18 .022U/16V_4 .1U/10V_4
C162 C185 C189 C186 C169 C164 VCC5 VCCA_TVDACC1

2
V28 VCC6
.1U/10V_4 .1U/10V_4 .1U/10V_4 10U_6.3V_8 10U_6.3V_8 10U_6.3V_8 U28 H18 VCC_TVBG_R
VCC7 VCCA_TVBG VSS_TVBG

2
T28 VCC8 VSSA_TVBG G18
R28 R92 0_4 L16
VCC9 VCCD_TVDAC_R VCC_TVDACB_R VCC_TVDACB
P28 VCC10 VCCD_TVDAC D19 1 2 2 1 +3VRUN
N28 H17 VCCQ_TVDAC_R BLM18PG181SN1
VCC11 VCCDQ_TVDAC

1
M28 C119
VCC12 C118
D
L28 VCC13 VCCD_LVDS0 B26 +1_5VRUN D
K28 B25 .022U/16V_4 .1U/10V_4
VCC14 VCCD_LVDS1

2
J28 VCC15 VCCD_LVDS2 A25
H28 C202 C203
VCC16 .1U/10V_4 10U_6.3V_8
G28 VCC17 VCCA_LVDS A35
R91 0_4 L15

2
V27 VCC18
U27 B22 VCC_TVDACC_R 1 2 VCC_TVDACC 2 1 +3VRUN
VCC19 VCCHV0 BLM18PG181SN1
T27 VCC20 VCCHV1 B21

1
R27 A21 +2_5VRUN C108
VCC21 VCCHV2 C109
P27 VCC22

1
+1_5VRUN N27 AM37 C154 .022U/16V_4 .1U/10V_4
VCC23 VCCSM0 C122

2
M27 VCC24 VCCSM1 AH37
L27 AP29 .01U/16V_4 .1U/10V_4
VCC25 VCCSM2 R86 0_4 L19

2
K27 VCC26 VCCSM3 AD28
J27 AD27 VCC_TVBG_R 1 2 VCC_TVBG 2 1 +3VRUN
VCC27 VCCSM4 BLM18PG181SN1
H27 VCC28 VCCSM5 AC27

1
K26 AP26 +2_5VRUN C97
VCC29 VCCSM6 C96
H26 VCC30 VCCSM7 AN26

1
K25 AM26 .022U/16V_4 .1U/10V_4
VCC31 VCCSM8 C121 C112 VSS_TVBG

2
J25 VCC32 VCCSM9 AL26
L23 K24 U33H AK26 .1U/10V_4 10U_6.3V_8
VCCA_DPLLA VCC33 ALVISO VCCSM10

2
2 1 K23 VCC34 VCCSM11 AJ26
BLM11A121S K22 AH26
VCC35 VCCSM12
1

K21 VCC36 VCCSM13 AG26


1

C125 + C110 W20 AF26 R76 10_4


.1U/10V_4 470U_2.5V VCC37 VCCSM14
U20 VCC38 VCCSM15 AE26 2 1 +3VRUN
T20 AP25 C227 .1U/10V_4 R79 0_4
VCC39 VCCSM16 V1.8_DDR_CAP1 VCCD_TVDAC_R VCCD_TVDAC
2

K20 VCC40 VCCSM17 AN25 1 2 1 2

1
V19 AM25

POWER
VCC41 VCCSM18

1
U19 AL25 C223 .1U/10V_4 C95 D14
L22 VCC42 VCCSM19 V1.8_DDR_CAP2 C94
C K19 VCC43 VCCSM20 AK25 1 2 RB751V C
2 1 VCCA_DPLLB W18 AJ25 .022U/16V_4 .1U/10V_4
BLM11A121S VCC44 VCCSM21 C229 .1U/10V_4

2
V18 VCC45 VCCSM22 AH25
1

V1.8_DDR_CAP5

2
T18 VCC46 VCCSM23 AG25 1 2
1

C123 + C101 K18 AF25 R85 0_4 L18


.1U/10V_4 470U_2.5V VCC47 VCCSM24 VCCQ_TVDAC_R VCCQ_TVDAC
K17 VCC48 VCCSM25 AE25 1 2 2 1 +1_5VRUN
AE24 Note: All VCCSM BLM18PG181SN1
VCCSM26

1
C92
2

AC2 VCCH_MPLL1 VCCSM27 AE23 pins shorted


AC1 AE22 internally. C93
VCCH_MPLL0 VCCSM28 .022U/16V_4 .1U/10V_4
B23 VCCA_DPLLA VCCSM29 AE21
L44 1.8VSUS

2
C35 VCCA_DPLLB VCCSM30 AE20
2 1 VCCA_HPLL AA1 AE19
BLM11A121S VCCA_HPLL VCCSM31
AA2 VCCA_MPLL VCCSM32 AE18
1

VCCSM33 AE17
1

1
C195 + C204 VCCA_CRTDAC_R F19 AE16
VCCA_CRTDAC0 VCCSM34

1
.1U/10V_4 470U_2.5V E19 AE15 + +
VCCA_CRTDAC1 VCCSM35 C201 C230 C557 C558
G19 VSSA_CRTDAC VCCSM36 AE14
10U_6.3V_8 10U_6.3V_8 330U_4V_2.8H 330U_4V_2.8H
2

VCCSM37 AP13

2
H20 VCC_SYNC VCCSM38 AN13
VCCSM39 AM13
L45 K13 AL13
VCCA_MPLL VTT0 VCCSM40
2 1 J13 VTT1 VCCSM41 AK13
BLM11A121S K12 AJ13 L32
VTT2 VCCSM42
1

W11 AH13 VCC_DDRDLL 2 1 +1_5VRUN


VTT3 VCCSM43
1

C196 + C190 V11 AG13 BLM18PG181SN1


VTT4 VCCSM44

1
.1U/10V_4 470U_2.5V U11 AF13
VTT5 VCCSM45

1
T11 AE13 + C231
VTT6 VCCSM46 100U/10V C209
2

R11 VTT7 VCCSM47 AP12


P11 AN12 .1U/10V_4
VTT8 VCCSM48

2
B
N11 VTT9 VCCSM49 AM12 B
M11 AL12 VCC3G_PCIE
VTT10 VCCSM50 L25
L11 VTT11 VCCSM51 AK12
K11 AJ12 VCC3G_PCIE 2 1 +1_5VRUN
VTT12 VCCSM52

1
W10 AH12 Note: All VCCSM BLM18PG181SN1
VTT13 VCCSM53

1
V10 AG12 pins shorted +
VTT14 VCCSM54 C187 C148 C165
U10 VTT15 VCCSM55 AF12 internally.
T10 AE12 10U_6.3V_810U_6.3V_8220U_4V_L
VTT16 VCCSM56 C228 .1U/10V_4

2
R10 VTT17 VCCSM57 AD11
P10 VTT18 VCCSM58 AC11 1 2
+2_5VRUN VCCA_CRTDAC N10 AB11 R165 L28
VTT19 VCCSM59
1

M10 AB10 C226 .1U/10V_4 VCCA_3GPLL 1 2 VCCA_3GPLL_R 2 1 +1_5VRUN


VTT20 VCCSM60
1

D15 R77 C90 C91 + K10 AB9 1 2 BLM18PG181SN1


VTT21 VCCSM61

1
+VCCP 2 12 1 .1U/10V_4 .022U/16V_4 C564 J10 AP8 V1.8_DDR_CAP6 0.5/F
150U_4V_1.9H VTT22 VCCSM62 V1.8_DDR_CAP3 C208 .1U/10V_4 C191 C205
Y9 VTT23 VCCSM63 AM1
10_4 V1.8_DDR_CAP4 .1U/10V_4 10U_6.3V_8
2

RB751V W9 VTT24 VCCSM64 AE1 1 2

2
U9 VTT25
R9 VTT26 VCCTX_LVDS0 B28 +2_5VRUN
P9 VTT27 VCCTX_LVDS1 A28

1
N9 A27 VCCA_3GBG +2_5VRUN
+2_5VRUN VTT28 VCCTX_LVDS2 C146 C117
M9 VTT29

1
L9 AF20 VCC_DDRDLL .1U/10V_4 4.7U/10V_8
VTT30 VCCA_SM0 C124
2

2
J9 VTT31 VCCA_SM1 AP19
N8 AF19 .1U/10V_4
VTT32 VCCA_SM2
1

C126 VSSA_3GBG

2
M8 VTT33 VCCA_SM3 AF18
.1U/10V_4 +VCCP N7 VTT34 VCC3G_PCIE
M7 VTT35 VCC3G0 AE37
2

N6 VTT36 VCC3G1 W37


C114 .47U/10V_6 M6 U37
VCCP_GMCH_CAP1 VTT37 VCC3G2
1 2 A6 VTT38 VCC3G3 R37
A N5 VTT39 VCC3G4 N37 A
M5 VTT40 VCC3G5 L37
+VCCP N4 J37
VTT41 VCC3G6
M4 VTT42
C120 .47U/10V_6 N3 Y29 VCCA_3GPLL
VTT43 VCCA_3GPLL0
1 2 M3 VTT44 VCCA_3GPLL1 Y28
PROJECT : ED3
1

N2 VTT45 VCCA_3GPLL2 Y27


C163 C182 M2
2.2U/6.3V 4.7U/10V_8 VCCP_GMCH_CAP2
C431 .22U/6.3V_6 B2
VTT46
VTT47 VCCA_3GBG F37 VCCA_3GBG Quanta Computer Inc.
VCCP_GMCH_CAP3 VSSA_3GBG
2

1 2 V1 VTT48 VSSA_3GBG G37


N1 VTT49
C153 .22U/6.3V_6 M1 Size Document Number Rev
VCCP_GMCH_CAP4 VTT50 A3A
1 2 G1 VTT51 Alviso (Power)
Date: Friday, June 17, 2005 Sheet 10 of 38
5 4 3 2 1
A
B
C
D
VSSALVDS B36

B24 VSS135 VSS271 Y1


D24 VSS134 VSS270 D2
F24 VSS133 VSS269 G2
J2

5
5

J24 VSS132 VSS268


AG24VSS131 VSS267 AL24
AJ24 VSS130 VSS266 AN24
E27 VSS129 VSS265 A26
G27 VSS128 VSS264 E26
W27 VSS127 VSS263 G26
L17 VCC_NCTF78 VSS_NCTF68 Y12 AA27 VSS126 VSS262 J26
M17 VCC_NCTF77 VSS_NCTF67 AA12 AB27 VSS125 VSS261 B27
N17 VCC_NCTF76 VSS_NCTF66 Y13 AF27 VSS124 VSS260 L2
P17 VCC_NCTF75 VSS_NCTF65 AA13 AG27VSS123 VSS259 P2
T17 VCC_NCTF74 VSS_NCTF64 L14 AJ27 VSS122 VSS258 T2
U17 VCC_NCTF73 VSS_NCTF63 M14 AL27 VSS121 VSS257 V2
V17 VCC_NCTF72 VSS_NCTF62 N14 AN27VSS120 VSS256 AD2
W17 VCC_NCTF71 VSS_NCTF61 P14 E28 VSS119 VSS255 AE2
L18 VCC_NCTF70 VSS_NCTF60 R14 W28 VSS118 VSS254 AH2
M18 VCC_NCTF69 VSS_NCTF59 T14 AA28 VSS117 VSS253 AL2
N18 VCC_NCTF68 VSS_NCTF58 U14 AB28 VSS116 VSS252 AN2
P18 VCC_NCTF67 VSS_NCTF57 V14 AC28VSS115 VSS251 A3
R18 VCC_NCTF66 VSS_NCTF56 W14 A29 VSS114 VSS250 C3
Y18 VCC_NCTF65 VSS_NCTF55 Y14 D29 VSS113 VSS249 AA3
L19 VCC_NCTF64 VSS_NCTF54 AA14 E29 VSS112 VSS248 AB3
M19 VCC_NCTF63 VSS_NCTF53 AB14 F29 VSS111 VSS247 AC3
N19 VCC_NCTF62 VSS_NCTF52 L15 G29 VSS110 VSS246 AJ3
P19 VCC_NCTF61 VSS_NCTF51 M15 H29 VSS109 VSS245 C4
R19 VCC_NCTF60 VSS_NCTF50 N15 L29 VSS108 VSS244 H4
Y19 VCC_NCTF59 VSS_NCTF49 P15 P29 VSS107 VSS243 L4
L20 VCC_NCTF58 VSS_NCTF48 R15 U29 VSS106 VSS242 P4
M20 VCC_NCTF57 VSS_NCTF47 T15 V29 VSS105 VSS241 U4
N20 VCC_NCTF56 VSS_NCTF46 U15 W29 VSS104 VSS240 Y4
P20 VCC_NCTF55 VSS_NCTF45 V15 AA29 VSS103 VSS239 AF4
R20 VCC_NCTF54 VSS_NCTF44 W15 AD29VSS102 VSS238 AN4
Y20 VCC_NCTF53 VSS_NCTF43 Y15 AG29VSS101 VSS237 E5

4
4

L21 VCC_NCTF52 VSS_NCTF42 AA15 AJ29 VSS100 VSS236 W5


M21 VCC_NCTF51 VSS_NCTF41 AB15 AM29VSS99 VSS235 AL5
N21 VCC_NCTF50 VSS_NCTF40 L16 C30 VSS98 VSS234 AP5
P21 VCC_NCTF49 VSS_NCTF39 M16 Y30 VSS97 VSS233 B6
T21 VCC_NCTF48 VSS_NCTF38 N16 AA30 VSS96 VSS232 J6
U21 VCC_NCTF47 VSS_NCTF37 P16 AB30 VSS95 VSS231 L6
V21 VCC_NCTF46 VSS_NCTF36 R16 AC30VSS94 VSS230 P6
W21 VCC_NCTF45 VSS_NCTF35 T16 AE30 VSS93 VSS229 T6
L22 VCC_NCTF44 VSS_NCTF34 U16 AP30 VSS92 VSS228 AA6
M22 VCC_NCTF43 VSS_NCTF33 V16 D31 VSS91 VSS227 AC6
N22 VCC_NCTF42 VSS_NCTF32 W16 E31 VSS90 VSS226 AE6
P22 VCC_NCTF41 VSS_NCTF31 Y16 F31 VSS89 VSS225 AJ6
R22 VCC_NCTF40 VSS_NCTF30 AA16 G31 VSS88 VSS224 G7
T22 VCC_NCTF39 VSS_NCTF29 AB16 H31 VSS87 VSS223 V7
U22 VCC_NCTF38 VSS_NCTF28 R17 J31 VSS86 VSS222 AA7
V22 Y17 AG7

U33D
VCC_NCTF37 VSS_NCTF27 K31 VSS85 VSS221
W22 AA17 AK7

ALVISO
VCC_NCTF36 VSS_NCTF26 L31 VSS84 VSS220
L23 VCC_NCTF35 VSS_NCTF25 AB17 M31 VSS83 VSS219 AN7
M23 VCC_NCTF34 VSS_NCTF24 AA18 N31 VSS82 VSS218 C8
N23 VCC_NCTF33 VSS_NCTF23 AB18 P31 VSS81 VSS217 E8
P23 VCC_NCTF32 VSS_NCTF22 AA19 R31 VSS80 VSS216 L8
R23 AB19 P8

NCTF
VCC_NCTF31 VSS_NCTF21 T31 VSS79 VSS215
T23 VCC_NCTF30 VSS_NCTF20 AA20 U31 VSS78 VSS214 Y8
U23 AB20 AL8
U33E

VCC_NCTF29 VSS_NCTF19 V31 VSS77 VSS213


V23 R21 A9
ALVISO

VCC_NCTF28 VSS_NCTF18 W31 VSS76 VSS212


W23 VCC_NCTF27 VSS_NCTF17 Y21 AD31VSS75 VSS211 H9
L24 VCC_NCTF26 VSS_NCTF16 AA21 AG31VSS74 VSS210 K9
M24 VCC_NCTF25 VSS_NCTF15 AB21 AL31 VSS73 VSS209 T9
N24 VCC_NCTF24 VSS_NCTF14 Y22 A32 VSS72 VSS208 V9
VSS

P24 VCC_NCTF23 VSS_NCTF13 AA22 C32 VSS71 VSS207 AA9


R24 VCC_NCTF22 VSS_NCTF12 AB22 Y32 VSS70 VSS206 AC9
T24 Y23 AE9

3
3

VCC_NCTF21 VSS_NCTF11 AA32 VSS69 VSS205


U24 VCC_NCTF20 VSS_NCTF10 AA23 AB32 VSS68 VSS204 AH9
V24 VCC_NCTF19 VSS_NCTF9 AB23 AC32VSS67 VSS203 AN9
W24 VCC_NCTF18 VSS_NCTF8 Y24 AD32VSS66 VSS202 D10
L25 VCC_NCTF17 VSS_NCTF7 AA24 AJ32 VSS65 VSS201 L10
M25 VCC_NCTF16 VSS_NCTF6 AB24 AN32VSS64 VSS200 Y10
N25 VCC_NCTF15 VSS_NCTF5 Y25 D33 VSS63 VSS199 AA10
P25 VCC_NCTF14 VSS_NCTF4 AA25 E33 VSS62 VSS198 F11
R25 VCC_NCTF13 VSS_NCTF3 AB25 F33 VSS61 VSS197 H11
T25 VCC_NCTF12 VSS_NCTF2 Y26 G33 VSS60 VSS196 Y11
U25 VCC_NCTF11 VSS_NCTF1 AA26 H33 VSS59 VSS195 AA11
V25 VCC_NCTF10 VSS_NCTF0 AB26 J33 VSS58 VSS194 AF11
W25 VCC_NCTF9 K33 VSS57 VSS193 AG11
L26 VCC_NCTF8 L33 VSS56 VSS192 AJ11
M26 VCC_NCTF7 M33 VSS55 VSS191 AL11
N26 VCC_NCTF6 N33 VSS54 VSS190 AN11
P26 VCC_NCTF5 P33 VSS53 VSS189 B12
R26 VCC_NCTF4 VTT_NCTF17 L12 R33 VSS52 VSS188 D12
T26 VCC_NCTF3 VTT_NCTF16 M12 T33 VSS51 VSS187 J12
U26 VCC_NCTF2 VTT_NCTF15 N12 U33 VSS50 VSS186 A14
V26 VCC_NCTF1 VTT_NCTF14 P12 V33 VSS49 VSS185 B14
W26 VCC_NCTF0 VTT_NCTF13 R12 W33 VSS48 VSS184 F14
VTT_NCTF12 T12 AD33VSS47 VSS183 J14
U12 K14
+VCCP

AB12 VCCSM_NCTF31 VTT_NCTF11 AF33 VSS46 VSS182


AC12VCCSM_NCTF30 VTT_NCTF10 V12 AL33 VSS45 VSS181 AG14
AD12VCCSM_NCTF29 VTT_NCTF9 W12 C34 VSS44 VSS180 AJ14
AB13 VCCSM_NCTF28 VTT_NCTF8 L13 AA34 VSS43 VSS179 AL14
AC13VCCSM_NCTF27 VTT_NCTF7 M13 AB34 VSS42 VSS178 AN14
AD13VCCSM_NCTF26 VTT_NCTF6 N13 AC34VSS41 VSS177 C15
AC14VCCSM_NCTF25 VTT_NCTF5 P13 AD34VSS40 VSS176 K15
AD14VCCSM_NCTF24 VTT_NCTF4 R13 AH34VSS39 VSS175 A16
AC15VCCSM_NCTF23 VTT_NCTF3 T13 AN34VSS38 VSS174 D16

2
2

AD15VCCSM_NCTF22 VTT_NCTF2 U13 B35 VSS37 VSS173 H16


AC16VCCSM_NCTF21 VTT_NCTF1 V13 D35 VSS36 VSS172 K16
AD16VCCSM_NCTF20 VTT_NCTF0 W13 E35 VSS35 VSS171 AL16
AC17VCCSM_NCTF19 F35 VSS34 VSS170 C17
G17
+VCCP

AD17VCCSM_NCTF18 G35 VSS33 VSS169


AC18VCCSM_NCTF17 H35 VSS32 VSS168 AF17
AD18VCCSM_NCTF16 J35 VSS31 VSS167 AJ17
AC19VCCSM_NCTF15 K35 VSS30 VSS166 AN17
AD19VCCSM_NCTF14 L35 VSS29 VSS165 A18
B18
笔记本图纸QQ107203753

AC20VCCSM_NCTF13 M35 VSS28 VSS164


AD20VCCSM_NCTF12 N35 VSS27 VSS163 U18
AC21VCCSM_NCTF11 P35 VSS26 VSS162 AL18
AD21VCCSM_NCTF10 R35 VSS25 VSS161 C19
Size

Date:

AC22VCCSM_NCTF9 T35 VSS24 VSS160 H19


AD22VCCSM_NCTF8 U35 VSS23 VSS159 J19
AC23VCCSM_NCTF7 V35 VSS22 VSS158 T19
AD23VCCSM_NCTF6 W35 VSS21 VSS157 W19
AC24VCCSM_NCTF5 Y35 VSS20 VSS156 AG19
AD24VCCSM_NCTF4 AE35 VSS19 VSS155 AN19
AC25VCCSM_NCTF3 C36 VSS18 VSS154 A20
AD25VCCSM_NCTF2 AA36 VSS17 VSS153 D20
AC26VCCSM_NCTF1 AB36 VSS16 VSS152 E20
F20
Document Number

AD26VCCSM_NCTF0 AC36VSS15 VSS151


AD36VSS14 VSS150 G20
1.8VSUS

AE36 VSS13 VSS149 V20


Tuesday, June 14, 2005

AF36 VSS12 VSS148 AK20


AJ36 VSS11 VSS147 C21
Alviso (VSS, NCTF0

AL36 VSS10 VSS146 F21


AN36VSS9 VSS145 AF21
E37 VSS8 VSS144 AN21
H37 VSS7 VSS143 A22
D22
1
1

K37 VSS6 VSS142


M37 VSS5 VSS141 E22
J22
Sheet

P37 VSS4 VSS140


T37 VSS3 VSS139 AH22
AL22
PROJECT : ED3

V37 VSS2 VSS138


DDRI is 2.5V, DDRII is 1.8V.

Y37 VSS1 VSS137 H23


11

AG37VSS0 VSS136 AF23


Quanta Computer Inc.

of
38
Rev
A3A
A
B
C
D
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8
C233 PCI Pullups
2 1 CLK_32KX1 +3VRUN +3VRUN
VCCRTC 15P RP13

2
LPC_DRQ0# R652 10K_4 RCIN# 6 5

1
R205 LPC_DRQ1# R653 10K_4 SERIRQ 7 4 IRDY#
R221 32.768KHZ 13,21,24,31,32 SERIRQ
10M_4 GATEA20 8 3 REQ3#
1 2 W1 IRQ14 9 2 DEVSEL#
U35A 10 1 PERR#
182K/F +3VRUN

1
1
R211 C232 Y1 P2 LAD0/FWH0
RTCX1 LAD0 LAD0/FWH0 31,32 8.2KX8
1M C253 2 1 CLK_32KX2 Y2 N3 LAD1/FWH1 +VCCP
RTCX2 LAD1/FB1 LAD1/FWH1 31,32

RTC
.1U/10V_4 15P N5 LAD2/FWH2 +3VRUN
LAD2/FB2 LAD2/FWH2 31,32

LPC
RTC_RST# LAD3/FWH3 RP11

2
AA2 RTCRST# LAD3/FB3 N4 LAD3/FWH3 31,32
N6 LPC_DRQ0# PIRQD# 6 5
LDRQ0# LPC_DRQ0# 31
SM_INTRUDER# AA3 P4 LPC_DRQ1# STOP# 7 4 PIRQB#
A INTRUDER# LDRQ1#/GPI41 LPC_DRQ1# 32 A
AA5 P3 LFRAME#/FWH4 R198 PIRQA# 8 3 REQ2#
INTVRMEN LFRAME# LFRAME#/FWH4 31,32

1
75/F_4 PIRQC# 9 2 TRDY#
JP5 10 1 FRAME#
+3VRUN
*SHORT PAD
AF25 AG25 CPUPWRGD
< 2"
5 NMI NMI CPUPWRGD/GPO49 CPUPWRGD 5 8.2KX8

2
5 A20M# AF23 A20M# INIT3_3V# AE22
FERR# R199 1 2 56_4 R_FERR# AF24 AE23 THERMTRIP#_ICH R201 56_4
5 FERR# FERR# THRMTRIP# THERMTRIP# 5,8 R309 R308
AG26 AG27
5 IGNNE#
5 INTR AG24
IGNNE#
INTR
CPU SMI#
STPCLK# AE26
R_CPUSLP# R208
SMI# 5
STPCLK# 5 Dothan A Installed Installed
5 CPUINIT# AF27 INIT# CPUSLP# AE27 1 2 *0_NC CPUSLP# 5,7
RCIN# AD23 AD27
32 RCIN# RCIN# DPSLP#/TP[2] DPSLP# 5 Dothan B NC NC
GATEA20 AF22 AE24 R200 1 2 0_4 DPRSTP#
32 GATEA20 A20GATE DPRSLP#/TP[4] DPRSTP# 5

RTC R197 1 2 56_4 +VCCP


Yonah Installed NC

AD0 E2 J6 C/BE0#
AD0 C/BE0# C/BE0# 21,24,25
AD1 E5 H6 C/BE1# +3VRUN
AD1 C/BE1# C/BE1# 21,24,25 RP12
D20 AD2 C2 G4 C/BE2#
AD2 C/BE2# C/BE2# 21,24,25
2 1 AD3 F5 G2 C/BE3# REQ5# 6 5
+3VALW VCCRTC AD3 C/BE3# C/BE3# 21,24,25
AD4 F3 SERR# 7 4 PLOCK#
*RB500V_NC C397 C396 AD5 AD4 FRAME# REQ4# REQ6#
E9 AD5 FRAME# J3 FRAME# 21,24,25 8 3
AD6 F2 A3 IRDY# REQ0# 9 2 REQ1#
AD6 IRDY# IRDY# 21,24,25
.1U/10V_4 1U/10V_6 AD7 D6 J2 TRDY# 10 1 ICH_GPIO2
AD7 TRDY# TRDY# 21,24,25 +3VRUN
R298 D21 AD8 E6 C3 DEVSEL#
AD8 DEVSEL# DEVSEL# 21,24,25
R_3VRTC 2 1 AD9 D3 J1 STOP#
AD9 STOP# STOP# 21,24,25 8.2KX8
AD10 A2 E1 PAR
1K-0603 RB500V AD11
AD12
D2
AD10
AD11
PCI PAR
SERR# G5 SERR#
PERR#
PAR 21,24,25
SERR# 21,24,25 REQ0 : LAN
D5 AD12 PERR# E3 PERR# 21,24,25
R296 AD13 H3 C5 PLOCK# REQ1 : 1394/CARDBUS +3VRUN
AD13 PLOCK# PLOCK# 21
B RTC_N02 1 3 RTC_N01 AD14 B4 REQ2 : MINI PCI R273 R280 B
+5VALW AD14
AD15 J5 L5 REQ0# *100K_4_NC *100K_4_NC
AD15 REQ0# REQ0# 25 GNT0 : LAN
Q34 3K_6 AD16 K2 B5 REQ1#
AD16 REQ1# REQ1# 21 GNT1 : 1394/CARDBUS
PMBS3904 R297 AD17 K5 M5 REQ2# R237
AD17 REQ2# REQ2# 24
AD18 REQ3# GNT2 : MINI PCI *100K_4_NC
2

D4 AD18 REQ3# B8
4.7K_6 AD19 REQ4#
3.8V AD20
L6
G3
AD19 REQ4#/GPI40 F7
E8 REQ5#
AD21 AD20 REQ5#/GPI1 REQ6# MB_ID0
3.1V AD22
H4
H2
AD21 REQ6#/GPI0 B7
MB_ID1
R295 AD23 AD22 GNT0# MB_ID2
H5 AD23 GNT0# C1 GNT0# 25
1

AD24 B3 B6 GNT1#
AD24 GNT1# GNT1# 21
C398 BT1 15K/F AD25 M6 F1 GNT2# R272 R279 R236
AD25 GNT2# GNT2# 24
BATCON AD26 B2 C8 T70
.1U/10V_4 AD27 AD26 GNT3# 1K-0402 1K-0402 1K-0402
K6 AD27 GNT4#/GPO48 E7 T76
AD28
2

K3 AD28 GNT5#/GPO17 F6 T61


AD29 A5 D8 T65
AD30 AD29 GNT6#/GPO16
L1 AD30
21,24,25 AD[0..31] AD31 K4 N2 PIRQA#
AD31 PIRQA# PIRQA# 21,25
PIRQB#
+3VSUS R228 1 2 10K-0402
PIRQB# L2
M1 PIRQC#
PIRQB# 24 Board ID
PIRQC# PIRQC# 21
P6 L3 PIRQD# +3VRUN
21,24,25,31 PME# PME# PIRQD# PIRQD# 21,24
G6 D9 ICH_GPIO2
17 PCLK_ICH PCICLK PIRQE#/GPI2
PCIRST# R2 C7
21,24,25 PCIRST# PCIRST# PIRQF#/GPI3
PLTRST#_1 R5 C6
PLTRST# PIRQG#/GPI4 HDD LED
2

1
24,25,31,32 CLKRUN# AF19 CLKRUN#/GPIO32 PIRQH#/GPI5 M3

R240 R204 2 1 10K-0402 2 1


+3VRUN M_SEN# 19,31,32 47K
*33_4 D18 *BAS316 Q30
PDD0 AD14 AC19 SATA_LED# 2 *DTA114YUA
PDD1 DD0 SATALED#
21

C
AF15 DD1 10K C
+3VSUS PDD2 AF14 AE3 SATA_RXN0_C
DD2 SATA0_RXN SATA_RXN0_C 20
C282 C439 PDD3 AD12 AD3 SATA_RXP0_C
DD3 SATA0_RXP SATA_RXP0_C 20
*18P 2 1 PDD4 AE14 AG2 SATA_TXN0_C
PDD5 DD4 SATA0_TXN SATA_TXP0_C
1

3
AC11 AF2
DD5 SATA SATA0_TXP
IDE

.047U/10V_4 PDD6 AD11 DD6 HDDLED# 20,24


5

U34 PDD7 AB11 AD7


PDD8 DD7 SATA2_RXN
2 AE13 DD8 SATA2_RXP AC7
4 PDD9 AF13 AF6
8,13,20,31,32 PLTRST# DD9 SATA2_TXN
1 PLTRST#_1 PDD10 AB12 AG6
PDD11 DD10 SATA2_TXP
AB13 DD11
7SH32 PDD12 AC13 AC2
DD12 SATA_CLKN CLK_PCIE_SATA# 17
PDD13 AE15 AC1 C437 *3900P
DD13 SATA_CLKP CLK_PCIE_SATA 17
PDD14 SATA_TXN0_C 1
Try to remove PDD[0..15] PDD15
AG15
AD13
DD14
AG11 R207 24.9/F
2 SATA_RXN0 20
20 PDD[0..15] DD15 SATARBIAS#
7SH32, if SATARBIAS AF11 SATABIAS 1 2 C438 *3900P
PDCS1# AD16 Place within 500mils SATA_TXP0_C 1 2
possible. 20
20
PDCS1#
PDCS3#
PDCS3# AE17
DCS1# SATA_RXP0 20
PDA0 AC16
DCS3# of ICH6 ball
20 PDA0 DA0
PDA1 AB17 C10 R276 39_4 Distance between the ICH-6 M and
20 PDA1 DA1 ACZ_BIT_CLK AC_BITCLK 28
AC-97/
AZALIA

PDA2 AC17 B9 R275 39_4


20 PDA2 DA2 ACZ_SYNC AC_SYNC 28 cap on the "P" signal should be
PDIOR# AE16 A10 R277 39_4
20 PDIOR# DIOR# ACZ_RST# AC_RESET# 28
PDIOW# AC14 identical distance between the
20 PDIOW# DIOW#
PIORDY AF16 F11
20 PIORDY IORDY ACZ_SDIN0 AC_SDIN0 28 ICH-6 M and cap on the "N" signal
IRQ14 AB16 F10 T60
20 IRQ14 IDEIRQ ACZ_SDIN1
PDDREQ AB14 B10 T135 for same pair.
20 PDDREQ DDREQ ACZ_SDIN2
PDDACK# AB15 C9 R274 39_4
20 PDDACK# DDACK# ACZ_SDO AC_SDOUT 28

2
ICH6-M C383 C380
D *10P_4_NC *10P_4_NC D

1
PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
ICH6-M (CPU, PCI, IDE, SATA, AC97) A3A

Date: Wednesday, June 15, 2005 Sheet 12 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

U35B

A A
24 USBP0+ D21 USBP0P USBP1P B20 USBP1+ 24
24 USBP0- C21 USBP0N USBP1N A20 USBP1- 24
OC0# C27 B27 OC1#
24 USBOC0# OC0# OC1# USBOC1# 24
31 USBP2+ C19 USBP2P USBP3P B18 BT_USBP3+ 24
D19 A18
31 USBP2-
31 USBOC2#
OC2# B26
USBP2N
OC2#
USB USBP3N
OC3# C26 OC3#
BT_USBP3- 24

24 USBP4+ D17 USBP4P USBP5P A16


24 USBP4- E17 USBP4N USBP5N B16
CLK48_USB OC4# C23 D23 OC5#
24 USBOC4# OC4#/GPI9 OC5#/GPI10
D15 USBP6P USBP7P B14
C15 USBP6N USBP7N A14
R269 OC6# C25 C24 OC7# Place within 500mils of ICH-6
OC6#/GPI14 OC7#/GPI15 R260 22.6/F
*68_4 USBRBIAS B22
CLK48_USB A27 A22 USBRBIAS 2 1
17 CLK48_USB CLK48 USBRBIAS#

8 DMI_RXN0 T25 DMI0_RXN DMI2_RXN Y25 DMI_RXN2 8 RP14


8 DMI_RXP0 T24 DMI0_RXP DMI2_RXP Y24 DMI_RXP2 8
C362 R27 W27 OC6# 6 5 +3VSUS
*2.2P_4
8
8
DMI_TXN0
DMI_TXP0 R26
DMI0_TXN
DMI0_TXP
DMI DMI2_TXN
DMI2_TXP W26
DMI_TXN2 8
DMI_TXP2 8
OC7#
OC4#
7 4 OC0#
OC3#
8 3
V25 AB24 DMI_RXN3 8 OC5# 9 2 OC1#
8 DMI_RXN1 DMI1_RXN DMI3_RXN
8 DMI_RXP1 V24 AB23 DMI_RXP3 8 10 1 OC2#
DMI1_RXP DMI3_RXP +3VSUS
8 DMI_TXN1 U27 DMI1_TXN DMI3_TXN AA27 DMI_TXN3 8
8 DMI_TXP1 U26 DMI1_TXP DMI3_TXP AA26 DMI_TXP3 8 10KX8
AD25 F24 R245 24.9/F
17 CLK_PCIE_ICH# DMI_CLKN DMI_ZCOMP
AC25 F23 DMI_COMP 2 1
17 CLK_PCIE_ICH DMI_CLKP DMI_IRCOMP +1_5VRUN

T62 *PAD H25 HSIN0 HSIN2 M25 *PAD T122 Place within 500mils of ICH-6
B H24 M24 B
T64 *PAD
T128 *PAD G27
HSIP0
HSON0
PCI-EXPRESS HSIP2
HSON2 L27
*PAD
*PAD
T56
T123
R226 680
T129 *PAD G26 HSOP0 HSOP2 L26 *PAD T124
ICH_PCIE_WAKE# 1 2
T58 *PAD K25 HSIN1 HSIN3 P24 *PAD T55
K24 P23 R222 10K-0402
T57 *PAD HSIP1 HSIP3 *PAD T53
J27 N27 SMLINK0 1 2 +3VSUS
T125 *PAD HSON1 HSON3 *PAD T118
T127 *PAD J26 HSOP1 HSOP3 N26 *PAD T120
R223 10K-0402
Y4 W4 SMLINK0 SMLINK1 1 2
17 PCLK_SMB SMBCLK SMLINK0
W5 U6 SMLINK1
17 PDAT_SMB
18 LIDICH#
LIDICH# W6
SMBDATA SM&SMI
SMBALERT#/GPI11
SMLINK1
LINKALERET# Y5 SMB_LINK_ALERT#
MCH_SYNC#
R202 10K-0402
1 2 +3VRUN
ICH_RI# T2 T4
RI# SLP_S3# SUSB# 32
THRM# AC20 T5
5 THRM# THRM# SLP_S4# SUSC# 32
ICH_PWROK AA1 T6
32 ICH_PWROK PWROK SLP_S5# T54
DPRSLPVR
33 DPRSLPVR
BATLOW#
AE20
V2
DPRSLPVR/TP1 PM LAN_RST# V5
U2 R_SYS_RESET# R229 1 2 0_4
PLTRST# 8,12,20,31,32 SYS_RESET# should be
BATLOW#/TP0 SYS_RESET# SYS_RESET# 5
32 DNBSWON#
DNBSWON# U1 PWRBTN# WAKE# U5 ICH_PCIE_WAKE# high faster than
RSMRST# Y3 AG21 MCH_SYNC#
32 RSMRST#
8,33 IMVP_PWRGD
IMVP_PWRGD AF21
RSMRST# MCH_SYNC# ICH_PWROK.
PM_BMBUSY# VRMPWRGD
8 PM_BMBUSY# AD19 BM_BUSY#/GPIO6 STP_PCI#/GPO18 AC21 STP_PCI# 17
LPCPD# W3 AD22
21,31 LPCPD# SUS_STAT#/LPCPD# STP_CPU#/GPO20 STP_CPU# 6,17,33
+3VSUS 10K-0402 R218 V6 AB20
T49 *PAD SUSCLK SERIRQ SERIRQ 12,21,24,31,32

17 14M_ICH E10 CLK14 GPIO25 P5 *PAD T121


PCSPK F8 AF17
28 PCSPK SPKR SATA0GP/GPIO26
2

PR_INSERT# 1 2 D2 PR_DOCK# AE19 R3


31,32 PR_INSERT# GPI7 GPIO27 LCDID1 18
KBSMI# SW1010C R1 T3
C
R257
33_4
31,32 KBSMI#
32 SWI#
SWI#
SCI#
M2
GPI8
GPI12
MISC&GPIO GPIO28
SATA1GP/GPIO29 AE18
LCDID0 18 C

32 SCI# R6 GPI13 SATA2GP/GPIO30 AF18


20 ICH_GPO19 AB21 GPO19 SATA3GP/GPIO31 AG18
21

20 RST_HDD# AD20 GPO21

1
T80 *PAD AD21 GPO23 GPIO33 AF20 *PAD T46
C336 V3 AC18 R206
T50 *PAD GPIO24 GPIO34 *PAD T48
10P_4 33_4
1

LAN_RXD0 E12 *PAD T67

2
T71 *PAD D12 EE_CS LAN_RXD1 E11 *PAD T59
B12 C13
T132 *PAD
T72 *PAD D11
EE_SHCLK
EE_DOUT
LAN LAN_RXD2
LAN_TXD0 C12
*PAD
*PAD
T138
T137
T63 *PAD F13 EE_DIN LAN_TXD1 C11 *PAD T136
LAN_TXD2 E13 *PAD T133

LAN_CLK F12 *PAD T66


LAN_RSTSYNC B11 *PAD T134
AC5 RSVD1 RSVD6 AD9
AD5 AF8
AF4
RSVD2
RSVD3
RESERVED RSVD7
RSVD8 AG8
AG4 RSVD4 RSVD9 U3
+3VSUS R299 10K-0402 SWI# AC9 RSVD5

ICH6-M
R209 1 2 8.2K PR_DOCK#
+3VRUN

+3VSUS R225 10K-0402 KBSMI#


D +3VSUS +3VSUS D
R203 1 2 8.2K THRM#
+3VRUN
RP10 RP9
7 8 SCI# 7 8 BATLOW#
5 6 LIDICH# 5 6 ICH_RI#
PDAT_SMB 4 PCLK_SMB
R210 10K-0402
3
1
4
2 SMB_LINK_ALERT#
3
1 2 R_SYS_RESET#
PROJECT : ED3
1 2 ICH_PWROK
1 2 RSMRST# 8P4R-10K 8P4R-10K Quanta Computer Inc.
R219 10K-0402
Size Document Number Rev
ICH6-M (USB, DMI, LPC) A3A

Date: Wednesday, June 15, 2005 Sheet 13 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

L36 BLM41P600SPG C320


+1_5V_PCIE .1U/10V_4 U35C U35D
+1_5VRUN 1 2 +1_5VRUN

2
C279 + C244 AA22 AA19 C322 C321 C277 C317 C257 A1 G1
220U_4V_L .1U/10V_4 VCC1_5_1 VCC1_5_79 VSS001 VSS087
AA23 VCC1_5_2 VCC1_5_80 AA20 A12 VSS002 VSS088 G12
AA24 AA21 .1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.01U/16V_4 A15 G21
VCC1_5_3 VCC1_5_81 VSS003 VSS089

1
AA25 VCC1_5_4 VCC1_5_82 L11 A19 VSS004 VSS090 G7
AB25 VCC1_5_5 VCC1_5_83 L12 A21 VSS005 VSS091 G9
C307 AB26 L14 A23 H23
R278 *10_NC .1U/10V_4 VCC1_5_6 VCC1_5_84 VSS006 VSS092
AB27 VCC1_5_7 VCC1_5_85 L16 A26 VSS007 VSS093 H26
+5VSUS 1 2 F25 VCC1_5_8 VCC1_5_86 L17 A4 VSS008 VSS094 H27
A
F26 VCC1_5_9 VCC1_5_87 M11 A7 VSS009 VSS095 J23 A
F27 VCC1_5_10 VCC1_5_88 M17 A9 VSS010 VSS096 J24
R271 100/F G22 P11 AA11 J25
VCC1_5_11 VCC1_5_89 VSS011 VSS097
+5VRUN 1 2 G23 VCC1_5_12 VCC1_5_90 P17 AA13 VSS012 VSS098 J4
G24 VCC1_5_13 VCC1_5_91 T11 AA16 VSS013 VSS099 K1
G25 T17 AA4 K23
D19
V5REF
H21
VCC1_5_14
VCC1_5_15
VCC VCC1_5_92
VCC1_5_93 U11 AB1
VSS014
VSS015
VSS100
VSS101 K26
+3VRUN 2 1 H22 VCC1_5_16 VCC1_5_94 U12 AB10 VSS016 VSS102 K27
J21 VCC1_5_17 VCC1_5_95 U14 AB19 VSS017 VSS103 K7
RB751V J22 U16 AB2 L13
VCC1_5_18 VCC1_5_96 VSS018 VSS104
2

1
C344 C357 K21 U17 AB7 L15
.1U/10V_4 VCC1_5_19 VCC1_5_97 VSS019 VSS105
K22 VCC1_5_20 VCC1_5_98 F9 +3_3V_PCI AB9 VSS020 VSS106 L23
1U/10V_6 L21 AC10 L24
VCC1_5_21 +3VRUN VSS021 VSS107
1

2
L22 VCC1_5_22 VCC3_3_2 A6 AC12 VSS022 VSS108 L25

2
M21 B1 C247 C314 C274 AC22 M12
VCC1_5_23 VCC3_3_3 VSS023 VSS109
M22 VCC1_5_24 VCC3_3_4 E4 AC23 VSS024 VSS110 M13
R241 *10_NC N21 H1 .1U/10V_4.1U/10V_4.1U/10V_4 AC24 M14
VCC1_5_25 VCC3_3_5 VSS025 GND VSS111

1
+5VALW 1 2 N22 VCC1_5_26 VCC3_3_6 H7 AC26 VSS026 VSS112 M15
CT_0229: Adding N23 J7 AC3 M16
VCC1_5_27 VCC3_3_7 VSS027 VSS113
1u cap to meet N24 VCC1_5_28 VCC3_3_8 L4 AC6 VSS028 VSS114 M23
R242 10_4 CRB.1501 N25 L7 AD1 M26
VCC1_5_29 VCC3_3_9 VSS029 VSS115
+5VSUS 1 2 P21 VCC1_5_30 VCC3_3_10 M7 AD10 VSS030 VSS116 M27
P25 VCC1_5_31 VCC3_3_11 P1 AD15 VSS031 VSS117 M4
P26 VCC1_5_32 +3_3V_ICH AD18 VSS032 VSS118 N1
D17 P27 AA12 AD2 N11
VCC1_5_33 VCC3_3_12 +3VRUN VSS033 VSS119
2 1 V5REF_SUS R21 AA14 AD24 N12
+3VSUS VCC1_5_34 VCC3_3_13 VSS034 VSS120

2
R22 AA15 C281 C341 AD6 N13
RB751V VCC1_5_35 VCC3_3_14 VSS035 VSS121
T21 VCC1_5_36 VCC3_3_15 AA17 AE10 VSS036 VSS122 N14
2

C323 C316 T22 AC15 .1U/10V_4.1U/10V_4 AE11 N15


.1U/10V_4 VCC1_5_37 VCC3_3_16 VSS037 VSS123

1
U21 VCC1_5_38 VCC3_3_17 AD17 AE12 VSS038 VSS124 N16
B 1U/10V_6 U22 AG13 AE2 N17 B
VCC1_5_39 VCC3_3_18 +1_5VSUS VSS039 VSS125
1

V21 VCC1_5_40 VCC3_3_19 AG16 AE21 VSS040 VSS126 N7


V22 VCC1_5_41 VCC3_3_20 AG19 AE25 VSS041 VSS127 P12

2
W21 AA10 C272 AE6 P13
VCC1_5_42 VCC3_3_21 VSS042 VSS128
W22 VCC1_5_43 AE7 VSS043 VSS129 P14
Y21 .1U/10V_4 AF1 P15
VCC1_5_44 VSS044 VSS130
+1_5V_SATA_RX

1
Y22 VCC1_5_45 VCCSUS1_5_1 G19 AF10 VSS045 VSS131 P16
AF12 VSS046 VSS132 P22
+1_5VRUN AA6 VCC1_5_46 VCCSUS1_5_2 R7 +1_5VSUS AF26 VSS047 VSS133 R11
AB4 VCC1_5_47 VCCSUS1_5_3 U7 AF3 VSS048 VSS134 R12
2

2
C280 AB5 C275 C269 AF7 R13
VCC1_5_48 VSS049 VSS135
AB6 VCC1_5_49 VCC1_5_67 G8 +1_5VRUN AG1 VSS050 VSS136 R14
.1U/10V_4 AC4 .1U/10V_4.1U/10V_4 AG12 R15
VCC1_5_50 VSS051 VSS137
1

1
AD4 VCC1_5_51 VCC1_5_68 D24 AG14 VSS052 VSS138 R16
AE4 VCC1_5_52 VCC1_5_69 D25 AG17 VSS053 VSS139 R17
AE5 VCC1_5_53 VCC1_5_70 D26 AG20 VSS054 VSS140 R23
AF5 VCC1_5_54 VCC1_5_71 D27 AG22 VSS055 VSS141 R24
+1_5VRUN +1_5V_SATA_TX AG5 E20 AG3 R25
VCC1_5_55 VCC1_5_72 +1_5VRUN VSS056 VSS142
VCC1_5_73 E21 AG7 VSS057 VSS143 R4

2
AA7 E22 C239 C252 B13 T1
+1_5VRUN VCC1_5_56 VCC1_5_74 VSS058 VSS144
R212 AA8 E23 B15 T12
VCC1_5_57 VCC1_5_75 VSS059 VSS145
2

1 2 VCCDMIPLL_R 2 1 C248 AA9 VCC1_5_58 VCC1_5_76 E24 .1U/10V_4.1U/10V_4 B19 VSS060 VSS146 T13

1
AB8 VCC1_5_59 VCC1_5_77 F20 B21 VSS061 VSS147 T14
2

C241 1R L34 BLM11A121S .1U/10V_4 AC8 G20 B23 T15


VCC1_5_60 VCC1_5_78 VSS062 VSS148
2

C242
1

AD8 VCC1_5_61 B24 VSS063 VSS149 T16


10U_6.3V_8 AE8 P7 B25 T23
VCC1_5_62 VCC2_5_2 +2_5VRUN VSS064 VSS150
.01U/16V_4
1

AE9 VCC1_5_63 VCC2_5_4 AB18 C14 VSS065 VSS151 T26

2
C276
1

AF9 VCC1_5_64 C18 VSS066 VSS152 T27


AG9 VCC1_5_65 C20 VSS067 VSS153 T7
+3VRUN +1_5VRUN A8 .1U/10V_4 C22 U13
C
VCCDMIPLL V5REF1 V5REF VSS068 VSS154 C

1
AC27 VCCDMIPLL V5REF2 AA18 C4 VSS069 VSS155 U15
E26 VCC3_3_1 D1 VSS070 VSS156 U23
F21 V5REF_SUS D10 U24
V5REF_SUS VSS071 VSS157
2

C237 AE1 D13 U25


VCCSATAPLL VSS072 VSS158
+3VRUN AG10 VCC3_3_22 VCCUSBPLL A25 +1_5VRUN D14 VSS073 VSS159 V23
2

.1U/10V_4 C238 A24 +3VSUS D18 V26


VCCSUS3_3_20 VSS074 VSS160
2

2
C319 C340
1

A13 VCCLAN3_3/VCCSUS3_3_1 D20 VSS075 VSS161 V27

2
.1U/10V_4 F14 AB3 VCCRTC C318 D22 V4
.1U/10V_4 VCCLAN3_3/VCCSUS3_3_2 VCCRTC .01U/16V_4 VSS076 VSS162
1

G13 VCCLAN3_3/VCCSUS3_3_3 D7 VSS077 VSS163 W1


.1U/10V_4
1

1
G14 VCCLAN3_3/VCCSUS3_3_4 1 E14 VSS078 VSS164 W23
VCCLAN1_5/VCCSUS1_5_1 G10 +1_5VRUN E15 VSS079 VSS165 W24
+3VRUN A11 VCCSUS3_3_1 VCCLAN1_5/VCCSUS1_5_2 G11 E18 VSS080 VSS166 W25
U4 VCCSUS3_3_2 E19 VSS081 VSS167 W7
2

C234 C240 V1 AB22 E25 Y23


VCCSUS3_3_3 V_CPU_IO1 VSS082 VSS168
V7 VCCSUS3_3_4 V_CPU_IO2 AD26 F17 VSS083 VSS169 Y26
.1U/10V_4 .1U/10V_4 W2 AG23 F19 Y27
VCCSUS3_3_5 V_CPU_IO3 +VCCP VSS084 VSS170
1

Y7 VCCSUS3_3_6 F22 VSS085 VSS171 Y6


2

C16 C235 F4 E27


VCCSUS3_3_13 VSS086 VSS172
A17 VCCSUS3_3_7 VCCSUS3_3_14 D16
B17 E16 .1U/10V_4
+3VSUS VCCSUS3_3_8 VCCSUS3_3_15
1

C17 VCCSUS3_3_9 VCCSUS3_3_16 F15 ICH6-M


2

C337 F18 F16 VCCRTC


VCCSUS3_3_10 VCCSUS3_3_17
G17 VCCSUS3_3_11 VCCSUS3_3_18 G15
.1U/10V_4 G18 G16
VCCSUS3_3_12 VCCSUS3_3_19
1

2
C243
ICH6-M
.1U/10V_4
1

+3VSUS
D D
2

C254 C343

.1U/10V_4 .1U/10V_4
CT_0505: Change footprint to
mbga609-intel-ich6 from
1

MBGA609-ICH6
PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
ICH6-M (Power & GND) A3A

Date: Tuesday, June 14, 2005 Sheet 14 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
A B C D E

1.8VSUS
1.8VSUS
15

103
104
111
112
117
118
81
82
87
88
95
96
9,16 M_B_A[0..13] M_B_DQ[0..63] 9

103
104
111
112
117
118
M_B_A0 M_B_DQ0

81
82
87
88
95
96
102 5

VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
9,16 M_A_A[0..13] M_A_DQ[0..63] 9 A0 DQ0
M_B_A1 101 7 M_B_DQ1
M_A_A0 M_A_DQ1 M_B_A2 A1 DQ1 M_B_DQ2
102 5 100 17

VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
M_A_A1 A0 DQ0 M_A_DQ0 M_B_A3 A2 DQ2 M_B_DQ3
101 A1 DQ1 7 99 A3 DQ3 19
M_A_A2 100 17 M_A_DQ2 M_B_A4 98 4 M_B_DQ4
M_A_A3 A2 DQ2 M_A_DQ7 M_B_A5 A4 DQ4 M_B_DQ5
99 A3 DQ3 19 97 A5 DQ5 6
4 M_A_A4 98 4 M_A_DQ5 M_B_A6 94 14 M_B_DQ6 4
M_A_A5 A4 DQ4 M_A_DQ4 M_B_A7 A6 DQ6 M_B_DQ7
M_A_A6
97
94
A5 DQ5 6
14 M_A_DQ3 M_B_A8
92
93
A7 CN23 DQ7 16
23 M_B_DQ8
M_A_A7 A6 DQ6 M_A_DQ6 M_B_A9 A8 DQ8 M_B_DQ9
M_A_A8
92
93
A7 CN24 DQ7 16
23 M_A_DQ13 M_B_A10
91
105
A9 REVERSE DQ9 25
35 M_B_DQ10
M_A_A9 A8 DQ8 M_A_DQ9 M_B_A11 A10 DQ10 M_B_DQ11
91 A9 DQ9 25 90 A11 DQ11 37
M_A_A10 105 REVERSE 35 M_A_DQ10 M_B_A12 89 20 M_B_DQ12
M_A_A11 A10 DQ10 M_A_DQ11 M_B_A13 A12 DQ12 M_B_DQ13
90 A11 DQ11 37 116 A13 DQ13 22
M_A_A12 89 20 M_A_DQ12 86 36 M_B_DQ15
M_A_A13 A12 DQ12 M_A_DQ8 A14 DQ14 M_B_DQ14
116 A13 DQ13 22 84 A15 DQ15 38
86 36 M_A_DQ14 43 M_B_DQ16
A14 DQ14 M_A_DQ15 DQ16 M_B_DQ21
84 A15 DQ15 38 9,16 M_B_BS#0 107 BA0 DQ17 45
43 M_A_DQ17 106 55 M_B_DQ22
DQ16 9,16 M_B_BS#1 BA1 DQ18
107 45 M_A_DQ21 85 57 M_B_DQ23
9,16 M_A_BS#0 BA0 DQ17 9,16 M_B_BS#2 BA2 DQ19
106 55 M_A_DQ22 44 M_B_DQ20
9,16 M_A_BS#1 BA1 DQ18 DQ20
85 57 M_A_DQ19 M_B_DM0 10 46 M_B_DQ17
9,16 M_A_BS#2 BA2 DQ19 DM0 DQ21
44 M_A_DQ20 M_B_DM1 26 56 M_B_DQ19
M_A_DM0 DQ20 M_A_DQ16 M_B_DM2 DM1 DQ22 M_B_DQ18
10 DM0 DQ21 46 52 DM2 DQ23 58
M_A_DM1 26 56 M_A_DQ18 M_B_DM3 67 61 M_B_DQ28
M_A_DM2 DM1 DQ22 M_A_DQ23 M_B_DM4 DM3 DQ24 M_B_DQ25
52 DM2 DQ23 58 130 DM4 DQ25 63
M_A_DM3 67 61 M_A_DQ29 M_B_DM5 147 73 M_B_DQ31
M_A_DM4 DM3 DQ24 M_A_DQ28 M_B_DM6 DM5 DQ26 M_B_DQ27
130 DM4 DQ25 63 170 DM6 DQ27 75
M_A_DM5 147 73 M_A_DQ31 M_B_DM7 185 62 M_B_DQ24
DM5 DQ26 9 M_B_DM[0..7] DM7 DQ28
M_A_DM6 170 75 M_A_DQ27 64 M_B_DQ30
M_A_DM7 DM6 DQ27 M_A_DQ24 M_B_DQS0 DQ29 M_B_DQ29
9 M_A_DM[0..7] 185 DM7 DQ28 62 13 DQS0 DQ30 74
64 M_A_DQ25 M_B_DQS1 31 76 M_B_DQ26
M_A_DQS0 DQ29 M_A_DQ26 M_B_DQS2 DQS1 DQ31 M_B_DQ32
13 DQS0 DQ30 74 51 DQS2 DQ32 123
M_A_DQS1 31 76 M_A_DQ30 M_B_DQS3 70 125 M_B_DQ33
M_A_DQS2 DQS1 DQ31 M_A_DQ32 M_B_DQS4 DQS3 DQ33 M_B_DQ34 1.8VSUS
51 DQS2 DQ32 123 131 DQS4 DQ34 135
3 M_A_DQS3 70 125 M_A_DQ33 M_B_DQS5 148 137 M_B_DQ35 3
M_A_DQS4 DQS3 DQ33 M_A_DQ34 M_B_DQS6 DQS5 DQ35 M_B_DQ36
131 DQS4 DQ34 135 169 DQS6 DQ36 124
M_A_DQS5 148 137 M_A_DQ35 M_B_DQS7 188 126 M_B_DQ37
DQS5 DQ35 9 M_B_DQS[0..7] DQS7 DQ37
M_A_DQS6 169 124 M_A_DQ36 134 M_B_DQ38 C600 *10U/6.3V/X5R
M_A_DQS7 DQS6 DQ36 M_A_DQ37 M_B_DQS#0 DQ38 M_B_DQ39
9 M_A_DQS[0..7] 188 DQS7 DQ37 126 11 DQS0 DQ39 136
134 M_A_DQ38 M_B_DQS#1 29 141 M_B_DQ40 C601 *10U/6.3V/X5R
M_A_DQS#0 DQ38 M_A_DQ39 M_B_DQS#2 DQS1 DQ40 M_B_DQ41
11 DQS0 DQ39 136 49 DQS2 DQ41 143
M_A_DQS#1 29 141 M_A_DQ40 M_B_DQS#3 68 151 M_B_DQ42 C602 10U/6.3V/X5R
M_A_DQS#2 DQS1 DQ40 M_A_DQ41 M_B_DQS#4 DQS3 DQ42 M_B_DQ43
49 DQS2 DQ41 143 129 DQS4 DQ43 153
M_A_DQS#3 68 151 M_A_DQ42 M_B_DQS#5 146 140 M_B_DQ44 C603 10U/6.3V/X5R
M_A_DQS#4 DQS3 DQ42 M_A_DQ43 M_B_DQS#6 DQS5 DQ44 M_B_DQ45
129 DQS4 DQ43 153 167 DQS6 DQ45 142
M_A_DQS#5 146 140 M_A_DQ44 M_B_DQS#7 186 152 M_B_DQ46
DQS5 DQ44 9 M_B_DQS#[0..7] DQS7 DQ46
M_A_DQS#6 167 142 M_A_DQ45 154 M_B_DQ47 C604 0.1U_4
M_A_DQS#7 DQS6 DQ45 M_A_DQ46 DQ47 M_B_DQ53
9 M_A_DQS#[0..7] 186 DQS7 DQ46 152 DQ48 157
154 M_A_DQ47 M_CLKOUT3 30 159 M_B_DQ49 C605 0.1U_4
DQ47 8 M_CLKOUT3 CK0 DQ49
157 M_A_DQ48 M_CLKOUT3# 32 173 M_B_DQ50
DQ48 8 M_CLKOUT3# CK0 DQ50
M_CLKOUT0 30 159 M_A_DQ49 M_CLKOUT4 164 175 M_B_DQ51 C606 0.1U_4
8 M_CLKOUT0 CK0 DQ49 8 M_CLKOUT4 CK1 DQ51
M_CLKOUT0# 32 173 M_A_DQ55 M_CLKOUT4# 166 158 M_B_DQ48
8 M_CLKOUT0# CK0 DQ50 8 M_CLKOUT4# CK1 DQ52
M_CLKOUT1 164 175 M_A_DQ50 160 M_B_DQ52 C607 0.1U_4
8 M_CLKOUT1 CK1 DQ51 DQ53
M_CLKOUT1# 166 158 M_A_DQ52 79 174 M_B_DQ54
8 M_CLKOUT1# CK1 DQ52 8,16 M_CKE2 CKE0 DQ54
160 M_A_DQ53 M_CLKOUT3 80 176 M_B_DQ55 C608 0.1U_4
DQ53 8,16 M_CKE3 CKE1 DQ55
79 174 M_A_DQ54 179 M_B_DQ56
8,16 M_CKE0 CKE0 DQ54 DQ56
M_CLKOUT0 80 176 M_A_DQ51 108 181 M_B_DQ63 C609 0.1U_4
8,16 M_CKE1 CKE1 DQ55 9,16 M_B_RAS# RAS DQ57
179 M_A_DQ60 C610 113 189 M_B_DQ57
DQ56 9,16 M_B_CAS# CAS DQ58
108 181 M_A_DQ57 *10P 109 191 M_B_DQ59 C611 0.1U_4
9,16 M_A_RAS# RAS DQ57 9,16 M_B_WE# WE DQ59

SO-DIMM
C612 113 189 M_A_DQ58 M_CLKOUT3# 110 180 M_B_DQ60
9,16 M_A_CAS# CAS DQ58 8,16 M_CS#2 S0 DQ60
*10P 109 191 M_A_DQ59 115 182 M_B_DQ61 C613 0.1U_4
9,16 M_A_WE# WE DQ59 8,16 M_CS#3 S1 DQ61
SO-DIMM

M_CLKOUT0# 110 180 M_A_DQ56 M_CLKOUT4 192 M_B_DQ58


8,16 M_CS#0 S0 DQ60 DQ62
115 182 M_A_DQ61 114 194 M_B_DQ62 C614 0.1U_4
2 8,16 M_CS#1 S1 DQ61 8,16 M_ODT2 ODT0 DQ63 2
M_CLKOUT1 192 M_A_DQ62 119
DQ62 8,16 M_ODT3 ODT1
114 194 M_A_DQ63 C615 50 C616 0.1U_4
8,16 M_ODT0 ODT0 DQ63 NC1
119 *10P R648 0_4 198 69
8,16 M_ODT1 ODT1 SA0 NC2
C617 50 M_CLKOUT4# R649 10K_4 200 83 C618 0.1U_4
*10P NC1 +3VRUN SA1 NC3
198 SA0 NC2 69 NC4 120
M_CLKOUT1# 200 83 CGDAT_SMB 195 163 C619 0.1U_4
SA1 NC3 CGCLK_SMB SDA NC/TEST
NC4 120 197 SCL
CGDAT_SMB 195 163 C621 0.1U_4
17 CGDAT_SMB SDA NC/TEST
CGCLK_SMB 197 +3VRUN C620 0.1U_4 199
17 CGCLK_SMB SCL VDDspd C623 0.1U_4
C622 0.1U_4 199 C624 0.1U_4 MVREF_DIM 1 196
VDDspd 1.8VSUS VREF VSS56
+3VRUN 193 C625 0.1U_4
VSS55
2

C626 0.1U_4 MVREF_DIM 1 196 2 190


1.8VSUS VREF VSS56
VSS55 193 C627 C628 3
VSS0
VSS1 (H=9.2) VSS54
VSS53 187 C629 0.1U_4
2

2 190 2.2U/10V/X5R 0.1U_4 8 184


VSS0 VSS54 VSS2 VSS52
C630 C631
(H=5.2) C632 0.1U_4
1

3 VSS1 VSS53 187 9 VSS3 VSS51 183


2.2U/10V/X5R 0.1U_4 8 184 12 178
VSS2 VSS52 VSS4 VSS50 C633 0.1U_4
1

9 VSS3 VSS51 183 15 VSS5 VSS49 177


12 VSS4 VSS50 178 18 VSS6 VSS48 172
15 177 21 171 C634 0.1U_4
VSS5 VSS49 1.8VSUS VSS7 VSS47
18 VSS6 VSS48 172 24 VSS8 VSS46 168
21 171 27 165 C635 0.1U_4
VSS7 VSS47 VSS9 VSS45
24 VSS8 VSS46 168 28 VSS10 VSS44 162
27 VSS9 VSS45 165 33 VSS11 VSS43 161
28 162 R650 34 156
VSS10 VSS44 VSS12 VSS42
33 VSS11 VSS43 161 39 VSS13 VSS41 155
34 156 1K/F_4 40 150
VSS12 VSS42 VSS14 VSS40
39 VSS13 VSS41 155 41 VSS15 VSS39 149
1 40 150 MVREF_DIM 42 145 1
VSS14 VSS40 VSS16 VSS38
41 VSS15 VSS39 149 47 VSS17 VSS37 144
42 145 48 139
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS16 VSS38 R651 VSS18 VSS36
47 VSS17 VSS37 144 53 VSS19 VSS35 138
C636
48 139 54 133
PROJECT : ED3
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33

VSS18 VSS36 1U/6.3V/X5R 1K/F_4 VSS20 VSS34


53 VSS19 VSS35 138
121
122
127
128
132
54 133
Quanta Computer Inc.
59
60
65
66
71
72
77
78

VSS20 VSS34
121
122
127
128
132
59
60
65
66
71
72
77
78

DDRII_SODIMM_R DDRII_SODIMM_R Size Document Number Rev


DDRII SO-DIMM 200P A3A
1.This part should not contain any substances which are specified in SS-00259-1
2.Purchase ink, paint, wire rods and molding resins only from the business partners that Sony approves as Green Partners. Date: Wednesday, June 15, 2005 Sheet 15 of 38

A B C D E
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

VTT_MEM

R617 56_4
1.8VSUS 8,15 M_CKE0
R618 56_4
8,15 M_CKE1
R619 56_4
8,15 M_CKE2
A R620 56_4 A
8,15 M_CKE3
R621 56_4
8,15 M_ODT0

1
C586 R622 56_4
8,15 M_ODT1
+5VSUS R623 56_4
U45 8,15 M_ODT2
10U/6.3V/X5R R624 56_4
8,15 M_ODT3
C590 2.2U/10V/X5R
2 1 VDDSSNS VIN 10 1 2 9,15 M_A_BS#0
M_A_BS#0 R625 56_4
M_A_BS#1 R626 56_4
9,15 M_A_BS#1
2 9 M_A_BS#2 R627 56_4
VLDOIN S5 SUSON 32,34,35,36 9,15 M_A_BS#2

51100
3 8 M_A_WE# R628 56_4
VTT_MEM VTT GND 9,15 M_A_WE#
M_A_CAS# R629 56_4
9,15 M_A_CAS#
4 7 M_A_RAS# R630 56_4
PGND S3 MAINON 32,34,36 9,15 M_A_RAS#
R645 0_4
2 1 5 6 M_B_BS#0 R631 56_4

GND
VTTSNS VTTREF 9,15 M_B_BS#0
M_B_BS#1 R632 56_4
9,15 M_B_BS#1
1

C637 C596 M_B_BS#2 R633 56_4


9,15 M_B_BS#2
1

1
C595 *1000P_4 TPS51100

11
10U/6.3V/X5R C597 M_B_WE# R634 56_4
9,15 M_B_WE#
10U/6.3V/X5R R646 0_4 0.1U_4 M_B_CAS# R635 56_4
2

9,15 M_B_CAS#
M_B_RAS# R636 56_4
2

2
2 1 9,15 M_B_RAS#
R637 56_4
51100 8,15 M_CS#0
R638 56_4
51100 8,15 M_CS#1
R639 56_4
8,15 M_CS#2
R640 56_4
8,15 M_CS#3

B B

VTT_MEM
9,15 M_A_A[0..13]
C565 *10U/6.3V/X5R M_A_A13 R641 56_4
M_A_A10 R642 56_4
C566 *10U/6.3V/X5R M_A_A0 RP18 1 2 0404-56X2
M_A_A2 3 4
C567 0.1U_4 M_A_A4 RP19 1 2 0404-56X2
C568 0.1U_4 M_A_A6 3 4
C569 0.1U_4 M_A_A7 RP20 1 2 0404-56X2
C570 0.1U_4 M_A_A11 3 4
C571 0.1U_4 M_A_A9 RP21 1 2 0404-56X2
C572 0.1U_4 M_A_A12 3 4
C573 0.1U_4 M_A_A3 RP22 1 2 0404-56X2
C574 0.1U_4 M_A_A1 3 4
C575 0.1U_4 M_A_A8 RP23 1 2 0404-56X2
C576 *0.1U_4 M_A_A5 3 4
C577 *0.1U_4
C578 0.1U_4
C579 0.1U_4
C580 0.1U_4
C581 0.1U_4
C582 0.1U_4
9,15 M_B_A[0..13]
C583 *0.1U_4 M_B_A0 RP24 1 2 0404-56X2
C584 0.1U_4 M_B_A2 3 4
C585 0.1U_4 M_B_A4 RP25 1 2 0404-56X2
C587 0.1U_4 M_B_A6 3 4
C588 *0.1U_4 M_B_A11 RP26 1 2 0404-56X2
C589 0.1U_4 M_B_A7 3 4
C591 0.1U_4 M_B_A3 RP27 1 2 0404-56X2
C C592 0.1U_4 M_B_A1 C
3 4
C593 *0.1U_4 M_B_A9 RP28 1 2 0404-56X2
C594 0.1U_4 M_B_A5 3 4
M_B_A12 RP29 1 2 0404-56X2
M_B_A8 3 4
M_B_A10 R643 56_4
M_B_A13 R644 56_4

D D

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
DDR TERMINATION A3A
Date: Wednesday, June 15, 2005 Sheet 16 of 38
1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

FSC FSB FSA CPU SRC PCI Place these termination to close
1 0 1 100 100 33 DothanA DothanB CK410M. Cause those Pin-out is
0 0 1 133 100 33 R137 Install NC for Current-Mode.
0 1 1 166 100 33 R330 NC NC
0 1 0 200 100 33 R157 1 2 49.9/F
R160 1 2 49.9/F
0 0 0 266 100 33 R136 24
A A
C131 33P VDDA_CR R151 1 2 49.9/F 1 2 14M_AC97 28
1 0 0 333 100 33 2 1 XIN R154 1 2 49.9/F
R131 24

1
1 1 0 400 100 33 <500mil 1 2 14M_SUPERIO 31
Y2 U22

37

38
1 1 1 RSVD 100 33 R141 24
14.318MHZ 50 52 14M_REF 1 2

VDDA

VSSA
XTAL_IN REF 14M_ICH 13
C137 33P

1
2 1 XOUT 49 44 R_HCLK_CPU 4 3 RP2
XTAL_OUT CPU0 HCLK_CPU 5
43 R_HCLK_CPU# 2 1 C161 C171
CPU0# HCLK_CPU# 5
4P2R-S-33 *10P_NC *10P_NC
CLK_EN# R_HCLK_MCH 3 RP4

2
33 CLK_EN# 10 VTT_PWRGD#/PD# CPU1 41 4 HCLK_MCH 7
55 40 R_HCLK_MCH# 2 1
13 STP_PCI# PCI_STOP# CPU1# HCLK_MCH# 7
54 4P2R-S-33
6,13,33 STP_CPU# CPU_STOP#

1
CPU2_ITP/SRC7 36
35 C529
CPU2#_ITP/SRC7#
*10P
SMbus address D2 CGCLK_SMB

2
CGDAT_SMB
46 SCLK CK-410M SRC6 33
47 SDATA SRC6# 32
R135 1 2 10
23 TI_FLASH_48M
R140 1 2 10 SELPSB0_CLK 12 31 R_MCH_3GPLL 4 3 RP6 CLK_MCH_3GPLL
13 CLK48_USB FSA/USB_48 SRC5 CLK_MCH_3GPLL 8
SELPSB1_CLK 16 30 R_MCH_3GPLL# 2 1 CLK_MCH_3GPLL#
6,8 SELPSB1_CLK FSB/TEST_MODE SRC5# CLK_MCH_3GPLL# 8
SELPSB2_CLK R427 4.7K_4 53 4P2R-S-33
6,8 SELPSB2_CLK FSC/TEST_SEL
26 R_PCIE_SATA 2 1 RP8 CLK_PCIE_SATA
SRC4 CLK_PCIE_SATA 12
VDDREF_CR 48 27 R_PCIE_SATA# 4 3 CLK_PCIE_SATA#
VDD_REF SRC4# CLK_PCIE_SATA# 12
R148 *10K_NC R150 10K-0402 CLKVDD 42 4P2R-S-33
VDD_CPU
1 2 SELPSB0_CLK 1 2 +3VRUN SRC3 24 R_PCIE_ICH 2 1 RP7 CLK_PCIE_ICH
CLK_PCIE_ICH 13
CLKVDD1 1 25 R_PCIE_ICH# 4 3 CLK_PCIE_ICH#
VDD_PCI_1 SRC3# CLK_PCIE_ICH# 13
R158 *0_NC R156 *0_NC 7 4P2R-S-33
VDD_PCI_2
B 1 2 SELPSB1_CLK 1 2 +VCCP SRC2 22 B
CLKVDD 21 23
R137 *0_NC R330 *10K_NC VDD_SRC0 SRC2#
28 VDD_SRC1
1 2 SELPSB2_CLK 1 2 34 VDD_SRC2 SRC1 19
SRC1# 20
VDD48_CR 11 VDD_48 R_DREFSSCLK
FSB and FSC are directly SRC0 17 2 1 RP5 DREFSSCLK
DREFSSCLK 8
1 2 IREF 39 18 R_DREFSSCLK# 4 3 DREFSSCLK#
controlled by Dothan-B R332 475/F IREF SRC0# 4P2R-S-33
DREFSSCLK# 8
5 R_PCLK_LAN R125 1 2 33_4
PCI5 PCLK_LAN 25
Iref=5mA, 4 R_PCLK_PCM R138 1 2 33_4
PCI4 PCLK_PCM 21
4P2R-S-33 3 R_PCLK_SIO R134 1 2 33_4 CK-410M PIN

GND_PCI_1
GND_PCI_2
Ioh=4*Iref PCI3 PCLK_551 32

GND_SRC
GND_CPU
3 R_DOT96 R_PCLK_MINI R132 1 2 33_4

GND_REF
8 DOT96 4 14 56 PCLK_MINI 24
DOT96 PCI2 35,36 Strap

GND_48
8 DOT96# 2 1 R_DOT96# 15 DOT96# PCIF1 9 R_PCLK_ICH R146 1 2 33_4 PCLK_ICH 12
8 PCIF0 Pin. for ITP or
RP3 PCIF0/ITP_EN
1 2 PCIE using.
+3VRUN
R130 10K-0402

13
51

29
45
ICS954206/CY284XX

2
6
+3VRUN 250mA ( MAX. )
R123 1 2 33_4 PCLK_LPC 31
2
4

RP1 CT_0505: Change footprint to Tie to VCC (Logic 1) is for ITP using.
Connect 4P2R-S-10K Connect DDR
TSSOP56-8_1-5 from Tie to GND (Logic 0) is for PCIE using.
ICH6 Module's
2

Q43
TSSOP56-240
SMB SMB
PDAT_SMB CGDAT_SMB L24
1
3

C 13 PDAT_SMB 3 1 CGDAT_SMB 15 C
2 1 CLKVDD1
+3VRUN
CT_0229: Change ACB2012L-120
RHU002N06 120 ohms@100Mhz
These are for MOS to

1
C168 C159 C152 CLK_MCH_3GPLL R164 1 2 49.9/F
backdrive +3VRUN RHU002N06 due
.047U/10V_4 .047U/10V_4 4.7U/10V_8
CLK_MCH_3GPLL# R166 1 2 49.9/F

issue to layout CLK_PCIE_SATA R177 1 2 49.9/F

2
2

Q44 concern. CLK_PCIE_SATA# R184 1 2 49.9/F

PCLK_SMB 3 1 CGCLK_SMB CLK_PCIE_ICH R170 1 2 49.9/F


13 PCLK_SMB CGCLK_SMB 15
R121 2.2 CLK_PCIE_ICH# R172 1 2 49.9/F
1 2 VDD48_CR
RHU002N06 DREFSSCLK R159 1 2 49.9/F

1
C174 C147 DREFSSCLK# R162 1 2 49.9/F

.047U/10V_4 4.7U/10V_8 DOT96 R153 1 2 49.9/F


DOT96# R155 1 2 49.9/F

2
L30
+3VRUN 1 2 .047U/10V_4 .047U/10V_4 CLKVDD
ACB2012L-120
1

120 ohms@100Mhz C184 C199 C207 C197 C210 R142 1R


1 2 VDDREF_CR Place these termination to
close CK410M. Cause those

1
C172
2

.047U/10V_4 .047U/10V_4 4.7U/10V_8


.047U/10V_4 Pin-out is for Current-Mode.

2
R163 2.2
D 1 2 VDDA_CR D
1

C194 C433
.047U/10V_4 4.7U/10V_8 Bypass CAPs need to
follow Bypass CAP.
2

Routing Rule, no vias PROJECT : ED3


between CAP to CHIPSET Quanta Computer Inc.
VCC Pin or GND. Size Document Number Rev
CLOCK Generator A3A

Date: Wednesday, June 15, 2005 Sheet 17 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
5 4 3 2 1

CN3

31 30 EDIDCLK
32 29 EDIDDATA
33 28
34 27
26
25 VIN
24
D 23 D
+3VALW +3VRUN 22 INT_TXLCLKOUT+
21 INT_TXLCLKOUT+ 8
D10 INT_TXLCLKOUT-
20 INT_TXLCLKOUT- 8
C85 C89
19 INT_TXLOUT2+
13 LIDICH# 2 1 18 INT_TXLOUT2+ 8 4.7U/25V-1210 1000P_4
R65 INT_TXLOUT2-
R309 17 INT_TXLOUT2- 8
BAS316 4.7K_4
100K_4 16 INT_TXLOUT1+
15 INT_TXLOUT1+ 8
D11 INT_TXLOUT1-
14 INT_TXLOUT1- 8
LID551# 1 2 DISPON
32 LID551# 13 INT_TXLOUT0+ INT_TXLOUT0+ 8 +3VRUN
BAS316 12 INT_TXLOUT0-
11 INT_TXLOUT0- 8
C82
.1U/10V_4 10 DISPON
SW4 9
8 BRIGHT 32
LCDID1
7 LCDID1 13 +3VRUN
3 1 LCDID0 R75 R73
6 LCDID0 13
4 2 10K-0402 10K-0402
5 EEDID_3V
MPU-101-6 4 LCD3V
+2_5VRUN 3
2

3
C84 LCDID0
LID-SWITCH Q37 1
.1U/10V_4
LCDID1
2N7002
2 LCD_CON30
2

INT_BLON

1
C
8 INT_BLON 1 3 C
2

R308
Q11
100K_4
2N7002
1

+2_5VRUN +2_5VRUN +3VRUN


32 EC_FPBACK#

R322 R323
2.2K_4 2.2K_4

2
1 3 EDIDCLK
8 I_EDIDCLK

BSS138 Q39

+2_5VRUN +2_5VRUN +3VRUN

B B

R320 R321
2.2K_4 2.2K_4

2
1 3 EDIDDATA
8 I_EDIDDATA

BSS138 Q38

+2_5VRUN +3VRUN +3VRUN


2

R329
10K-0402 TRACE
80MIL
2

C128 U20
1

INT_DISP_ON 1 3 L21 0_8


8 INT_DISP_ON
.1U/10V_4 6 1 LCD3V_1 LCD3V
Q42 2N7002 IN OUT
4 2 C127 C129 C98 C100 C111
IN GND
3 5 .1U/10V_4 T10U/10V .1U/10V_4 .01U/16V_4 T10U/10V
ON/OFF GND

A R328 AAT4280_3 A
3

Q57
2N7002 *0_4_NC
EC_FPBACK# 2
PROJECT : ED3
Quanta Computer Inc.
1

Size Document Number Rev


LCD Connector A3A

Date: Wednesday, June 15, 2005 Sheet 18 of 38


5 4 3 2 1
笔记本图纸QQ107203753
A B C D E

SUSLED_BLUE#
32 SUSLED_BLUE#

2
Q58

*PDTC144EU
+5VSUS R446 *10K_6 3 1

2
Q35

*PDTC144EU
R447 *200_6 3 1 SUS_BLUE#
4 4

SUSLED_AMBER#
Reserved for EMI now pop 0ohm
32 SUSLED_AMBER#

2
Q56 L54 2 BK1005HS121
1
31 VGA_RED
*PDTC144EU
+5VSUS R448 *10K_6 3 1 L55 2 BK1005HS121
1
31 VGA_GRN

2
Q36
L56 2 BK1005HS121
1
31 VGA_BLU
*PDTC144EU
R449 *200_6 3 1 SUS_AMBER#

PAD25 PAD6 PAD4


+5VRUN C561 *10P_4 1 1 1

+3VRUN
C562 *10P_4 *SU-27_NC *SU-27_NC *SU-27_NC
U26
C218 AHCT1G125DCH R300 PAD28
2 1 C563 *10P_4 CN5 1
10K_4

13
5 1
1
.1U/10V_4 +5VRUN 2 *SU-27_NC
3
VGA_HSYNC R453 2 4 PAD26
8 INT_VSYNC 2 4 1 39 5
6 1
3 3
31 PR_VSYNC 7
31 PR_HSYNC 8
2 31 PR_DDCCLK 9
*SU-27_NC
R167
31 PR_DDCDAT 10
1K-0402
12,31,32 M_SEN# 11

14
12
5

3800-12
8 INT_HSYNC VGA_VSYNC 2 4 R454 2 1 39
PAD23
1
U24
AHCT1G125DCH +2_5VRUN +5VRUN
*SU-27_NC
PAD3 PAD2
1 1
R180 R175 R179 R178
2.2K_4 2.2K_4 Q23 2.2K_4 2.2K_4
2N7002 *SU-27_NC *SU-27_NC

8 INT_DDCCLK 1 3
PAD1
1

R171 0_4 +2_5VRUN

2
*SU-27_NC
2
PAD5
2 2
8 INT_DDCDAT 1 3 1

Q24 *SU-27_NC
2N7002

*H-TS9BS8I3_8D2_8P2
HOLE19 HOLE11 HOLE15 HOLE2 HOLE3 HOLE23
*H-C315I150D110P2 *H-TC8BC9I3_8D2_8P2 *H-C354I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2

TV-Board fixing Nut PAD7 PAD24


1 1
HOLE8 HOLE5
*H-C315I150D110P2 *H-C315I150D110P2
1

*SU-27_NC *SU-27_NC

HOLE20 HOLE4 HOLE16 HOLE17 HOLE12 HOLE22


*H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2
1

1
1

CPU SOCKET
1 HOLE6 HOLE7 HOLE9 1
H-C236D157P2 H-C236D157P2 H-C236D157P2
HOLE14 HOLE13 HOLE10 HOLE21 HOLE18 HOLE1
*H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2

PROJECT : ED3
1

Quanta Computer Inc.


1

Size Document Number Rev


CRT & TV Connector A3A

Date: Wednesday, June 15, 2005 Sheet 19 of 38


A B C D E
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

PATA HDD
SATA HDD 1.5A -IDERST
PDD7
HDD_CON

44 43 PDD8
PDD[0..15]
PDD[0..15] 12
PDD6 42 41 PDD9 PDCS1#
40 39 PDCS1# 12
PDD5 PDD10 PDCS3#
CN20 38 37 PDCS3# 12
+5VHDD L29 2 1 +5VRUN +3VRUN PDD4 PDD11 PDA0
36 35 PDA0 12
PDD3 PDD12 PDA1
A 34 33 PDA1 12 A
BLM18PG181SN1 PDD2 PDD13 PDA2
32 31 PDA2 12

2
PDD1 PDD14 PDIOR#
22 30 29 PDIOR# 12
R186 PDD0 PDD15 PDIOW#
21 28 27 PDIOW# 12
+3VHDD L31 2 1 +3VRUN PIORDY
20 26 25 PIORDY 12
4.7K_4 PDDREQ POP FOR MASTER IRQ14
19 24 23 IRQ14 12
BLM18PG181SN1 PDIOW# PDDREQ
18 22 21 PDDREQ 12
PDIOR# R187 PDDACK#

1
17 20 19 PDDACK# 12
PIORDY CSEL1 1 2
16 PDDACK# 18 17
15 +5VHDD 16 15
IRQ14 470_4 R182
14 PDA1 14 13 PDIAG# PDDREQ
13 12 11 1 2
PDA0 PDA2
12 PDCS1# 10 9 PDCS3# *5.6K_NC
11 HDDLED# 8 7
10 12,24 HDDLED# 6 5
+3VHDD
9 4 3 +5VHDD
8 R174 2 1

C435 1 2 *3900P 10K-0402 CN19


7 SATA_RXP0_C 12
SATA

SATA_TXP0
6 SATA_TXN0 C436 *3900P
5 1 2 SATA_RXN0_C 12
4 +5VHDD
SATA_RXN0
3 SATA_RXN0 12 +3VRUN +5VRUN
SATA_RXP0 C215 C214
B 2 SATA_RXP0 12 B
C221 C220
1 .1U/16V_6 1000P_4 4.7U_10V *10U_10V_NC

*SUYIN-200138_HDD

2
R192
R191 0_4 10K-0402
13 RST_HDD#

+5VHDD R190 *0_4_NC 1 3 -IDERST


8,12,13,31,32 PLTRST#

Q27

ODD DTC144EU

R410 *0_4 R409 CN25


13 ICH_GPO19 *10K-0402 1 2
-IDERST 3 4 PDD8
PDD7 5 6 PDD9
PDD6 7 8 PDD10
PDD5 9 10 PDD11
PDD4 11 12 PDD12
PDD3 13 14 PDD13
C C
15 16
2

PDD2 PDD14
PDD1 17 18 PDD15
CDLED# HDDLED# PDD0 19 20 PDDREQ
1 3 3 1 21 22 PDIOR#
PDIOW# 23 24
PIORDY 25 26 PDDACK#
Q48 Q49 IRQ14 27 28
*2N7002 *2N7002 PDA1 29 30 PDIAG#
PDA0 31 32 PDA2
PDCS1# 33 34 PDCS3#
CDLED# 35 36
R469 24 CDLED# 37 38
+5VODD 39 40
41 42 +5VODD
R281 43 44
0-0402 RCSEL 45 46 C385 C384 C386 C387
*10K-0402 47 48

51
52
49 50
2 .1U/10V_4 1000P_4 1000P_4 150U/6.3V_7
R282 CON50_LP

51
52
+5VODD *470_NC

NC FOR SLAVE
1

D D

L37
+5VODD 2 1 +5VRUN PROJECT : ED3
BLM18PG121SN
Quanta Computer Inc.
2.0A Size Document Number Rev
HDD & CDROM Connector A3A

Date: Wednesday, June 15, 2005 Sheet 20 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
8 7 6 5 4 3 2 1

D D

U27B
U27A P19 B_D3/B_CAD0 A_D3/CAD0 E14 CAD0 22
+3VSUS P17 B14
B_D4/B_CAD1 A_D4/CAD1 CAD1 22
AD[0..31] P18 A14
12,24,25 AD[0..31] B_D11/B_CAD2 A_D11/CAD2 CAD2 22
AD0 W13 W3 C451 .1U/10V_4 M13 E13
AD0 VCCP0 B_D5/B_CAD3 A_D5/CAD3 CAD3 22
AD1 N11 W10 C461 .1U/10V_4 N15 C14
AD1 VCCP1 B_D12/B_CAD4 A_D12/CAD4 CAD4 22
AD2 U12 N18 A13
AD2 B_D6/B_CAD5 A_D6/CAD5 CAD5 22
AD3 V12 N19 F12
AD3 B_D13/B_CAD6 A_D13/CAD6 CAD6 22
AD4 W12 H2 R372 0_4 M15 C13
AD4 VR_EN# B_D7/B_CAD7 A_D7/CAD7 CAD7 22
AD5 R11 M14 E12
AD5 B_D15/B_CAD8 A_D15/CAD8 CAD8 22
if -VR_EN pull-low , AD6
AD7
U11
V11
AD6 VR_PORT0 H1
M19
C465
C250
.1U/10V_4
.1U/10V_4
M17
L19
B_A10/B_CAD9 A_A10/CAD9 A12
B12
CAD9 22
AD7 VR_PORT1 B_CE2#/B_CAD10 A_CE2#/CAD10 CAD10 22
VR_PORT and VDPLL_15 AD8
AD9
N10 AD8 +3VSUS
L18 B_OE#/B_CAD11 A_OE#/CAD11 C12 CAD11 22
R10 L17 B11
will be 1.5V outpin. AD9 B_A11/B_CAD12 A_A11/CAD12 CAD12 22
AD10 U10 H8 C338 .1U/10V_4 L15 C11
AD10 VCC0 B_IORD#/B_CAD13 A_IORD#/CAD13 CAD13 22
AD11 V10 H9 C459 .1U/10V_4 K18 G11
AD11 VCC1 B_A9/B_CAD14 A_A9/CAD14 CAD14 22
AD12 N9 H10 C462 .1U/10V_4 L13 E11
AD12 VCC2 B_IOWR#/B_CAD15 A_IOWR#/CAD15 CAD15 22
AD13 R9 H11 C456 .1U/10V_4 K17 A10
AD13 VCC3 B_A17/B_CAD16 A_A17/CAD16 CAD16 22

PCI BUS
AD14 U9 H12 C452 .1U/10V_4 G17 A7
AD14 VCC4 B_A24/B_CAD17 A_A24/CAD17 CAD17 22
AD15 V9 J8 C449 .1U/10V_4 H15 B7

PC CARD / CARD BUS INTERFACE


AD15 VCC5 B_A7/B_CAD18 A_A7/CAD18 CAD18 22
AD16 R7 J12 C464 .1U/10V_4 H14 C7
AD16 VCC6 B_A25/B_CAD19 A_A25/CAD19 CAD19 22
AD17 U6 K8 C315 .1U/10V_4 F18 G9
AD17 VCC7 B_A6/B_CAD20 A_A6/CAD20 CAD20 22
AD18 V6 K12 C457 .1U/10V_4 G15 B6
AD18 VCC8 B_A5/B_CAD21 A_A5/CAD21 CAD21 22
AD19 W6 M7 C342 .1U/10V_4 E19 C6
AD19 VCC9 B_A4/B_CAD22 A_A4/CAD22 CAD22 22
AD20 P6 M9 C450 .1U/10V_4 E17 B5
AD20 VCC10 B_A3/B_CAD23 A_A3/CAD23 CAD23 22
AD21 R6 M10 C458 .1U/10V_4 D18 E6
AD21 VCC11 B_A2/B_CAD24 A_A2/CAD24 CAD24 22
C AD22 U5 M12 C447 .1U/10V_4 C19 A4 C
AD22 VCC12 B_A1/B_CAD25 A_A1/CAD25 CAD25 22

CORE LOGIC
AD23 V5 N7 C453 .1U/10V_4 D17 B4
AD23 VCC13 B_A0/B_CAD26 A_A0/CAD26 CAD26 22
AD24 V4 C16 B1
AD24 B_D0/B_CAD27 A_D0/CAD27 CAD27 22
AD25 U4 A17 C2
AD25 B_D8/B_CAD28 A_D8/CAD28 CAD28 22
AD26

PWR
V3 AD26 GND0 G7 B16 B_D1/B_CAD29 A_D1/CAD29 D3 CAD29 22
AD27 W2 G8 A16 C1
AD27 GND1 B_D9/B_CAD30 A_D9/CAD30 CAD30 22
AD28 U3 G13 B15 D1
AD28 GND2 B_D10/B_CAD31 A_D10/CAD31 CAD31 22
AD29 V2 H13
AD30 AD29 GND3
V1 AD30 GND4 J9 M18 B_CE1#/B_CCBE0# A_CE1#/CCBE0# G12 CCBE0# 22
AD31 U2 J10 K14 B10
AD31 GND5 B_A8/B_CCBE1# A_A8/CCBE1# CCBE1# 22
GND6 J11 G18 B_A12/B_CCBE2# A_A12/CCBE2# F9 CCBE2# 22
12,24,25 C/BE0# C/BE0# W11 K9 F15 C5
C/BE0# GND7 B_REG#/B_CCBE3# A_REG#/CCBE3# CCBE3# 22
12,24,25 C/BE1# C/BE1# W9 K10
C/BE2# C/BE1# GND8
W7 C/BE2# GND9 K11 H18 B_A16/B_CCLK A_A16/CCLK E9 SKTAPCLKR
12,24,25 C/BE2# C/BE3# W4 C/BE3# GND10 L8 G19 B_A23/B_CFRAME# A_A23/CFRAME# C8 CFRAME# 22
12,24,25 C/BE3#
GND11 L9 J13 B_A15/B_CIRDY# A_A15/CIRDY# B8 CIRDY# 22
AD17 R259 100/F W5 L10 H17 A8
IDSEL GND12 B_A22/B_CTRDY# A_A22/CTRDY# CTRDY# 22
C326 *10P_4 R246 *68_4 L11 H19 C9
GND13 B_A21/B_CDEVSEL# A_A21/CDEVSEL# CDEVSEL# 22
P5 L12 +3VSUS J17 A9
17 PCLK_PCM PCLK GND14 B_A20/B_CSTOP# A_A20/CSTOP# CSTOP# 22
GND15 M8 K13 B_A13/B_CPAR A_A13/CPAR G10 CPAR 22
12,24,25 PAR PAR P9 J18 F10
PAR B_A14/B_CPERR# A_A14/CPERR# CPERR# 22
12,24,25 SERR# SERR# U8 B18 B3
SERR# B_WAIT#/B_CSERR# A_WAIT#/CSERR# CSERR# 22
12,24,25 PERR# PERR# V8 N1 R450 E18 E7
PERR# DATA TPSDATA 22 B_INPACK#/B_CREQ# A_INPACK#/CREQ# CREQ# 22
12,24,25 STOP# STOP# W8 L6 J15 B9
STOP# CLOCK TPSCLOCK 22 B_WE#/B_CGNT# A_WE#/CGNT# CGNT# 22
12,24,25 IRDY# IRDY# U7 N2 100K B19 C4
IRDY# LATCH TPSLATCH 22 B_READY/B_CINT# A_READY/CINT# CINT# 22
12,24,25 TRDY# TRDY# R8 D25 *1SS355_NC J19 E10
TRDY# B_A19/B_CBLOCK# A_A19/CBLOCK# CBLOCK# 22
PCIRST# R3 GRST# 2 1 A18 C3
12,24,25 PCIRST# PRST# GRST#_7411 32 B_WP/B_CCLKRUN# A_WP/CCLKRUN# CCLKRUN# 22
12,24,25 DEVSEL# DEVSEL# N8 T1 F17 A6
DEVSEL# GRST# B_RESET/B_CRST# A_RESET/CRST# CRST# 22
12,24,25 FRAME# FRAME# V7 1 2 *0_NC PCIRST# C547 N17 B13
FRAME# B_D14/B_CRSV A_D14/CRSV A_D14/CRSV 22
T2 R441 K15 C10
B 12 GNT1# GNT# B_A18/B_CRSV A_A18/CRSV A_A18/CRSV 22 B
U1 0.22U C18 A3
12 REQ1# REQ# B_VS1#/B_CVS1 A_VS1#/CVS1 CVS1 22
MFUNC0 N3 PIRQA# 12,25 F19 B_VS2#/B_CVS2 A_VS2#/CVS2 E8 CVS2 22
MFUNC1 M5 PIRQC# 12 IOPJ2-7 of N13 B_CD1#/B_CCD1# A_CD1#/CCD1# C15 CCD1# 22
P1 PIRQD# 12,24 B17 E5 CCD2# 22
MFUNC2
P2
NS97551 have C17
B_CD2#/B_CCD2# A_CD2#/CCD2#
A2
MFUNC3 SERIRQ 12,13,24,31,32 B_BVD2/B_CAUDIO A_BVD2/CAUDIO CAUDIO 22
12,24,25,31 PME# T3 RIOUT#/PME# MFUNC4 P3 PLOCK# 12 internally F14 B_BVD1/B_CSTSCHG A_BVD1/CSTSCHG B2 CSTSCHG 22
L7 N5 CARD_LED 22,24
R2
SPKROUT MFUNC5
R1
weak pull up A15 D2
SUSPEND# MFUNC6 +3VSUS B_D2/B_CRSV A_D2/CRSV A_D2/CRSV 22
R270 10K-0603
Multifunction& VCCCB
+3VSUS Miscellaneous D19 A5 CB1 .1U/10V_4
VCCB0 VCCA0 CB2 .1U/10V_4
K19 VCCB1 VCCA1 A11
PCI7411
R368
PCI7411
10K-0603
D22
28 PCMSPK 2 1 1SS355 SKTAPCLKR R365 10_4
CCLK 22
C460 *10P_6_NC
R376 10K-0402
+3VSUS
R371 1 2 *0_NC
13,31 LPCPD#
Item74

GRST#
GRST# 22
A A

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
PCMCIA TI7411 A3A

Date: Wednesday, June 15, 2005 Sheet 21 of 38


8 7 6 5 4 3 2 1
笔记本图纸QQ107203753
8 7 6 5 4 3 2 1

CN4 PCMCIA SOCKET MC_PWR_CTR low U27C

1 active(default) MC_CTRL0# F1
GND MC_PWR_CTRL_0
21 CAD0 2 D3 - CAD0 , or change T68 F2 MC_PWR_CTRL_1
21 CAD1 3 D4 - CAD1
4 register to high
21 CAD3 D5 - CAD3
5 active -MSCD F5
21 CAD5 D6 - CAD5 MS_CD#
6 -SDCD E3
21 CAD7 D7 - CAD7 SD_CD#
7 -SMCD F6
21 CCBE0# CE1- CCBE0 SM_CD#
21 CAD9 8 A10- CAD9
D 21 CAD11 9 OE - CAD11 D
10 MSCLK/SDCLK/-SMELWP R375 33_4MSCLK/SDCLK/-SMELWP_PCI7411 G5
21 CAD12 A11- CAD12 MS_CLK//SD_CLK//SM_EL_WP#
11 MSBS/SDCMD/-SMWE F3
21 CAD14 A9 - CAD14 MS_BS//SD_CMD//SM_WE#
12 MS/SD/SM D3 H5
21 CCBE1# A8 - CCBE1 MS_DATA3//SD_DAT3//SM_D3
13 MS/SD/SM D2 G3
21 CPAR A13- CPAR MS_DATA2//SD_DAT2//SM_D2
14 MS/SD/SM D1 G2
21 CPERR# A14- CPERR MS_DATA1//SD_DAT1//SM_D1
15 MS/SD/SM D0 G1
21 CGNT# WE/PGM - CGNT MS_SDIO(DATA0)//SD_DAT0//SM_D0
21 CINT# 16 RDY/BSY,IRQ*INT
VCCCB 17 VCC SM_RE# J5
SM_ALE SM_RE#
VPPCB 18 VPP1 J3 SM_ALE
21 CCLK 19 A16- CCLK
Flash Media Layout Guidelines:
20 SM_D4 H3
21 CIRDY# A15- CIRDY SM_D4
21 SM_D5 J6
21 CCBE2# A12- CCBE2 1. Signal traces should be 60 Ohm +/- 10%. SM_D5
22 SM_D6 J1
21 CAD18 A7 - CAD18 SM_D6
23 2. All signal traces should be routed with equal SM_D7 J2
21 CAD20 A6 - CAD20 SM_D7
24 SD_WP/-SMCE H7
21 CAD21 A5 - CAD21 propagation delay, and with trace lengths as short SD_WP//SM_CE#
21 CAD22 25 A4 - CAD22
21 CAD23 26 as practical. Six For 1 Card
A3 - CAD23 SM_CLE/SC_GPIO0
21 CAD24 27 A2 - CAD24 J7 SM_CLE//SC_GPIO0
28 3. A 56 Ohm damping resistor for MS_CLK and SD_CLK SM_R/B#/SC_RFU K1
21 CAD25 A1 - CAD25 SM_R/B#//SC_RFU
21 CAD26 29 A0 - CAD26 should be placed near the PCI7411 source. T79 K2 SM_PHYS_WP#//SC_FCB
21 CAD27 30 D0 - CAD27
21 CAD29 31 D1 - CAD29 T130 L5 SC_PWR_CTRL
21 A_D2/CRSV 32 D2 - RFU
33 L2
21 CCLKRUN#
34
WP,IOIS16-CKRUN
GND
IRLML5103 T75 SC_CD#
K5
35 GND
Rds(on)=0.6 T78
T69 K3
SC_CLK
SC_RST
21 CCD1# 36 CD1- CCD1
C
21 CAD2 37 D11- CAD2 T77 L1 SC_DATA
C
38 Q46 L3
21 CAD4 D12- CAD4 T131 SC_OC#
39 IRLML5103
21 CAD6 D13- CAD6
40 +3VSUS 1 3 R217 10K-0603 SC_VCC5V K7
21 A_D14/CRSV D14- RFU MB_VCC +5VSUS SC_VCC_5V
21 CAD8 41 D15- CAD8
21 CAD10 42 CE2- CAD10
43 C511 C503 R397 PCI7411
21 CVS1 RFSH,VS*1-CVS1

2
21 CAD13 44 IORD-CAD13
45 +3VSUS R387 8.2K .1U/10V_4 10U_6.3V_8 *100K_NC TPSDATA C141 *10P_4_NC
21 CAD15 IOWR-CAD15
46 TPSCLOCK C142 *10P_4_NC
21 CAD16 A17- CAD16
47 TPSLATCH C143 *10P_4_NC
21 A_A18/CRSV A18- RFU
21 CBLOCK# 48 A19- CBLOCK
21 CSTOP# 49 A20- CSTOP
50 MC_CTRL0# C134 .1U/10V_4
21 CDEVSEL# A21- CDEVSEL +3VSUS
51 VCCCB C133 .1U/10V_4
VCCCB VCC C132 10U_6.3V_8
VPPCB 52 VPP2
53 Q47 VPPCB C135 .1U/10V_4
21 CTRDY# A22- CTRDY
54 *IRLML5103 C136 10U_6.3V_8
21 CFRAME# A23- CFRAME
21 CAD17 55 A24- CAD17 1 3
21 CAD19 56 A25- CAD19
21 CVS2 57 NC - CVS2
21 CRST# 58 RESET-CRST

2
2
21 CSERR# 59
60
WAIT-CSERR D23 D24
TI Erratum
21 CREQ# INPACK-CREQ 21,24 CARD_LED
21 CCBE3# 61 REG- CCBE3 *1SS355 *1SS355
21 CAUDIO 62 BVD2,SP-CAUDIO
21 CSTSCHG 63 BVD1,STSCHG-C* -SDCD

1
64
B
21 CAD28
21 CAD30 65
D8 - CAD28
D9 - CAD30
4 IN1 CARD READER B
21 CAD31
21 CCD2#
66
67
D10- CAD31
CD2- CCD2
(XD,MMC/SD,MS)
68 -SMCD
GND
GND
GND
GND
GND
GND

GND
MB_VCC MB_VCC
SANTA-1306-68P CN10
69
70
71
72
73
74

-SDCD 1 43
MS/SD/SM D2 CD_SD VCC
2 DAT2_SD
MS/SD/SM D3 3
MSBS/SDCMD/-SMWE CD/DAT3_SD
4 CMD_SD VCC_XD 41
5 40 SM_D7
+3VSUS C488 4.7U/10V_8 VSS_SD D7_XD SM_D6
6 VDD_SD D6_XD 39
C491 .1U/10V_4 MSCLK/SDCLK/-SMELWP 7 38 SM_D5
CLK_SD D5_XD SM_D4
8 VSS_SD D4_XD 37
MS/SD/SM D0 9 36 MS/SD/SM D3
U21 MS/SD/SM D1 DAT0_SD D3_XD MS/SD/SM D2
10 DAT1_SD D2_XD 35
+5VSUS 1 24 SD_WP/-SMCE 11 34 MS/SD/SM D1
5V_0 5V_2 +5VSUS WP_SD D1_XD
2 23 12 33 MS/SD/SM D0
TPSDATA 5V_1 NC_4 VSS_MS D0_XD
21 TPSDATA 3 DATA NC_3 22 13 VCC_MS GND_XD 32
TPSCLOCK 4 21 C145 C178 C144 MSCLK/SDCLK/-SMELWP 14 31 MSCLK/SDCLK/-SMELWP
21 TPSCLOCK CLOCK SHDN# SCLK_MS -WP_XD
TPSLATCH 5 20 10U_6.3V_8.1U/10V_4 4.7U/10V_8 MS/SD/SM D3 15 30 MSBS/SDCMD/-SMWE
21 TPSLATCH LATCH 12V_1 RESERVE_MS -WE_XD
6 19 -MSCD 16 29 SM_ALE
NC_1 BVPP/BVCORE MS/SD/SM D2 INS_MS ALE_XD SM_CLE/SC_GPIO0
7 12V_0 BVCC1 18 17 RESERVE_MS CLE_XD 28
VPPCB 8 17 MS/SD/SM D0 18 27 SD_WP/-SMCE
VCCCB AVPP/AVCORE BVCC0 MS/SD/SM D1 SDIO_MS -CE_XD SM_RE#
9 AVCC0 NC_2 16 19 RESERVE_MS -RE_XD 26
10 15 MSBS/SDCMD/-SMWE 20 25 SM_R/B#/SC_RFU
AVCC1 OC# BS_MS R/-B_XD -SMCD
NC_5

11 GND 3.3VIN0 14 +3VSUS 21 VSS_MS GND_XD 24


21 GRST# 12 RESET# 3.3VIN1 13 22 GND GND 23
C180 C181 C179 42
TPS2220A (DB) 4.7U/10V_8 10U_6.3V_8 .1U/10V_4 GND
A A
25

MSX039-X0-0X00

PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
PCMCIA Socket & 4-IN-1 A3A

Date: Wednesday, June 15, 2005 Sheet 22 of 38


8 7 6 5 4 3 2 1
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

U27D
L1394_TPA0+
L1394_TPA0-
1394(FIREWIRE) P15
T119 L1394_TPB0+
L1394_TPB0-
CNA
C255 C273 C445 C448
*5.6P_NC *5.6P_NC *5.6P_NC *5.6P_NC

A CPS M11 A

V15 +1394TPA0 R224 56.2/F_6 C278 1U/10V_6


TPA0+ -1394TPA0 R235 56.2/F_6
TPA0- W15
PHY PORT 0 TPB0+ V14 +1394TPB0 R357 56.2/F_6 R348 5.11K/F_6
W14 -1394TPB0 R363 56.2/F_6 C455 220P_6
TPB0- 1394BIAS0
TPBIAS0 U15

U18 1394_R0 R216 6.34K/F_6 L3


R0 PLW3216S900SQ2T1
BIAS CURRENT 10MIL
U19 1394_R1 <PN> J1
R1 L1394_TPA0+ F_TPA0P
2 2 1 1 020115FB004S504ZL
R19 1394X1-10MIL C443 12P_4
XO L1394_TPA0- F_TPA0N
3 3 4 4 5
4
CRYSTAL Y4 24.576MHz 3
6X24500018 2
1
B R18 1394X2-10MIL C440 12P_4 L1394_TPB0+ 2 1 F_TPB0P 6 B
XI 2 1
L1394_TPB0- 3 3 4 4 F_TPB0N
EEPROM BUS M2 1394_SDATA R369 2.7K_4
SDA +3VSUS
M3 1394_SCLK R373 2.7K_4 L4
SCL R370 *220_4_NC PLW3216S900SQ2T1
R374 *220_4_NC <PN>

PHY PORT 1 V18 +1394TPA1 R359 56.2/F_6 C442 1U/10V_6


TPA1+ -1394TPA1 R358 56.2/F_6
TPA1- W18
V16 +1394TPB1 R215 56.2/F_6 R213 5.11K/F_6
TPB1+ -1394TPB1 R214 56.2/F_6 C245 220P_6
TPB1- W16
U17 1394BIAS1
TPBIAS1

PC0 R364 *0_4_NC


+3VSUS U28
R366 0_4
POWER CLASS R12 R239 *0_4_NC 1394_SCLK 6 1
PC0 +3VSUS SCL A0 +3VSUS
PC1 U13 PC1 R238 0_4 1394_SDATA 5 SDA A1 2
PC2 V13 PC2 R244 *0_4_NC
+3VSUS A2 3
C R243 0_4 C
7 WP VCC 8
GND 4
C361
T18 C249 .1U/10V_4 NM24C02
VDPLL_15 .1U/10V_4

L35
W17 V19 VDPLL-33 1 2
T52 NC VDPLL_33 +3VSUS
0_8
T51 T19 RSVD
R13 C444 .1U/10V_4
R362 220_6 AVDD0 C441 .1U/10V_4
P12 TEST0 AVDD1 R14
V17 C454 .1U/10V_4
AVDD2

E2 R17 R220 4.7K_4


T74 A_USB_EN# PHY_TEST_MA +3VSUS

T73 E1 B_USB_EN# C446 C251 C246


D D
100P_4 .1U/10V_410U_6.3V_8
AGND0 N12
U14
PROJECT : ED3
AGND1
U16
17 TI_FLASH_48M M1 CLK_48
AGND2
VSSPLL0 P14 Quanta Computer Inc.
VSSPLL1 T17

C463 R367 Size Document Number Rev


IEEE1394 A3A
*2.2P_4 *68_4
PCI7411 Date: Wednesday, June 15, 2005 Sheet 23 of 38
1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

+5VRUN USBPWR0 L1
BLM21PG600SN1
U4 TPS2062
2 1 CN12
IN GND DLW21HN900SQ2L
4 5
13 USBP0- 1 2 3 6
3 7 USBPWR0 4 3
EN1# OUT1 13 USBP0+ 2 7
OC1# 8 USBOC0# 13 1 8
CML1
4 6 USBPWR1 USB
EN2# OUT2

1
C402 5
OC2# USBOC1# 13
.1U/10V_4

1
C11 C8 C403 C401

2
+ +
150U/6.3V_7 .01U/16V_4 150U/6.3V_7 .01U/16V_4
R302 R303
A A
1K-0402 1K-0402 USBPWR1 L2

2
Each channel is BLM21PG600SN1

1A CN13
DLW21HN900SQ2L
4 5
13 USBP1- 1 2 3 6
13 USBP1+ 4 3 2 7
CML2 1 8
ID Select : AD19 MINI-PCI USB
CN22
+5VRUN
Interrupt Pin : INTB# , INTD# 1 TIP RING 2 U1
+3VRUN 3 4 +3VRUN
LAN1 LAN2
Request Indicate : REQ2# 5 LAN3 LAN4 6 4 EN# OC# 5 USBOC4# 13
7 LAN5 LAN6 8 3 VCC VOUT 6 TV Board R3 ,R4
Grant Indicate : GNT2# 9 LAN7 LAN8 10 2 VCC VOUT 7
need to change
RF_LED 11 12 1 8 USBPWR4
LED_GP LED_YP GND VOUT
32 RF_EN
D16 1 2 13 LED_GN LED_YN 14 BOM to 150ohm
BAS316 15 16 R1 *G528 CN1
NC1 NC2 C2 C5 *DLW21HN900SQ2L
12,21 PIRQD# 17 -INTB +5V 18 +5VRUN 10 1
19 20 *1K-0402 1 2 USBP4+ 13
+3V -INTA PIRQB# 12 SOIC8-6-1_27
*.01U/16V_4 *150U/6.3V_7 9 2
21 R(IRQ3) R(IRQ4) 22 8 3 4 3 USBP4- 13
C225 *10P_4 R188 *22_4 23 24 8 TV_Y/G TV_Y/G
GND +3VAUX +3V_S5 7 4 CML4
25 26 TV_C/R
17 PCLK_MINI PCICLK -RST PCIRST# 12,21,25 8 TV_C/R 6 5
27 28 +3VSUS
GND +3V *2940-010 USBPWR4
12 REQ2# 29 -REQ -GNT 30 GNT2# 12
31 +3V GND 32

2
33 34 MINI_PME# OPTION (DOCKING ON OR S-VEDIO Board ON)
12,21,25 AD31 AD31 -PME
35 36 Q50
12,21,25 AD29 AD29 (V)
37 38 PDTC144EU
GND AD30 AD30 12,21,25
12,21,25 AD27 39 AD27 +3V 40
12,21,25 AD25 41 AD25 AD28 42 AD28 12,21,25 32 PWR_BLUE# 1 3
43 44 +3VRUN
(V) AD26 AD26 12,21,25
C/BE3# 45 46 +3VSUS LED1 LED_BLUE/ORANGE
12,21,25 C/BE3# -CBE3 AD24 AD24 12,21,25
47 48 R193 150/F_4 AD19
12,21,25 AD23 AD23 IDSEL
49 50 3 1 R6 560_6 +5VSUS C339 .1U/10V_4
GND GND

2
12,21,25 AD21 51 AD21 AD22 52 AD22 12,21,25

3
B 53 54 AD20 4 2 R411 200_6 +5VSUS B
12,21,25 AD19 AD19 AD20 AD20 12,21,25
55 56 PAR Q51 Q32
GND PAR PAR 12,21,25
12,21,25 AD17 57 AD17 AD18 58 AD18 12,21,25
C/BE2# 59 60 1 3 PDTC144EU VIN R258 1M 2 2N7002
12,21,25 C/BE2# -CBE2 AD16 AD16 12,21,25 32 PWR_AMBER#
IRDY# 61 62
12,21,25 IRDY# -IRDY GND
63 64 FRAME#
+3V -FRAME FRAME# 12,21,25

3
65 66 TRDY# CN8
12,25,31,32 CLKRUN# -CLKRUN -TRDY TRDY# 12,21,25
SERR# 67 68 STOP# Q31 BT_VCC

9
12,21,25 SERR# -SERR -STOP STOP# 12,21,25 8
PDTC144EU

1
69 GND +3V 70 32 BT_PWRON# 2
PERR# 71 72 DEVSEL#
12,21,25 PERR# -PERR -DEVSEL DEVSEL# 12,21,25 7
C/BE1# 73 74
12,21,25 C/BE1# -CBE1 GND
75 76 RF_LED R458 *0
12,21,25 AD14 AD14 AD15 AD15 12,21,25 6

1
77 GND AD13 78 AD13 12,21,25

2
12,21,25 AD12 79 AD12 AD11 80 AD11 12,21,25 5
81 82 Q26
12,21,25 AD10 AD10 GND
83 84 PDTC144EU
GND AD9 AD9 12,21,25 4
85 86 C/BE0# RF_EN R464 0
12,21,25 AD8 AD8 -CBE0 C/BE0# 12,21,25 13 BT_USBP3+
12,21,25 AD7 87 AD7 +3V 88 1 3 3
89 +3V AD6 90 AD6 12,21,25 13 BT_USBP3-
12,21,25 AD5 91 AD5 AD4 92 AD4 12,21,25 2
93 94 BT_LED
(V) AD2 AD2 12,21,25
95 96

10
12,21,25 AD3 AD3 AD0 AD0 12,21,25 1
+5VRUN 97 +5V (V) 98
12,21,25 AD1 99 AD1 SERIRQ 100 SERIRQ 12,13,21,31,32
101 102 BT_LED R459 0
GND GND SM08B-SURS-8P_BLUE
103 SYNC M66EN 104

2
105 106 LED8 LED_BLUE/ORANGE
SDIN0 SDOUT
107 BITCLK SDIN1 108
109 110 Item136 3 1 R334 560_6
-AC_PRIMARY -RESET +5VRUN
111 BEEP -MPCICACK 112
113 114 1 3 4 2 R333 560_6
AGND AGND +3VALW
115 +MIC +SPK 116
117 118 Q25 IT-1188E SW7 +3VRUN
-MIC -SPK PDTC144EU
119 AGND AGND 120

2
121 122 PDTC144EU 3 4 R161 4.7K_4
-RI NC4 Q20
+5VRUN 123 +5VA +3VAUX 124 +3VSUS 1 2
LED6 LED_BLUE/ORANGE
125 GND

126 GND

R101
C C
1 560_6 +5VALW

5
32 BATLED_BLUE# 1 3 3 1 2

MINIPCI_TYPE_III RF_SW# 32
4 2 2 1 560_6

+3VALW R100
Orange Forward Voltage 2.0~2.4
BT_SW# 32

2
+3V_S5
Blue Forward Voltage 3.4~3.8
PDTC144EU
DC Forward Current 20mA
12,21,25,31 PME# 32 BATLED_AMBER# 1 3
R195
3

Q28 R194 Q21


2N7002 4.7K_6
10K-0603
2 LED2 LED_BLUE/ORANGE SW1
3 1 R17 560_6 1 3
12,20 HDDLED# +5VRUN 32 NBSWON#
3

Q29 2 4
2N7002 4 2 R20 *560_6 5
20 CDLED#
MINI_PME# +3VRUN MISAKI_TC004-PS11AT
1

2
2

SW2
Q7 1 3
32 BT1# 2 4
PDTC144EU LED3 BLUE_LED
1

5
1 3 R23 560_6
32 CAPSLED# MISAKI_TC004-PS11AT
+3VRUN
SW3
2

32 BT2# 1 3
Q9 2 4
5
PDTC144EU LED4 BLUE_LED
1 3 R44 560_6 MISAKI_TC004-PS11AT
32 SCROLED#
BLUE_LED
D +3VRUN D
Forward Voltage 2.8~3.05
2

Q12 DC Forward Current 20mA


PDTC144EU LED5 BLUE_LED
1 3 R52 560_6
32 NUMLED#

21,22 CARD_LED
PROJECT : ED2
2

Quanta Computer Inc.


LED7 BLUE_LED
1 3 R147 560_6
Size Document Number Rev
Q22 Mini PCI, T/P, USB, LED A3A
PDTC144EU
Date: Wednesday, June 15, 2005 Sheet 24 of 38
1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
5 4 3 2 1

R117 R116
RTL8110SBL(1G)--DEPOP RTL8110SBL(1G)--POP
RTL8100L(10,100)--POP RTL8100L(10,100)--DEPOP
+3V_LAN_A

+3V_LAN_D +2P5V_LAN +3V_LAN_D


+3V_S5 +3V_LAN_D
L26 DVDD_LAN +5VRUN
BK2125HS220
R112
10K_4
D D
C211 C206 C156 C167 C213 C198 C216 C219 C188 R117 R116 R119
0_4 *0__NC_4 R111 *0_4_NC
PME# 12,21,24,31
.1U/10V_4 22U-1206 .1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_410U/10V_8 LAN_PME# 1K-0402
LAN_PME# 32 +3V_S5 +3V_S5
ISOLATEB C200
*100P-0402_NC

DVDD_LAN

ISOLATEB
T35 R118
U25

EECLK
AVDDL

EESEL
R185

EEDO
CLKRUN# 12,24,31,32

EEDI
15K/F_4 3.6K EESEL 1 8
+3V_S5 +3V_LAN_A EECLK CS VCC
2 SK NC 7

1
CTRL25 EEDI 3 6 C224
L27 EEDO DI NC
4 DO GND 5
BK1608HS220 .1U/10V_4

107

109
106
111
108

105
U23

2
26
41
56
71
84
94

20
32
54
78
99
45

31
23

64
88
65
93C46-3GR

3
7

8
C155 C183 C193

VDD33
VDD33
VDD33
VDD33
VDD33
VDD33
VDD33
AVDDL
AVDDL
AVDDL
VDD18
VDD18
VDD18
VDD18
VDD18

EECS
EESK
EEDO
PMEB
ISOLATEB
LWAKE

VDD18
M66EN
CLKRUNB
CTRL25
EEDI
12,21,24 AD[0..31]
.1U/10V_4 .1U/10V_4 10U/10V_8
AD0 104 76 PAR
AD0 POWER EEPROM PAR PAR 12,21,24
AD1 103 25
AD1 INTAB PIRQA# 12,21
AD2 102 29
AD2 VDD33---+3.3V DIGITAL PM GNTB GNT0# 12
AD3 98 27
AD3 AVDDH------+3.3V ANALOG RSTB PCIRST# 12,21,24
AD4
AD5
97
96
AD4 AVDDL-----+2.5V ANALOG REQB 30
75 SERR#
REQ0# 12 RTL8110SBL(1G)--POP 2.49K
AD5 DVDD-----+1.8V ANALOG SERRB SERR# 12,21,24
AD6 IRDY#
AD7
95
93
AD6 DVDD_A----+1.8VANALOG IRDYB 63
61 FRAME#
IRDY# 12,21,24 RTL8100L(10,100M) POP 5.6K
AD7 FRAMEB FRAME# 12,21,24
AD8 90 46 R_AD24 R145 0_6 AD24
AD9 AD8 PCI IDSEL DEVSEL#
89 AD9 DEVSELB 68 DEVSEL# 12,21,24
AD10 87 67 TRDY#
AD10 TRDYB TRDY# 12,21,24
C RTL81XX's power for Co-lay : AD11 86 70 PERR# C
AD11 PERRB PERR# 12,21,24
AD12 85 69 STOP#
AD12 STOPB STOP# 12,21,24
AS RTL8110SBL(Giga-Lan) AVDDL CONNECT +2.5V_A AD13 83 127 R139 *2.49K_NC
AD14 82 AD13 RSET R127 5.6K
AD14 SMBCLK 74
RTL8100L(10,100M-Lan) AVDDL CONNECT +3V_LAN_A AD15 79 72
AD16 59 AD15 PCI SMBCLK
10
AD17 58 AD16
AD17
RTL8110SBL/8100CL AVDDH
AVDDL 16 AVDDL
+3V_LAN_A

AS RTL8110SBL(Giga-Lan) HSDAC- CONNECT +3V_LAN_A AD18 57 11 HSDAC+ R113 *0_6_NC


AD19 55 AD18 HSDAC+ HSDAC- R114 *0_4_NC +3V_LAN_A
AD19 HSDAC- 12
RTL8100L(10,100M-Lan) HSDAC- CONNECT +2.5V_A AD20 53 121 LAN_XIN C177 R115 0_4 +2P5V_LAN
AD21 50 AD20 XTAL1 LAN_XOUT LAN_XIN 27P
AD21 XTAL2 122

1
AD22 49 120 +3V_LAN_A
AD23 47 AD22 HV CTRL18 R149 Y3
AS RTL8110SBL(Giga-Lan) DVDD CONNECT +1.8V_D AD23 CTRL18 125
AD24 43 126 *1M_NC 25.0000 MHz
AD25 42 AD24 VDD18 DVDD_LAN LAN_XOUT
RTL8100L(10,100M-Lan) DVDD CONNECT +2.5V_A AD25 VDD18 116 DVDD_LAN
AD26 40 C166

2
AD26 VDD18 110
AD27 39 RESERVE 24 27P
AD28 37 AD27 VDD18 TRD3N
AD28 MDI3- 19 TRD3N 26
AD29 36 18 TRD3P
AD29 MDI3+ TRD3P 26
AD30 34 15 TRD2N R113,R114
AD30 MDI2- TRD2N 26
AD31 33 14 TRD2P
AD31 MDI2+ TRD2P 26
C/BE0# 92 6 TRD1N RTL8110SBL(1G)--POP
12,21,24 C/BE0# CBE0B MDI1- TRD1N 26
C/BE1# TRD1P

AUI
12,21,24 C/BE1# 77 CBE1B MDI1+ 5 TRD1P 26
C/BE2# 60 2 TRD0N RTL8100L(10,100)--DEPOP
12,21,24 C/BE2# CBE2B MDI0- TRD0N 26
C/BE3# 44 1 TRD0P
12,21,24 C/BE3# CBE3B MDI0+ TRD0P 26
PCLK_LAN 28 117 ACTLED#
17 PCLK_LAN CLK LED0 ACTLED# 26
100M_LINK#

LED I/F
LED1 115 100M_LINK# 26
R120 114 10M_LINK# R108,R115,Q19 R412,R414,R416,R418,C531,C533
LED2 10M_LINK# 26
*22 113 1000M_LINK#
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
LED3 1000M_LINK# 26
B
RTL8110SBL(1G)--DEPOP RTL8110SBL(1G)--POP B

C150 RTL8100L(10,100) --POP RTL8100L(10,100)--DEPOP


100

128
124

101

119
123

112
118
35
52

80
17

21
51
66
81
91

38

62
13
48
73

*10P_4 22
4

+3V_LAN_D

Q52 Colayout with Q19

3
RTL8110SBL(1G)--POP Q52
CTRL25 1
RTL8100L(10,100) --DEPOP *2sb1188 R412 R413
TRD3N TRD1N
*49.9/F_4 C531 *.01U_4 49.9/F_4 C532 .01U_4

2
R414 R415
+2P5V_LAN
Q18,R124,C138,C157,C151 TRD3P TRD1P
*49.9/F_4 49.9/F_4
RTL8110SBL(1G)--POP R416 R417
TRD2N TRD0N
+2P5V_LAN RTL8100L(10,100) --DEPOP +3V_LAN_D *49.9/F_4 C533 *.01U_4 49.9/F_4 C534 .01U_4
R418 R419
TRD2P TRD0P
3

Q19 *49.9/F_4 49.9/F_4


Q18 +2P5V_LAN
CTRL18 1 DVDD_LAN CTRL25 2
*2sb1188

A R_DVDD R124 *0_8_NC DVDD_LAN 1197 +2P5V_LAN R108 0_8 DVDD_LAN DVDD_LAN A
2

Item103
C138 C157 C151 C176 C217 C192 C158 C149 C140 C139
.1U/10V_4 .1U/10V_4 .1U/10V_4 10U/10V_8
*22U-1206 *.1U/10V_4 *.1U/10V_4 22U-1206 .1U/10V_4 .1U/10V_4
PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
LAN RTL8110S/8100CL A3A

Date: Wednesday, June 15, 2005 Sheet 25 of 38


5 4 3 2 1
笔记本图纸QQ107203753
A B C D E

U9
RTL8110SBL(1G)--POP
RTL8100L(10,100) --DEPOP

+2P5V_LAN

21

19
CN15
15 ACT#
U9
17
16
18 R304 2 1 470 +3V_LAN_D RJ45_TRAN_TRD0N 13 12 TRAN_TRD0N
MX4- TD4- TRD0N 25
1 RJ45_TRAN_TRD0P RJ45_TRAN_TRD0P 14 11 TRAN_TRD0P
MX4+ TD4+ TRD0P 25
2 RJ45_TRAN_TRD0N MCT4 15 10
RJ45_TRAN_TRD1P RJ45_TRAN_TRD1N MCT4 TCT4 TRAN_TRD1N
4
3 16 MX3- TD3- 9 TRD1N 25 4
4 RJ45_TRAN_TRD2P RJ45_TRAN_TRD1P 17 8 TRAN_TRD1P
MX3+ TD3+ TRD1P 25
5 RJ45_TRAN_TRD2N MCT3 18 7
RJ45_TRAN_TRD1N RJ45_TRAN_TRD2N MCT3 TCT3 TRAN_TRD2N
6 19 MX2- TD2- 6 TRD2N 25
7 RJ45_TRAN_TRD3P RJ45_TRAN_TRD2P 20 5 TRAN_TRD2P
MX2+ TD2+ TRD2P 25
8 RJ45_TRAN_TRD3N MCT2 21 4
RJ45_TRAN_TRD3N MCT2 TCT2 TRAN_TRD3N
22 MX1- TD1- 3 TRD3N 25
9 RJ45_TRAN_TRD3P 23 2 TRAN_TRD3P
MX1+ TD1+ TRD3P 25
12 R305 2 1 330 100MBPS MCT1 24 1
MCT1 TCT1 C42 C41 C43 C40
100M Amber
11
Orange 14 R9 R10 R11 R12 *GSN5008
*75/F_4 *75/F_4 75/F_4 75/F_4 *.01U/16V_4 *.01U/16V_4 *.01U/16V_4 *.01U/16V_4
10M
green Green 13
10 R306 2 1 330 10MBPS

C12
1000M 1000P/3KV
22

20

Yellow
LAN-C10087-13P

R420 0_4 U8
31 DOCK_TRD0N 1 2
RJ45_TRAN_TRD0N 9 8 TRAN_TRD0N
R421 0_4 RJ45_TRAN_TRD0P TX- TD- TRAN_TRD0P
10 TX+ TD+ 7
1 2 MCT1 11 6
31 DOCK_TRD0P CMT CT
12 NC NC 5
R422 0_4 13 4
MCT2 NC NC
For ME 31 DOCK_TRD1N 1 2 14 CT CT 3 For RTL8100C For RTL8110SB
4

CN28 RJ45_TRAN_TRD1N 15 2 TRAN_TRD1N


issue R423 0_4 RJ45_TRAN_TRD1P RX- RD- TRAN_TRD1P
16 1
G2

colayout RX+ RD+


31 DOCK_TRD1P 1 2 R116 UN-POP POP
3 2 RING_1 RING_1 30 3
2 NS0013 R117 POP UN-POP
1 TIP_1 TIP_1 30
1
R139 UN-POP POP

2
G1

C530 R127 POP UN-POP


RJ11-CON .1U/10V_4
R113 UN-POP POP
3

1
R114 UN-POP POP
U36 R115 POP UN-POP
RTL8110SBL(1G)--DEPOP R108 POP UN-POP
C559 C560
RTL8100L(10,100) --POP
4

CN29 *1000P_2KV *1000P_2KV R124 UN-POP POP


G2

C151 UN-POP POP


RING_1
2 2 Reserved for EMI C138 UN-POP POP
1 TIP_1
1
C157 UN-POP POP
G1

+3V_LAN_D
Q52 UN-POP POP
*RJ11-CON
Q18 UN-POP POP
3

Q19 POP UN-POP


C7 .1U/16V_6
R412 UN-POP POP
R414 UN-POP POP
R416 UN-POP POP
+3V_LAN_D R4 100K_4 U2A
NC7WZ00-UHS R418 UN-POP POP
8

2 C531 UN-POP POP 2


25 100M_LINK# 1
7 100MBPS C533 UN-POP POP
2
10MBPS C40 UN-POP POP
+3V_LAN_D R2 100K_4
C41 UN-POP POP
4

C42 UN-POP POP


25 1000M_LINK# +3V_LAN_D C43 UN-POP POP
U2B R9 UN-POP POP
+3V_LAN_D R3 100K_4 NC7WZ00-UHS
8

R10 UN-POP POP


5
3 R420 POP UN-POP
25 10M_LINK# 6
R421 POP UN-POP
R422 POP UN-POP
4

+3V_LAN_D
R423 POP UN-POP
C530 POP UN-POP
U8 POP UN-POP
C399
.1U/16V_6 U3 U9 UN-POP POP
NC7SZ08-UHS
5

U44 POP UN-POP


1
4 DOCK_10/100M_LINK# 31 C556 POP UN-POP
2
U3 POP UN-POP
C399 POP UN-POP
3

1 1

+3V_LAN_D U44,U3,C399,C556
RTL8110SBL(1G)--DEPOP
C556
RTL8100L(10,100) --POP
.1U/16V_6 U44
NC7SZ08-UHS
5

1
ACT# 4
PROJECT : ED3
25 ACTLED# DOCK_ACTLED# 31
2
Quanta Computer Inc.
Size Document Number Rev
3

LAN Switch & Connector A3A

Date: Wednesday, June 15, 2005 Sheet 26 of 38


A B C D E
笔记本图纸QQ107203753
5 4 3 2 1

D D
+12VRUN +5VRUN +12VRUN
FAN CONTROL

R87

10K-0402 MAX6657_OV# 5,34

Q41

1
2
5
6

6
5
2
1
AO6402 +3VRUN
3 R327 Q40
32 VFAN

3
+ +5VFAN AO6402
1 3 3
2 - 10_6 R326
U19A 2
LM358ADR 10K-0402

4
Q17 32 FANSIG
+12VRUN 2N7002
CN18

1
R78 3.9K/F FAN_PWR
C99 1 4
.1U/25V_8 R88 2
C428
30 MIL 3 5
3K FAN
10U/10V_8

C U19B C
LM358ADR
5 +
7
6 -

B TOUCH PAD SW6 B


CN7 +3VALW L33
+5V_TP C236 .1U/10V_4 TOUCH_RIGHT 1 3
+5VRUN
25 RP15 CA3 220PX4 CA4 220PX4 2 4
MY15 25 MY3 MY4 MY7 BK2125HS330_8
32 MY15 24 24 10 1 1 2 1 2 5
MY14 23 MY0 9 2 MX3 MX4 3 4 3 4 MY6
32 MY14 23
MY13 22 MY1 8 3 MX2 MX5 5 6 5 6 MY5
32 MY13 22
MY12 21 MY2 7 4 MX1 MX6 7 8 7 8 MX7 R339 R338 TOUCH_PAD MISAKI_TC004-PS11AT
32 MY12 21
MY11 20 MX0 6 5
32 MY11 20 CN6
MY10 19 10K-0402 10K-0402 SW5
32 MY10 19
MY9 18 10KX8
32 MY9 18 1
MY8 17 CA6 220PX4 CA2 220PX4 TOUCH_LEFT 1 3
32 MY8 17 2
MY7 16 RP17 MY12 1 2 1 2 MY3 R337 1 2 0_4 2 4
32 MY7 16 32 TPDATA 3
MY6 15 10 1 MY15 MY13 3 4 3 4 MX3 5
32 MY6 15 4
MY5 14 MY8 9 2 MY14 MY14 5 6 5 6 MX2 R336 1 2 0_4
32 MY5 14 32 TPCLK 5
MX7 13 MY9 8 3 MY13 MY15 7 8 7 8 MX1
32 MX7 13 6
MX6 12 MY10 7 4 MY12 MISAKI_TC004-PS11AT
32 MX6 12 7
MX5 11 MY11 6 5
32 MX5 11 8
MX4 10 TOUCH_LEFT
32 MX4 10 9
MY4 9 +3VALW 10KX8 CA5 220PX4 CA1 220PX4
32 MY4 9 10
MY3 8 MY8 1 2 1 2 MX0
32 MY3 8 11
MX3 7 RP16 MY9 3 4 3 4 MY2 TOUCH_RIGHT
32 MX3 7 12
MX2 6 10 1 MY6 MY10 5 6 5 6 MY1
32 MX2 6

2
4
6
8
MX1 5 MY4 9 2 MY7 MY11 7 8 7 8 MY0
32 MX1 5
MX0 4 MX4 8 3 MX7
32 MX0 4
MY2 3 MX6 7 4 MY5 CP1
32 MY2 3
MY1 2 MX5 6 5 8P4C-10P
32 MY1 2
MY0 1
32 MY0 1
10KX8

1
3
5
7

2
4
6
8
6906-25
A A

CP2
8P4C-10P

1
3
5
7
PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
T/P, FAN, Switch, K/B A3A
Date: Wednesday, June 15, 2005 Sheet 27 of 38
5 4 3 2 1
笔记本图纸QQ107203753
A B C D E

3V_MODEM

For Layout:
L50 FBM-11-160808-121 A20T AVDD_CLK AMCVDD
AC97 codec +
Place decoupling caps near the
C524 C525 power pins of Malibu device.
.1U/16V_6 10U/10V_8

L49 FBM-11-160808-121 A20T +3_3VDC


C502 + C507
AVDD_PIN25 L47 FBM-11-160808-121 A20T
C513 C515 10U/10V_8 .1U/16V_6
C517 + C514 .1U/16V_6 .1U/16V_6
10U/10V_8 .1U/16V_6 C518
.1U/16V_6

AGND
L48 FBM-11-160808-121 A20T +3_3VDD
AGND

C504
.1U/16V_6

C505
.1U/16V_6

AGND
+ + +

12

14

25

35
1

9
For Layout: C528 C523 C527 C522 C526 C521
Place these resistors close 10U/10V_8 .1U/16V_6 10U/10V_8 .1U/16V_6 10U/10V_8 .1U/16V_6

VDDCK
DVDD1

DVDD2

DVDD3

AVDD1

AVDD2
to SmartAMCZ device. REF_FLT
VREF2 18 For Layout:
19 VC_SCA Place near
DIB_DATAN R389 0_6 VREF1 AGND
30 DIB_DATAN 47 DIBN
23 VREF_SCA SmartAMCZ device
DIB_DATAP R390 0_6 VREF_FILT
30 DIB_DATAP 48 DIBP CN9
C506

3
150P 20 MICBIAS_F INT_MIC
MICBIAS_F 1
PWRCLKP R393 0_6 MICBIAS_C R400 2
30 PWRCLKP 3 PWRCLKP MICBIAS_C 21

4
2.2K
22 AUDVREF2.5V
PWRCLKN R394 0_6 MICBIAS_B R442 *7.8K
30 PWRCLKN 4 PWRCLKN AMCVDD
INT_MIC
C508 R395 C516 10U/10V_8 AGND AGND

+
MIC_L 27
150P MICBIAS_C
MIC_R 26
*10K_NC
For Layout: R396 33_4 8 U40
12 AC_SDIN0 SDI
30 .1U/10V_4 C509 AGND R285 R284
CD_R *2.2K_NC *2.2K_NC
Place crystal and associated 12 AC_BITCLK 13 BCLK 20551-27P2
29 .1U/10V_4 C510
circuitry very near CD_GND AGND
SmartAMCZ Device. 12 AC_SDOUT 7 SDO SAMART_AMC_HD .1U/10V_4 C512
CD_L 28 AGND
12 AC_SYNC 10 SYNC PR_HP_R 31
11 33 PORT_C_R_R
12 AC_RESET# RST# PORT-C_R PR_HP_L 31
AUDVREF2.5V
R398 +5VRUN 34 PORT_C_L_L
1 PORT-C_L AMCVDD 1
R292 R289
31 *2.2K 2.2K 7.87K R443
*10K_NC R401 BEEP PORT-D_R
43
*2.7K_NC PCBEEP
PORT-D_L 32 LINE IN/MIC IN
R288 1K-0603 CN27
C520 .01U_4 XTLI 15 1 7
17 14M_AC97 XTALIN
38 10U/10V_8 C498 R463 L40 BK1608LL121 LINEINL 2
R403 *0_NC PORT-B_L 0_0603
16 XTALOUT 6
37 10U/10V_8 C499 L41 *BK1608LL121 LINEINR 3
PORT-B_R R290 *1K-0603 4
40 PORT_A_L 5 8
PORT-A_L PORT_A_L 29
R402 45
*4.7K_NC EAPD PORT_A_R
PORT-A_R 39 PORT_A_R 29
44 SPDIF_OUT
29 SPDIF R293 R291 JA6333L-3S0-TR
42 SENSEB AGND
SENSEB *1K_NC *1K_NC
41 SENSEA +3VRUN
SENSEA

VSSCK
DVSS1

DVSS2

DVSS3

AVSS1

AVSS2
VSUB
R444
AGND AGND
10K-0402
For AC-Link Mode: An external 14.318MHz clock source R391
46

17

24

36
1.5K/F
2

6
can be used to replace the crystal circuitry shown here. R283 0_6 +3VRUN Docking side
It should be connected to XTALIN (pin 15). The XTALOUT Phone
Ground Tie R384 10K-0603 PORT_B_SENSE C546
(pin 16) should be left floating. 2 1 jack-sense

3
high active

5
AGND .047U/10V_4
For HD Audio Mode: Do not populate crystal circuitry and R399 AGND Q54
leave XTALIN (pin 15) and XTALOUT (pin 16) 2 PR_AUDPLUG 31
0_6 R385 39K/F PORT_C_SENSE# 4 2 2N7002
floating.

Item133 U43 R455


AHCT1G125DCH

1
If use 20551-27P2 --->POP 39K and use driver =V535 100K_0402

If use 20551-27P4 --->POP 5.1K and use driver =newer than V535

BEEP AC97 codec Power


+5VRUN +3VRUN R392 *0_NC AMCVDD +3VSUS R386 0_8 3V_MODEM
+3VRUN
U37 C550
74AHCT1G86GW 2 1
U38 800mA (30MIL)
.1U/10V_4 .1U/10V_4 L46
5

1 +3VRUN AMC5VIN 3 4
21 PCMSPK IN OUT
4 C551 FBMH2016HM251NT
ADJ

2 2 1 C479 2
13 PCSPK OUT
.1U/10V_4 C480
5

C478 .1U/10V_4 AIC1117


3

1
4 PCBEEP BEEP C486 C489 C492
1

2 10U/10V_8 .01U/16V_4 .1U/10V_4


29,32 SYSSPKOFF#
.47U/10V_6
U29 R381 R380
3

74AHCT1G08GW 3VAUDADJ PROJECT : ED3


AGND
205/F 124/F Quanta Computer Inc.
AGND AGND AGND

Size Document Number Rev


AC97 CODEC + MODEM A3A

Date: Wednesday, June 15, 2005 Sheet 28 of 38


A B C D E
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

AVDD

R383

GAIN SPKR HP *1K_NC FOR EMI SOLUTION


+5VRUN AVDD C490 AVDD R294 0_6
MODE MODE
GAIN 1U/10V_6 C477 .1U/10V_4
R379 0_8 C476 .1U/10V_4
A C395 .1U/10V_4 A
0 10.5 3 R382 C496 C394 .01U/16V_4
C485 +5VRUN C500 .1U/10V_4
1K-0603 .1U/10V_4 .1U/10V_4
1 9 0 R428
AGND
AGND AGND AGND 10K-0402 AGND

25

15

10
U39

7
1 20 HPS

VDD

HPVDD

C1N
CPVDD
C1P
NC HPS

3
27 14 SPKL
C482 4.7U/10V NC HPL Headphone out / SPDIF OUT
AOUTL 2 13 SPKR Q53
C483 4.7U/10V INL HPR HPS#
2
AOUTR 28 4 INSPKL+ 2N7002
INR OUTL+ INSPKL- +5VRUN
OUTL- 5
17 INSPKR-
C552 +5VRUN GAIN OUTR- INSPKR+
24 GAIN OUTR+ 18
U36 AVDD

1
2 1
23 GND PVDDL 6
5

.1U/10V_4 1 3
32 AMP_MUTE# PGNDL
MUTE

CPGND
4 22 16

CPVSS
/SHDN PVDDR
2 19

GND
28,32 SYSSPKOFF#

VSS
PGNDR
21 VBIAS C484 C487 C497 C481 C392 .1U/10V_4
3

MAX9755ETI+ .1U/10V_4 10U/10V_8 .1U/10V_4 10U/10V_8

26

11

12
9
74AHCT1G08GW C494

1U/10V_6
C493 CN26
B AGND 6 B
1U/10V_6 7
IC
SPDIF
Drive
28 SPDIF 8 LED

SPKR R465 33_4 C475 1 2 1


220U_4V_L L38 BK1608LL121 SPKR1 3

+
AGND AGND AGND L39 BK1608LL121 SPKL1 2
SPKL R466 33_4 C390 1 2 220U_4V_L 4 10
5 9

+
C393 C391
470P_4 470P_4 DLT11M3_SPDIF/O
SPDIF-DLT11MX-10P-V

R467 R468
*0_NC *0_NC AGND
AGND

AGND AGND

+5VRUN

R445

10K-0402
*0 R429 AOUTL
*0 R430 AOUTR New type Phone jack low active SPEAKER CON.
C535 10U/10V_8 HPS#
R431 3K
28 PORT_A_L
C536 10U/10V_8
C CN2 C
28 PORT_A_R
R432 3K
R433 R434 INSPKL+ L43 0_6 INSPKL+N
10K 10K INSPKL- L42 0_6 INSPKL-N 1
2

3D_STEREO_VDD 3802-02
R324 R325
AGND AGND *0_NC *0_NC
U42
R435 PORT_A_L_IN 14 1 .033U C537
PORT_A_R_IN LIN FIL1 .01U C538 AGND AGND CN11
IOPJ2-7 for 13 RIN FIL2 2
10K-0402 AOUTL 12 3 .47U C539
NS97551 have AOUTR 11
LOUT FIL3
4 0_4 R436 .33U C540 INSPKR+ L51 0_6 INSPKR+N
ROUT VOL2 1
internally 10 MODE VOL1 5 10K R437 INSPKR- L52 0_6 INSPKR-N
2
9 6 1U C541
weak pull up 8
GND VREFIN
7
+12VRUN +5VRUN 3D_STEREO_VDD V+ VREF R405 R404 3802-02
10U/10V_8 C542 *0_NC *0_NC
3

NJM2199
Q55

32 3D_ON# 2
C543 R439 *0_8_NC AGND AGND
10U/10V_8
2N7002 C544
When 3D_ON# R451 0_8 C545
.1U 10U/10V_8
1

Keep low then


3D active
AGND AGND AGND AGND AGND AGND
D D

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
Audio Amplifier & Jack A3A

Date: Wednesday, June 15, 2005 Sheet 29 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
5 4 3 2 1

Vdd
Revision History REV:B MODIFY FOR USE
NEW MODEM MODULE MC978 0.1uF
REV Description Date
00 Initial Release February 14, 2002 MTP58 1
MTP59 1 1 MTP36 1 MTP37
1 RING_1 26
DGND_LSD MTP39
01 27mmx27mm form factor. July 5, 2002 1 MTP35 1 MTP38
RING_2 MFB902 RING_1 MTP41

24
1

AC
02 6 pins J1 connector-T/R traces for specific uses-100V C902/C904 September 24, 2002 MMZ1608D301B

DVdd
21 RAC1 MR902 1M_8RAC1/RING MC902 0.033uF/100V
RAC1 MBR904

MRV902
D 03 add J1B - remove T903 October 9, 2002 8 MC906 D
NC1 TAC1 MR904 1M_8TAC1/TIP MC904 0.033uF/100V MMBD3004S 470pF MJ2
TAC1 20
22 NC2
04 Change J1 & J1B. Change R938 size. Add TP60 to TP71. November 12, 2002
1

A
25 NC3 RAC2 19 2
Removed J1B. Change size for C978, C984, R902, R904, R906, R908,
05 R910 and R978. Changed BR904 and BR906 to different manufacture. November 26, 2002

KU10S31N
29 18 AGND_LSD GND *FI-S2P-HF(JAE)_NC
PADDLE TAC2
06 Corrected error in Q904 PCB footprint. January 3, 2003 MC908
470pF
AGND_LSD
07 Added DIB data transformer footprint, added MC966, deleted ring September 24, 2003 MU902 MBR906

AC
impedance circuit. Added the letter "M" prefix to all reference MTP34 1 MMBD3004S
designators.
Changed value for MC966 from 3.3nF to 10nF, 100V, +/-20%, Y5V. By 12 TRDC MR906 6.8M TIP_2 MFB904 TIP_1 MTP42
08 November 06, 2003 TRDC MTP40 1 1
default, MC966 will be populated. Also, changed CX20493 revision from
11 to 21. MMZ1608D301B
MC918 MC966
1 TIP_1 26
MTP33 10nF/100V
0.1uF
C906 and C908 must be Y3 type
11 EIC MC958 15nF Capacitors for Nordic
EIC
AGND_LSD Countries only
MTP28 1 MTP70
1
AGND_LSD

MTP29 1 RXI MR910 RXI-1


RXI 9 1
237K MTP71
MTP52 C926 must be placed
MR932 near pin 26 (CLK). R910 must be placed
1 15K near pin 9 (RXI).
C CLK2 CLK C
26 CLK GPIO1 1
MTP26 MC926 10P_4
5 RBias
1 BR908_CC MFB906 PWR+ RBias
7 PWR+ MR954
MMZ1608D301 B 1 MTP32
28 PWRCLKN 1 AGND_LSD
Vdd MTP69 59.0K
MTP30 1
AC1

MC970 2 AVdd 1 MTP68


MTP22 C1 A1 0.1uF MC930 MC928
PWRCLKN MT902 BR908_AC1 C2 A2
1 4
AC2

1 MC962 2.2uF 0.1uF VZ MR908 MC910 BRIDGE_CC


+ -
VZ 10
47pF 6 348K 0.047uF/100V
MBR908 AGnd R908 must be placed
28 PWRCLKP C970 must be C928, C930 must be
MJ1 PWRCLKP 2 3 PCLK BAV99S near pin 10 (VZ).
1 MID82154 placed near pins 7 placed near pins 2 17 EIO MQ902
1 EIO PMBTA42
2 2 (PWR+) and 6 (AVdd) and 6
3 MTP23 MTP27 AGND_LSD
3 (AGnd). (AGnd).
4 MQ904
4 1 1 1 MTP67 MTP31 1 SB29003
5 5
6 6
7 MTP72 MTP60 16 EIF MTP66
7 MTP24 EIF 1
8 8 1 1
28 DIB_DATAP
*HEADER8 1 TXO MQ906 MR928
TXO 14
DIB_DATAP MC922 10pF DIB_P1 MR922 0_4 DIB_P2 27 PMBTA42 27
DIB_P
13 TXF
TXF 1 MTP64
MJ3
1 DIB_DATAN MC924 10pF DIB_N1 MR924 0_4 DIB_N2 28
1 DIB_N 1 MTP49
2 2 DC_GND 15 1
B 3 MTP25 MTP73 MTP61 MTP65 B

VRef
3 MR938
4 23

Vc
4 1 1 1 DGnd 110
5 5
MT922 20493-58
6 6 GND 28 DIB_DATAN 1 4

4
7 7 AGND_LSD
8 C944, C974, and C976 DGND_LSD AGND_LSD
8

Vref_LSD
must be placed near
*HEADER8 (omit) 2 3 pins 3 (Vc) and 4

Vc_LSD
*MID82157(omit)
(VRef).
MTP62 MTP63
1 1 AGND_LSD
Depending of the design target and DIB length,
DIB components can be:
-C922/C924 10pF
-C922/C924 47pF (Validation in progress)
MC974 MC944 MC940 MC976

*0.001uF (omit) 0.1uF 1uF .001uF_4

C922, C924, C906, and C908, must be Y3 type Capacitors in order


to comply with Nordic Countries deviations of IEC60950 2nd and 3rd ed. C940 is X5R ceramic.
Y3 type capacitors must also be certified for a 2.5KV impulse test. AGND_LSD
This must be checked in vendors' specifications (see AVL).

Circuit traces for C922 and C924 should be less


than 2 inches.

A A

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
MODEM DAA A3A

Date: Wednesday, June 15, 2005 Sheet 30 of 38


5 4 3 2 1
笔记本图纸QQ107203753
1 2 3 4 5 6 7 8

D4
2 1 Z1401
+5VRUN
SW1010C
+3VSUS STRB# R25 2K/F

PE RN2 4 3 4P2R-S-2.2K
+3VRUN SLCT 2 1
BUSY RN4 4 3 4P2R-S-2.2K
C55 ACK# 2 1
C66 C67 C68 C53
.1U/10V_4 AFD# RN3 4 3 4P2R-S-2.2K
.1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4 ERROR# 2 1
U12 SLIN# RN8 3 4P2R-S-2.2K

11
26
45
54
4

7
INIT# 2 1
A A

VTR
VCC1
VCC2
VCC3
VCC4
SLCT
PE
55
56
SLCT
PE
PD3
PD2
RN6 4
2
3 4P2R-S-2.2K
1
+3VRUN
FIR
57 BUSY PD1 RN7 4 3 4P2R-S-2.2K
BUSY ACK# PD0
ACK~ 58 2 1
9 53 PD7
17 14M_SUPERIO CLOCKI PD7
10 51 PD6 PD6 RN5 4 3 4P2R-S-2.2K
12,32 LAD0/FWH0 LAD0 PD6 PD5 PD7
12,32 LAD1/FWH1 12 LAD1 PD5 50 2 1 1/2W

1
13 49 PD4 PD5 RN1 4 3 4P2R-S-2.2K
12,32 LAD2/FWH2
12,32 LAD3/FWH3 14
15
LAD2
LAD3 LPC47N217 PD4
PD3 48
47
PD3
PD2
PD4 2 1 + C430
4.7U/10V_8
R105
2.2_1210
12,32 LFRAME#/FWH4 LFRAME~ PD2
16 46 PD1 U32
12 LPC_DRQ0# LDRQ~ PD1 PD0 C429 .1U/16V_6 FIRVCC

2
8,12,13,20,32 PLTRST# 17 PCI_RESET~ PD0 44 10 LEDA
18 42 SLIN#
13,21 LPCPD# LPCPD~ SLCTIN~ INIT# IRTX2 IR_TX_R
17 PCLK_LPC 20 PCI_CLK STQFP64-9X9-4 INIT~ 41
ERROR#
9 TXD
12,13,21,24,32 SERIRQ 21 SER_IRQ ERROR~ 59
6 60 AFD# U5 R424 *4.75K/F_6 4
12,21,24,25 PME# IO_PME~ ALF~ MD0
19 61 STRB#
12,24,25,32 CLKRUN# CLKRUN~ STROBE~ -RTS1 MRTS1# +3VRUN R425 *100K/F
13,32 KBSMI# 34 GP12/IO_SMI~ 14 T1I T1O 9 5 MD1
40 -DTR1 13 10 MDTR1#
GP23/FDC_PP TXD1 T2I T2O MTXD1 IRRX2 IR_RX_R
+3VRUN 1 2 33 GP11/SYSOPT 12 T3I T3O 11 8 RXD
1

R43 32 5 -DCD1 -DSR1 19 4 MDSR1# IRMODE/IRRX3 IR_SEL_R 3


10K-0402 R40 GP10 DCD1~ -DSR1 RXD1 RIO R1I MRXD1 FIR_SEL
35 GP13/IRQIN1 DSR1~ 64 18 R2O R2I 5
PCLK_LPC *10K-0402 36 62 RXD1 -CTS1 17 6 MCTS1# R426 +3VRUN 1
GP14/IRQIN2 RXD1 -RTS1 -DCD1 R3O R3I MDCD1# +3VRUN VCC
23 GP40 RTS1~ 1 16 R4O R4I 7
24 63 TXD1 -RI1 15 8 MRI1# *100K/F 7
GP41 TXD1 -CTS1 R5O R5I GND
2

25 GP42 CTS1~ 2
R452 27 3 -DTR1 +5VRUN R7 10K-0402 23 2
GP43 DTR1~ -RI1 FORCEON AGND
*22_4 28 GP44 RI1~ 4 22 FORCEOFF#

1
SYSOPT Strap : 29 21 +5VRUN 6 11
GP45 INVAILD# C175 NC S-GND
30 GP46 20 R2OUTB
+
C548 1--2 (High) - 0x04E 31 37 IRRX2 C170 HSDL-3602-007
GP47 IRRX2
GND1
GND2
GND3
GND4

*10P_4 38 IRTX2 0.047U_4 C20 28 26 .33U C14 6.8U/6.3VV_1206


2--3 (Low) - 0x02E IRTX2 IRMODE/IRRX3 C1+ VCC .33U C19 .47U/10V_6

2
IRMODE/IRRX3 39 24 C1- V+ 27
1 3 .33U C10
C2+ V-
2 25
22
43
52

.33U C9 C2- GND


8

B B
MAX3243

+3VRUN

Reserve for docking no pop


Co-layout under switch
R470
10K-0402

C24 4.7U/10V_8

R406 *0_6 C25 .1U/10V_4


3

INT_VGA_RED
Q59 2 1
2N7002
+5VRUN PR_VCC PORT REPLICATOR Connector
PR_INSERT# 2 R407 *0_6 L5 BLM18PG181SN1
INT_VGA_GRN 1.5A

102
R408 *0_6 CN16
INT_VGA_BLU +3VSUS
1

100 50
32 KPCLK 99 49 VA
98 48 R13
32 KPDATA
97 47 10K-0402 C13 4.7U/25V-1210
32 MSCLK VA
96 46
U13 NC7SB3157 C17 .1U/10V_4
32 MSDATA 95 45
6 SEL VCC 5 +3VRUN PR_VCC 94 44
93 43 DOCK_IN# PR_INSERT# C16 .1U/10V_4
PR_INSERT# 13,32
INT_VGA_RED 4 3 VGA_RED MDSR1# 92 42 MDCD1#
8 INT_VGA_RED COM IN_B0 VGA_RED 19
MRTS1# 91 41 MRXD1 C18 .1U/10V_4
1 DCRT_R1 MCTS1# 90 40 MTXD1
IN_B1 MDTR1# C15 .1U/10V_4
2 GND 89 39
MRI1# 88 38 AFD#
C C
2 STRB# 87 37 ERROR#
GND DCRT_G1 PD0 INIT#
IN_B1 1 86 36
85 35 SLIN# PR_HP_OUTL C26 220P_4
INT_VGA_GRN 4 3 VGA_GRN PD1 84 34
8 INT_VGA_GRN COM IN_B0 VGA_GRN 19
PD2 83 33 PR_HP_OUTR C31 220P_4
6 5 PD3 82 32 ACK#
SEL VCC +3VRUN
PD4 81 31 BUSY PR_AUDPLUG C38 10P_4
U15 NC7SB3157 80 30 SLCT
PD5 79 29 PE
U16 NC7SB3157 PD6 78 28
6 PD7
SEL VCC 5 +3VRUN 77 27
PR_HP_OUTL R24 0_6
76 26 PR_HP_L 28
INT_VGA_BLU 4 3 VGA_BLU PR_AUDPLUG 75 25 PR_HP_OUTR R26 0_6 DCRT_B1 C54 *10P_4
8 INT_VGA_BLU COM IN_B0 VGA_BLU 19 28 PR_AUDPLUG PR_HP_R 28
74 24
1 DCRT_B1 73 23 DCRT_G1 C57 *10P_4
IN_B1 PR_USBP2-
2 GND 13 USBP2- 4 3 72 22
1

1 2 PR_USBP2+ 71 21 DCRT_R1 C65 *10P_4


13 USBP2+
1

CML3 DLW21HN900SQ2L 70 20
R45 R42 R38 USBPWR2 69 19 USBPWR2 DOCK_10/100M_LINK# C70 1000P_4
150/F_4 150/F_4 150/F_4 PR_VSYNC 68 18 PR_HSYNC
19 PR_VSYNC PR_HSYNC 19
67 17 DCRT_B1 DOCK_ACTLED# C69 1000P_4
19 PR_DDCCLK
DCRT_G1
2

19 PR_DDCDAT 66 16
DCRT_R1 KPCLK C1 47P_4
2

65 15
Docking side need to change 64 14
63 13 KPDATA C3 47P_4
BOM to DEPOP 150ohm 62 12
12,19,32 M_SEN#
61 11 LANPHYRX+ MSCLK C4 47P_4
DOCK_TRD1P 26
60 10 LANPHYRX-
DOCK_TRD1N 26
R47 470_4 59 9 MSDATA C6 47P_4
+3VRUN
DOCK_10/100M_LINK# 58 8 LANPHYTX+
26 DOCK_10/100M_LINK# DOCK_TRD0P 26
R51 470_4 57 7 LANPHYTX-
+5VRUN +3VRUN DOCK_TRD0N 26
DOCK_ACTLED# 56 6
U6 26 DOCK_ACTLED#
55 5
4 EN# OC# 5 USBOC2# 13 54 4
3 VCC VOUT 6 53 3
2 VCC VOUT 7 52 2
R14 1 8 USBPWR2 51 1
GND VOUT
D D
1K-0402 G528 C22 C21
101

.01U/16V_4 150U/6.3V_7
SOIC8-6-1_27

PROJECT : ED3
Quanta Computer Inc.
Size Document Number Rev
DOCKING & SIO & FIR A3A

Date: Wednesday, June 15, 2005 Sheet 31 of 38


1 2 3 4 5 6 7 8
笔记本图纸QQ107203753
5 4 3 2 1

+3VALW
REF3V VCCRTC
R5
Q15 1K-0603

1
+3VALW_551
*2N7002_NC
3VH_551
37 REFP 2
C48 C87 C49 C47 C58

1
+3VALW +3VALW_551 Q3

1
10U/10V_8 .1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4 Q4 PDTA124EU
NBSWON# 2 PDTA124EU
BT2#

3
2
R30 0_8 L14

1
RC0805 FBM2125HM330
D3

3
551_AVCC
D D
C72 BAS316 BT1#

3
.1U/10V_4 C50 Should have a 0.1uF capacitor close to SUSB# 2 1
2

+3VRUN
C88
.1U/10V_4
.1U/10V_4 every GND-VCC pair + one larger cap on D1
Q1
PDTA124EU
the supply. BAS316

3
123
136
157
166

161
C77 +3VALW ACIN

16

34
45

95
2 1
.1U/10V_4 U17
R8

VDD

AVCC
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

VBAT
100K_4
HOLD#

+3VALW 7 81 TEMP_MBAT C400


12,13,21,24,31 SERIRQ SERIRQ AD0 TEMP_MBAT 37
R654 0 8 82 T103 .1U/16V_6
12 LPC_DRQ1# LDRQ AD1
9 83 T101
12,31 LFRAME#/FWH4 LFRAME AD2
R67 15 84 T102 +3VRUN
12,31 LAD0/FWH0 LAD0 Host interface AD3
12,31 LAD1/FWH1 14 LAD1 IOPE0AD4 87 RF_SW# 24

5
470K_4 13 88
12,31 LAD2/FWH2 LAD2 IOPE1/AD5 BT_SW# 24
10 89 1 R39
12,31 LAD3/FWH3 LAD3 AD Input IOPE2/AD6 SUSC# 13
17 PCLK_551 18 LCLK IOPE3/AD7 90 4 HWPG 34,35,36
D8 2 1 19 93 T100 2 10K-0402
BAS316 KBSMI# 551_KBSMI# LREST DP/AD8 T99
13,31 KBSMI# 2 1 22 SMI DN/AD9 94
D9 BAS316 23 U30 MAX6657_AL
SWI# 551_SWI# PWUREQ NC7SZ08-UHS

3
13 SWI# 2 1 DA0 99 CC-SET 37

3
D13 BAS316 100
DA output DA1 CV-SET 37
SCI# 2 1 551_SCI# 31 101
13 SCI# IOPD3/ECSCI DA2 BRIGHT 18
D12 BAS316 102 T98 Q8
DA3
2 MAX6657_AL# 5
GATEA20 2 1 551_GATEA21 5 32 2N7002
12 GATEA20 GA20/IOPB5 IOPA0/PWM0 SCROLED# 24
D7 BAS316 6 33
KBRST/IOPB6 IOPA1/PWM1 VFAN 27
RCIN# 2 1 551_RCIN# 36
12 RCIN# PWM IOPA2/PWM2 SYSSPKOFF# 28,29
PCLK_551 D6 BAS316 37
IOPA3/PWM3 AMP_MUTE# 29
MX0 BT1#

1
27 MX0 71 KBSIN0
or PORTA
IOPA4/PWM4 38 BT1# 24
1

MX1 72 39 BT2#
27 MX1 KBSIN1 IOPA5/PWM5 BT2# 24
R49 MX2 73 40 R456 0 +3VALW
27 MX2 KBSIN2 IOPA6/PWM6 M_SEN# 12,19,31 CN14
*10_4 MX3 74 43 +3VALW
27 MX3 KBSIN3 IOPA7/PWM7
MX4 77
27 MX4 KBSIN4 1
MX5 78 153 MBCLK R35 4.7K_4
27 MX5 KBSIN5 IOPB0/URXD PR_INSERT# 13,31 25
MX6 TX_551 MBDATA R36 4.7K_4
12

C
27 MX6 79 KBSIN6 IOPB1/UTXD 154 36
C
MX7 80 Key matrix scan 162
27 MX7 KBSIN7 IOPB2/USCLK PWR_BLUE# 24 4
C78 163 MBCLK
PORTB IOPB3/SCL1 MBCLK 5,37
*10P_4 MY0 49 164 MBDATA *551_DEBUG_NC
27 MY0 KBSOUT0 IOPB4/SDA1 MBDATA 5,37
MY1 R37 0_4
2

27 MY1 50 KBSOUT1 IOPB7/RING/PFAIL 165 PLTRST# 8,12,13,20,31


MY2 51
27 MY2 KBSOUT2
MY3 52 168
27 MY3 KBSOUT3 IOPC0 REFON 37
MY4 53 169
27 MY4 KBSOUT4 IOPC1/SCL2 LID551# 18
MY5
27 MY5
MY6
56
57
KBSOUT5 IOPC2/SDA2 170
171
CIRON D5 1 2 BAS316 U10
27 MY6 KBSOUT6 PORTC IOPC3/TA1 DNBSWON# 13
MY7 58 172 ENV0 12 13 D0
27 MY7 KBSOUT7 IOPC4/TB1/EXWINT22 FANSIG 27 A0 D0
MY8 59 175 EC_FPBACK# ENV1 11 14 D1
27 MY8 KBSOUT8 IOPC5/TA2 EC_FPBACK# 18 A1 D1
MY9 60 176 MAX6657_AL BADDR0 10 15 D2
27 MY9 KBSOUT9 IOPC6/TB2/EXWINT23 A2 D2
MY10 61 1 R41 0_4 BADDR1 9 17 D3
27 MY10 KBSOUT10 IOPC7/CLKOUT ICH_PWROK 13 A3 D3
MY11 64 Power LED controll TRIS 8 18 D4
27 MY11 KBSOUT11 A4 D4
MY12 65 26 HOLD# SHBM 7 19 D5
27 MY12 KBSOUT12 PORTD-1 IOPD0/RI1/EXWINT20 A5 D5
MY13 66 29 ACIN A6 6 20 D6
27 MY13 KBSOUT13 IOPD1/RI2/EXWINT21 ACIN 37 A6 D6
MY14 67 30 551_PME# A7 5 21 D7
27 MY14 KBSOUT14 IOPD2/EXWINT24 A7 D7
MY15 68 A8 27
27 MY15 KBSOUT15 A8
2 NBSWON# A9 26
IOPE4/SWIN NBSWON# 24 +3V_S5 A9
105 44 SUSB# +3VALW A10 23 1 A18
TINT PORTE IOPE5/EXWINT40 SUSB# 13 A10 VPP
106 24 551_LPCPD# R70 10K-0402 +3VALW A11 25
TCK IOPE6/LPCPD/EXWIN45 CLKRUN# A12 A11
107 TDO IOPE7/CLKRUN/EXWINT46 25 CLKRUN# 12,24,25,31 4 A12
108 JTAG debug port A13 28
TDI ENV0 R74 A14 A13
PS/2 to Port Replicator 109 TMS IOPH0/A0/ENV0 124 29 A14

2
125 ENV1 A15 3 +3VALW
MSCLK IOPH1/A1/ENV1 BADDR0 4.7K_4 Q16 A16 A15
31 MSCLK 110 PSCLK1/IOPF0 IOPH2/A2/BADDR0 126 2 A16
MSDATA 111 127 BADDR1 A17 30 32
31 MSDATA PSDAT1/IOPF1 IOPH3/A3/BADDR1 A17 VCC
KPCLK 114 128 TRIS PDTC144EU
31 KPCLK PSCLK2/IOPF2 PORTH IOPH4/A4/TRIS
KPDATA 115 131 SHBM 3 1 CS# 22
31 KPDATA PSDAT2/IOPF3 PS2 interface IOPH5/A5/SHBM LAN_PME# 25 CE#
TPCLK 116 132 A6 RD# 24
27 TPCLK PSCLK3/IOPF4 IOPH6/A6 OE#
TPDATA 117 133 A7 WR# 31 16
27 TPDATA PSDAT3/IOPF5 IOPH7/A7 WE# GND
24 CAPSLED# 118 PSCLK4/IOPF6
119 138 D0 *PLCC32
24 NUMLED# PSDAT4/IOPF7 IOPI0/D0
139 D1
IOPI1/D1 D2
IOPI2/D2 140
D3
B
+5VRUN 551_32KX1 158 32KX1/32KCLKOUT
PORTI IOPI3/D3
IOPI4/D4
141
144 D4 FLASH 8Mbit (1M Byte),NO PLCC TYPE CO-layout with U7 B
145 D5
R28 20M_4 551_32KX2 IOPI5/D5 D6
R64 10K-0402 MSCLK
160 32KX2 IOPI6/D6 146
D7
AMD :Pin 10 is RESET# ; Pin12 is RY/BY#
IOPI7/D7 147
R63 10K-0402 MSDATA Y1 SST :Pin10,12 are NC
R61 10K-0402 KPCLK R29 121K/F_4 150 RD#
R59 10K-0402 KPDATA PORTJ-1 IOPJ0/RD WR#
151 U7
R54 10K-0402 TPCLK C56 C39 IOPJ1/WR0 D0
32.768KHZ 21 25
R48 10K-0402 TPDATA 12P_4 12P_4 IOSEL# T88 A0 D0 D1 +3VALW
SELIO 152 IOSEL# is NC now 20 A1 D1 26
D2
19 A2 D2 27
62 41 18 28 D3
IOPJ2/BST0 IOPD4 SUSLED_BLUE# 19 A3 D3
63 42 17 32 D4 R21
21 GRST#_7411 IOPJ3/BST1 PORTD-2 IOPD5 SUSLED_AMBER# 19 A4 D4
69 54 16 33 D5
29 3D_ON# IOPJ4/BST2 PORTJ-2 IOPD6 D/C# 37 A5 D5
70 55 15 34 D6 10K-0402
24 PWR_AMBER# IOPJ5/PFS IOPD7 BL/C# 37 A6 D6 D7
Battery LED BLUE 24 BATLED_BLUE# 75
76
IOPJ6/PLI
143 A8
14
8
A7 D7 35
24 BATLED_AMBER# IOPJ7/BRKL_RSTO IOPK0/A8 A8
and AMBER IOPK1/A9 142 A9
A10
7 A9 RESET#/NC 10 VCC1_PWROK
24 RF_EN 148 IOPM0/D8 IOPK2/A10 135 36 A10 RY/BY#/NC 12

1
149 PORTK 134 A11 6 29 C23
24 BT_PWRON# IOPM1/D9 IOPK3/A11 A11 NC1
155 130 A12 5 38 T86 *PAD .1U/10V_4
13 RSMRST# IOPM2/D10 PORTM IOPK4/A12 A12 NC2
156 129 A13 4 11 +3VALW
T87 IOPM3/D11 IOPK5/A13/BE0 A13 NC3
A14

2
33 VRON 3 IOPM4/D12 IOPK6/A14/BE1 121 3 A14
4 120 A15 2 31
16,34,36 MAINON IOPM5/D13 IOPK7/A15/CBRD A15 VCC
16,34,35,36 SUSON 27 IOPM6/D14 1 A16 VCC 30

1
28 113 A16 40 C28 C29
34 S5_ON IOPM7/D15 IOPL0/A16 A17
112 A17 13 .1U/10V_4 .047U/10V_4
CS# PORTL IOPL1/A17 A18 A18
173 SEL0 IOPL2/A18 104 37 A19

2
174 SEL1 IOPL3/A19 103 GND 23
47 48 CS# 22 39
CLK IOPL4/WR1 RD# CE# GND
24 OE#
+3VALW WR# 9
U11 WE#
AGND
GND1
GND2
GND3
GND4
GND5
GND6
GND7

NC10
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9

MBCLK 6 1
MBDATA SCL A0 SST39VF080
5 SDA A1 2
AJ975510F06
122
159
167
137

3
17
35
46

96

11
12
20
21
85
86
91
92
97
98

A2
7 WP VCC 8 1.AMD-29LV081B require MAX 500nS Tready for it's hardware
GND 4
A C81 +3VALW reset.And MAX6326_UR29 has >100mS reset timing.So we can tie A
*NM24C08
1U/10V_6
it's reset# pin to +3VALW directly.
ENV1 R31 10K-0402 2.SIO has internal 20 mS delay of VCC1_PWROK
BADDR0 R32 *10K_4_NC
BADDR1 R33 *10K_4_NC
SHBM R34 10K-0402

I/O Address
BADDR1-0 Index Data PROJECT : ED2
0 0 2E 2F
0 1
1 0
4E 4F
(HCFGBAH, HCFGBAL)(HCFGBAH, HCFGBAL)+1
Quanta Computer Inc.
1 1 Reserved
Size Document Number Rev
KBC 87551 & Flash A3A

Date: Wednesday, June 15, 2005 Sheet 32 of 38


5 4 3 2 1
笔记本图纸QQ107203753
5 4 3 2 1

value provied
VHCORE
+3VRUN
2.67% offset; +5VRUN VIN8
old value was VIN
for old 4.62% BG waveforms PC22 PC23 PL1

2
10U/25V-1210 10U/25V-1210 HI0805R800R-00
PR1 offset. improved. may
2 1 CMPRF

2
delete from PC16 PC15
D D
30K PR2 42.2K/F future PR5 160mil
.1U PL2
revision.

3
332/F 4.7U/10V_8 PC25 PC20 PC24 PC26 HI0805R800R-00

2
PQ7 PQ6

4
+5VRUN AOD404 *AOD404_NC .1U-0805 .1U-0805 2200P .1U-0805

1
2
DPRSLPVR PQ1 CORE PD2 1 1

3
CH2507S RB551 PC21

2
PC4 10U/25V-1210

3
2
BST1_VCORE
PR8 330P

1
2
2 10 PR6
6,13,17 STP_CPU#
VHCORE
PR24 0.68uH(ETQP6FOR6BF) 2mR-7520

1
PQ3 PQ2 PC14 PL9 PR115

1
CH2507S CH2507S PC1 *100K_NC 3.3-0805 1U-0805

1
1 1 2

4.7U/10V_8

17

28
PU1 1P 2P

3
PQ5 PQ4
AOD414 AOD414 PC17

CORE

V5_1

BST

1
21 2 DH_VCORE
VCCA TG

1
4.7U/10V_8 PD3 PC11 PC107 PC18
+3VRUN 1 1 PD1 SSM14 + 470U/2V + 470U/2V .01U

1
CPU_VID5 9 1 LX_VCORE SSM14
6 CPU_VID5 VID5 DRN PR26 9mOhm 9mOhm

3
CPU_VID4

2
6 CPU_VID4 10 VID4 0_6 7343 7343
PR12 DL_VCORE

2
BG 27
2.2K CPU_VID3 11 SC451 SP SP
6 CPU_VID3 VID3

2
CPU_VID2 12 26
6 CPU_VID2 VID2 PGND
IMVP_PWRGD
CPU_VID1 13
6 CPU_VID1 VID1
PC8
CPU_VID0 14 24 CL PR9 2 1 1.54K/F
6 CPU_VID0 VID0 CL
*.1U_NC

IMVP_PWRGD 16
8,13 IMVP_PWRGD PWRGD
C 23 CMP PR4 2 1 750/F VH_R1 C
CMP
PBOOT 6 VHCORE
PBOOT PR3 0_6
25 22 CLRF PR7 2 1 1.54K/F VH_L1 2 1
32 VRON ENPAD CLRF
VDPR 5 VDPR
DPRSLPVR 4 20 CMPRF PR11 2 1 1.82K/F
13 DPRSLPVR DPRSL CMPRF
Set up for 17 CLK_EN# 7 CLK_ENABLE#
constant-ripple HYS DAC PR10 511/F PC10 PC19
8 HYS DAC 18 2 1 9mOhm +
mode. Was *470U/2V-7343_NC .01U
7343
1

constantfrequency +3VRUN PR13 100K SS_C 15 SS


1

PC2 SP
GND
mode PR25 PR21 PR20 PC9
*61.9K/F_NC *30.1K/F_NC 22.1K/F .01U 1000P
19
2

PBOOT
2

9/3 for core funtion


1

PR22 CL CMP CLRF CMPRF


20 mil Trace list for layout PR139
20K/F PC7 PC6 PC5 PC3
0_6
Added VDPR 220P_6 270P 220P_6 680P
2

CORE
2

filter for
1

PBOOT PC12 PC13 PR23

1000P 1000P 31.6K/F


2

9/3 for core funtion


B B
+5VRUN SS_C
5

PU10
V I D Vcore
VID 5 VID 4 VID 3 VID 2 VID 1 VID 0 2
V 13 DPRSLPVR
4 2
0 1 1
1 0 1 1 1.340 17 CLK_EN#

0 1 1 0 0 0 1.324 *NC7SZ02_NC
PQ56
3

0 1 1 0 1 0 1.292 *CH2507S_NC
0 1 1 1 0 0 1.260
Change to NOR GATE
0 1 1 1 0 1 1.244 +3VRUN
0 1 1 1 1 1 1.212
PR14 PR15 PR16 PR19 PR18 PR17
1 0 0 0 0 1 1.180
1 0 0 0 1 1 1.148
*10K_NC *10K_NC *10K_NC *10K_NC *10K_NC *10K_NC
1 0 0 1 1 0 1.100
1 0 1 0 0 1 1.052 CPU_VID5 CPU_VID4 CPU_VID3 CPU_VID2 CPU_VID1 CPU_VID0
1 0 1 0 1 1 1.020
1 0 1 1 1 0 0.972
1 1 0 0 0 0 0.940

A A

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
CPU CORE (SC451) A3A

Date: Wednesday, June 15, 2005 Sheet 33 of 38


5 4 3 2 1
笔记本图纸QQ107203753
5 4 3 2 1

+3VALW

PC119 PR123
100P 3.32K/F

5
0512 VIN1 VIN
PD16
ZD5.6V PL5
PR85 HI0805R800R-00
D D
PR121 2 1 12mil V+ 120mil 160mil
10K/F
10

1
PQ30

1
PC63 PC64 PC123 PC68 PC73 AO4812
PR122 .1U-0805 .01U .1U-0805
0_6 G1 1000P

4
1 D1 8

2
PR83 2 D1 S1/D2 7 MAIND SUSD
1K-0603
*4.7U/25V-1206_NC 3 G2 6 PC71 +3VSUS
PC121 10U/25V-1206
5,27 MAX6657_OV#
PR78 *0_NC SYS_RESET# 4 S2 5 10U/25V-1210 PC58

PQ35 AO4916 .1U


+12VRUN 1P 2P

PR80 LX3 1 2 160mil +3VALW


+3VRUN
15mil 100K 120mil PC62
3

PL14 PR120

1
10UH-MSCDR1-104R 0.015-3720 PC57 .1U
PC138 + PC115 + +
2 470U/4V 560U/4V *100U/6.3V_NC
PQ49 DH3 15mil TYPE SVPC <Type>
IRLML5103 PR77 0_6 CC3528 PC54

2
3

Item134 .1U +5VALW


DL3 15mil
1

16,32,36 MAINON 2
PR82 PU5 VIN1
220K 1 28
9/3 change MOSFET
CSH3 RUN/ON3
PQ31 120mil

5
DTC144EU PC118
1

2 CSL3 DH3 27
19V .1U/50V
3 26 PC124 PC72
FB3 LX3 10U/25V-1210 .1U-0805
+12VALW 15mil 4 12OUT BST3 25 BST3 15mil
6/7

5
6
7
8
C +5VSUS +15VALW 5 24 C
VDD DL3
1

PC65 VL 2 PQ36 PQ55


4.7U/16V-1206 VL 6 23 PD22 AO4812
SYNC SHDN- SI4800DY
3 4
R301 PR87 0_6 DAP202U PD18 +15VALW
2

4
7 TIME/ON5 V+ 22

1
PC66 1 15mil EP05FA20
10K_4 PR86 8 GND VL 21 15mil SUSD MAIND
*0_NC 4.7U/16V-1206 LX15 15mil 2 1 15mil

2
9 REF PGND 20 +5VRUN
PC85 PC84

1
15mil 4.7U/16V-1206 4.7U/16V-1206

3
2
1
10 SKIP- DL5 19
1632REF 20mil PC120
11 18 BST5 .1U/50V 0.01-3720
32,35,36 HWPG RESET- BST5 PR133 PC91

2
LX5 120mil 160mil .1U

1
12 FB5 LX5 17 1 2 +5VALW
PR125 0_6 PL16
13 CSL5 DH5 16 15mil DH5 STQ125A-7233A 1P 2P

5
6
7
8

1
PR89 PR124 PC86
0_6 0_6 14 15 PC135 + +
CSH5 SEQ +5VSUS
390U/6.3V *100U/6.3V_NC
MAX1632A DL5 4 <Type>
CC3528 PC90 PC132

2
.1U .1U
PQ50
1

PR90 AO4704
PC67 0_6
4.7U/16V-1206
2

3
2
1
B B
VIN +3V_S5 +15VALW +3VALW VIN VTT_MEM +1_5VSUS 1.8VSUS +3VSUS +5VSUS +15VALW

PR71 PR67 PR69 PC116 PR136 PR127 PR129 PR126 PR94 PR97 PR95
PQ29
1M 22-0805 1M .1U 1M 22-0805 22-0805 22-0805 22-0805 22-0805 1M
1 8
2 7
3 6 SUSD
4 5 +3V_S5

3
3

3
3

SI5402
2 PR135 2 2 2 2 2 2
PR72 PC53 16,32,35,36 SUSON
32 S5_ON 2 2 2 1M
1M PQ22 PQ25 .1U PQ43 PQ52 PQ53 PQ51 PQ38 PQ41 PQ40
PQ26 CH2507S CH2507S DTC144EU CH2507S CH2507S CH2507S CH2507S CH2507S CH2507S
1

DTC144EU
1

1
1

VIN VHCORE +VCCP +1_5VRUN +2_5VRUN +3VRUN +5VRUN +15VALW

PR63
A PR60 PR66 PR70 PR73 PR64 PR62 PR65 A
22-0805
1M 22-0805 22-0805 22-0805 22-0805 22-0805 1M

MAIND 35
3

3
3

MAINON 2 PR61 2 2 2 2 2 2 2
16,32,36 MAINON
1M
PROJECT : ED2
PQ16 PQ19 PQ21 PQ23 PQ27 PQ18 PQ17 PQ20
DTC144EU CH2507S CH2507S CH2507S CH2507S CH2507S CH2507S CH2507S Quanta Computer Inc.
1

Size Document Number Rev


5V/3.3V(MAX1632A) A3A

Date: Wednesday, June 15, 2005 Sheet 34 of 38


5 4 3 2 1
笔记本图纸QQ107203753
5 4 3 2 1

+5VSUS +5VALW

VIN3
9/3 change MOSFET
PL8 HI0805R800R-00
VIN
PR132 *0_6_NC

PR134 0_6

5
6
7
8
PC104 PC105 PC106
D D
PR93 10 PQ46 .1U-0805 10U/25V-1206 10U/25V-1206
SI4800DY

2
4
PC76

1
PR92 PC130
.1U PD23
1M SW1010C 4.7U/10V_8

2
PC134

3
2
1
PU7 .1U-0805
PR96 0_6 1 14 7A
16,32,34,36 SUSON EN/PSV BST
2 13 DH-1.5V PL18 +1_5VSUS
VIN DH 2.5UH-MSCDR1-104R
3 12 LX-1.5V
VOUT LX
4 11 PR99 27.4K/F
VCCA ILIM
5 FBK VDDP 10

5
6
7
8

1
PR128 0_6 6 9 DL-1.5V + PC131 + PC88 PC80 PR130 PC126
32,34,36 HWPG PGOOD DL PD24 560U/4V *150U/4V_NC 10U/10V_8 20K/F *.1U_NC
7 8 4 *SSM14_NC TYPE SVPC <Type>
GND PGND CC3528

2
SC1470

2
PQ45
AO4704
1

1
PC75 PC74 PC77 PR131
.1U 1000P .47U/10V_6 10K/F

3
2
1
2

C C

+1_5VSUS

5
6
7
8
4 PQ54
34 MAIND AO4418

3
2
1
+1_5VRUN

PC125
.1U

B B

A A

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
2.5VSUS / +1.25TERM A3A

Date: Wednesday, June 15, 2005 Sheet 35 of 38


5 4 3 2 1
笔记本图纸QQ107203753
5 4 3 2 1

D D

VIN5 VIN

PC98
PL7
1000P HI0805R800R-00
PR101 11K/F
VCCP_FBK

VIN5 +5VSUS +5VALW


PC92
VIN PC95 PC89 PC136
9/3 change MOSFET HWPG 10U/25V-1206 .1U-0805 *10U/25V-1206_NC
PL6 HI0805R800R-00
PR112 PR111
*100P_NC 0_6 *0_NC
8.5A
PR100 PC78 PC87 PQ39 VCCP_DL 20mil
10K/F SI4800DY

8
7
6
5
+VCCP 10U/25V-1210 .1U-0805 VCCP_DH 20mil PU9
SC1485 TON2 TON1
+5VSUS 1 28
4
PGND1 AGND1 9/3 change MOSFET
PR102 0_6 2 27 PC101 PC96
DL1 PGOOD1
9/3 short jamp del PC93 1U/10V_6 15mil VDDP1 3 26 1000P 1000P
VDDP1 FBK1 PC94 1U/10V_6

5
6
7
8
PL15 PR137 15K/F 4 25 VCCA1
3R8UH-MSCDR1-104R ILIM1 VCCA1 PQ42
C C
VCCP_LX SI4800DY
1
2
3 5 LX1 VOUT1 24
PD20 4
8
7
6
5
PC97 .1U-0805 1 2 6 23 TON1 PR104 750K/F
DH1 TON1 VIN5
SW1010C
9/3 short jamp del
1

15mil VCCP_BST 7 BST1 EN/PSV1 22 PR105 0_6 MAINON


MAINON 16,32,34
PC60 PC61 + + 4
.1U .01U PD17 PR138 0_6 8 EN/PSV2 BST2 21 1.8V_BST 15mil PC99 .1U-0805
*SSM14_NC
PR103 1M TON2 1.8V_DH PL17
2

3
2
1
9 TON2 DH2 20
VIN5 20mil 2R5UH-MSCDR1-104R
2

20mil 10 VOUT2 LX2 19 1.8V_LX


1.8VSUS
PC70 PC122 PQ37 +5VSUS PR110 0_6 VCCA2 PR107 15K/F
1
2
3

11 VCCA2 ILIM2 18

5
6
7
8
*470U/2.5V-7343_NC 560U/4V AO4704
TYPE SVPC HWPG 13 17 VDDP2 PD21 PQ44
PGOOD2 VDDP2

1
PR113 *0_NC +5VALW AO4704
SW1010C

1
+5VALW PR109 *0_NC PC102 12 16 4 + +
FBK2 DL2 PD19
1U/10V_6
15mil PR114 0_6 +5VSUS *SSM14_NC PC137

2
14 AGND2 PGND2 15
560U/4V

2
TYPE SVPC
PC103

2
SUSON
16,32,34,35 SUSON 1U/10V_6 PC127 PC83

3
2
1
.1U 470U/4V-7343
PR108 PR106 PC100
11.3K/F 30.1K/F *100P_NC 1.8V_DL
32,34,35 HWPG
HWPG 20mil

1.8V_BAK

B B

+3VSUS

PL19
22/6A +2_5VRUN
+5VSUS

max. 0.5A
PU11 G966
HWPG 1 6
POK VO
MAINON 2 7
VEN ADJ

2
3 5 PR140 PC139
VIN NC 30.1K/F *0.01U_4

1
4 8

GND
VPP GND

1
PC140 PC141

1
+
150U/4V 0.1U_4

1
PC142 1
0.1U_4

2
2
PC143
4.7U/6.3V/X5R PR141
2

14K/F

1
A A

PROJECT : ED2
Quanta Computer Inc.
Size Document Number Rev
+VCCP/+1.25V/+2.5V A3A

Date: Wednesday, June 15, 2005 Sheet 36 of 38


5 4 3 2 1
笔记本图纸QQ107203753
5 4 3 2 1

VH

L53 C549

1
2 1 1 2
PC50
*27NH Q=12 IDC=300ohm .1U-0805
*33P_4

2
3

8
PQ12 PU4A
AC 2 DTC144EU 3 LM358AM
PR54 +
1
182K/F 2

1
-
PD8

1
VA1

4
CN17 PD7 SW1010C
1
5

VA SBM1040
D D
PC44 PC41 PL3 PC47

2
1 PR49 PR56
.1U-0805 .1U-0805 HI0805R800R-00 3 VA1
4 2
300mil 665/F 10K-0603 .01U PQ34

3
PR48 DTC144EU

1
3 PL4 0.02-3720 PC45 REFP
2 HI0805R800R-00 1000P 2 D/C# 32
300mil VH VL
VA2

2
POWERJACK(IDJ-D14-B2) PC46 PC43 PC69
.1U-0805 .01U .1U-0805 PR91

1
PR84
PL10 PC49 PQ14 PR55 22K 220K

2
10U/25V-1206 47K
HI0805R800R-00

8
7
6
5
AO4418 PU6A

8
PR79 REF3V
+ 3
4 3 1 1
VA3 2
-
PQ32
4.7K_6 PR81 LM393
2

1
220K

4
DTC144EU

2
PD6 PR53 PC109 + PC110
SW1010C PD9 100K .1U-0805 10U/25V-1206

3
VH

1
2
3
SW1010C

2
PU6B
1

PC48 VIN
PR88
1

+ 5 32 BL/C# 2
7 180K/F

4
3
2
1

1
220P_6 PR57 PR51 PR58 6 PQ33

1
2
3
4
VAD PQ47 10K-0603 1.82K/F PC113 PR50 - CH2507S
1.82K/F
PR52 AO4411 .01U *47K_NC LM393
1K-0603

1
change

1
PR41 charger PQ13 PQ48
18K/F PQ15 2 2 2SA1576A
PD5
5
6
current 2SA1576A AO4411
7
8
C 2 C
6

PC40 DA204U

8
7
6
5
3 PQ11 PL11 PR119
IMZ2 10UH-MSCDR1-104R 0.02-3720

3
.01U 1 L1-1 L1-2 2 1 BAT-V PL12 HI0805R800R-00 MBAT+
6

2P 1P PL13 HI0805R800R-00

1
PQ10
PD11 +
IMZ2 PD10
1

SSM24 +
IMD PR117 PR118 SW1010C
PD12 118/F 118/F
VH
SSM24 PR59
2

2
22K
1

1
PC37 PU4B
.1U-0805 PR47 LM358AM PC30 PC111
1K-0603 5 .1U PC112 PC117 .01U
+ *10U/25V-1206_NC 100U/25V
7
6 -
CN21 TEMP_MBAT 32
PR40
169K/F MBAT+
6 5 TEMP_MBAT
PR116 PC108
4

PC52
3

1
PC114

ZD5.6V PD15
2

1
10K/F-0603 .01U
7 1

1
PC51 PC55
47P

.1U/50V
SPE-C14455 47P

2
47P
PR75

2
PR29 330
4.99K/F
PR32 PR74
PR45 PC39 182K/F 330
47K PR43 PR33 MBCLK 5,32
47K .1U-0805 47K
PR46 PU2A MBDATA MBDATA 5,32
3

PR31

1
5 20K/F
B + B
47K 2 PD13 PD14
CELL-SET ZD5.6V
- 4 ZD5.6V
7 REF3V
+ LM339 PC27
1 PR34 PC28 PR36
12

PC38

2
6 PR38
- 4700P 10mil REF3V 32
PR42 LM339 10K-0603 1000P 100K
22K PU2B .1U PR35 7.5K/F
PU2C 4.7K_6 PU2D CLOSE TO BATTERY CON
PR39
32 CC-SET 9 + + 11 10mil CV-SET 32
14 13
8 10 60.4K/D
- - REF3V
10mil
LM339 LM339
PR44 PC29 R457
PC42 10K-0603 .1U 0_4
220P_6 PC33
.1U
PR76
OSC 200KHz 10K/F

TEMP_MBAT

1
PC59
FOR 591 CV .01U

2
REAGE REF
PR28
32 ACIN PR37
36K/F
10K-0603
3

VL
A REFP REF3V PQ8 A
PU3 CH2507S
PQ9 20mil REFP 32 PD4
VIN 1 6 1 5 REF3V VAD 1 2 AC 2
Vin Vout REF3V 32
2 5 2 PR27 ZD12V
GND 6.8K PR30
3 4 3 4 10K-0603
SD BP
1

IMD2 PC36 MAX8877 PC31 PC32 PC35


PC34 10U/10V_8
.1U-0805 .1U .1U 10U/10V_8 PROJECT : ED2
32 REFON Quanta Computer Inc.
Size Document Number Rev
BATTERY CHARGER A3A

Date: Wednesday, June 15, 2005 Sheet 37 of 38


5 4 3 2 1
笔记本图纸QQ107203753
A B C D E

Model JM2 MB

MODEL REV CHANGE LIST Page FM TO

1
1A Preliminary Release 2 1A
3
4
4
5 4

6
7
8
9
10
11
12
13
14
15
16
17
18
3 19 3

20
21
22
23
24
25
26
27
28
29
30
31
32
2
33 2

34
35
36
37
38
39
40
41
42
43
44
45
46
47
1 1
48
49

QUANTA PROJECT : DM3B DOC. NO. ??? REV: 1A ASSY:

COMPUTER APPROVED BY : CHECKED BY: DRAWN BY : DATE : SHEET 1 OF 1

A B C D E

You might also like