You are on page 1of 11

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO.

5, MAY 2006 979

Highly Manufacturable Advanced Gate-Stack


Technology for Sub-45-nm Self-Aligned
Gate-First CMOSFETs
Seung-Chul Song, Member, IEEE, Zhibo Zhang, Craig Huffman, Jang H. (Johnny) Sim, Sang Ho Bae,
Paul D. Kirsch, Prashant Majhi, Rino Choi, Member, IEEE, Naim Moumen, and Byoung Hun Lee

Invited Paper

Abstract—Issues surrounding the integration of Hf-based application [5], [6]. Given this history and maturity, integrating
high-κ dielectrics with metal gates in a conventional CMOS them into the production flow of smaller scale devices has been
flow are discussed. The careful choice of a gate-stack process as widely demonstrated [1]–[6], even though many integration
well as optimization of other CMOS process steps enable robust
metal/high-κ CMOSFETs with wide process latitude. HfO2 of a issues remain to be resolved, especially with metal gate
2-nm physical thickness shows a very minimal transient charge technology.
trapping resulting from kinetically suppressed crystallization. Metal gate has been considered as a technology option
Thickness of metal electrode is also a critical factor to optimize for the 45 nm node [7], [8]. Several different approaches to
physical-stress effects and minimize dopant diffusion. A high- integrating a metal/high-κ stack into a CMOSFET flow have
temperature anneal after source/drain implantation in a conven-
tional CMOSFET process is found to reduce the interface state been proposed [9]–[11]. A replacement gate approach that
density and improve the electron mobility. Even though MOSFET implements a metal/high-κ gate stack using a dummy gate
process using single midgap metal gate addresses fundamental after high temperature source/drain (S/D) anneal has received
issues related to implementing metal/high-κ stack, integrating considerable attention [9], but the difficulties of integration and
two different metals on the same wafer (i.e., dual metal gate) potential yield problem were general concern on this approach
poses several additional challenges, such as metal gate separation
between n- and pMOS and gate-stack dry etch. We demonstrate [9]. Fully silicided (FUSI) gate technology is also extensively
that a dual metal gate CMOSFET yields high-performance devices studied recently mainly because it is relatively easy to integrate
even with a conventional gate-first approach if an appropriate [10], but it is still considered a transitional solution to full
metal separation between band-edge metal for nMOS and pMOS metal gate technology since it cannot be free from the reactions
is incorporated. Optimization of dry-etch process enables gentle between the poly gate and high-κ, such as dopant penetrations
and complete removal of two different metal gate stacks on ultra-
thin high-κ layer. and equivalent oxide thickness (EOT) increase [11].
This paper will review various technical challenges in a gate-
Index Terms—Boron diffusion, charge trapping, CMOSFET, first approach to fabricate metal/high-κ stack before the S/D
dual metal gate, electron mobility, equivalent oxide thickness
(EOT), gate first, hafnium, HfO2 , Hf-silicate, high-κ, metal gate, anneal step as in the conventional CMOSFET flow [12]. This
NH3 , TiN. approach requires several innovative processes to make this
sequence compatible with conventional CMOSFET technology,
I. INTRODUCTION such as dual work-function metal gate formation, gate pat-
terning, and metal removal with a minimal damage on high-κ

V ARIOUS hafnium-based high-κ materials are being


considered as candidates for use in high-κ gate stacks at
nodes of 45 nm and below [1]–[4]. The materials and process
dielectrics. Even though initial challenges are significant in
this approach, the final integration flow will be manufacturing
friendly compared to other approaches previously introduced.
have been extensively studied, and they have been considered To investigate the technical challenges involved in the gate-
for use in semiconductor production, especially for low power first CMOS approach, a TiN gate with Hf-based high-κ di-
electrics is used because these materials are more mature than
other candidate materials even though the work function of
Manuscript received July 6, 2005; revised January 23, 2006. The review of TiN is close to midgap [13]. Then, issues in dual metal gate
this paper was arranged by Editor H. Shang. CMOS processes with a gate-first approach will be discussed
S.-C. Song, J. H. Sim, S. H. Bae, and R. Choi are with SEMATECH, Austin,
TX 78741 USA (e-mail: s.c.song@sematech.org). briefly.
Z. Zhang and C. Huffman are Texas Instruments Incorporated assignees at
SEMATECH, Austin, TX 78741 USA.
P. D. Kirsch, N. Moumen, and B. H. Lee are IBM assignees at SEMATECH,
Austin, TX 78741 USA. II. EXPERIMENTAL
P. Majhi is an Intel Corporation assignee at SEMATECH, Austin, TX 78741
USA. Ozonated water was used to clean the surface before
Digital Object Identifier 10.1109/TED.2006.872700 depositing the gate dielectric. This surface treatment tends

0018-9383/$20.00 © 2006 IEEE


980 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 5, MAY 2006

TABLE I
PROCESS FLOW OF FORMING HIGH-κ/METAL GATE STACK

to grow a 0.5–1 nm chemical oxide under the deposited


high-κ dielectric. After surface treatment, either Hf-silicate
(HfSiO) or Hf-dioxide (HfO2 ) was deposited. The HfO2 was
deposited by atomic layer deposition (ALD) using the pre-
cursors Hf[N(CH3 )C2 H5 ]4 with O3 as the oxygen source. In
depositing the Hf-silicate, the precursors Hf[N(CH3 )C2 H5 ]4
and Si[N(CH3 )C2 H5 ]4 were used with O3 as the oxygen source.
Si precursor flow was modulated to incorporate various percent- Fig. 1. TEM cross section of gate-first MOSFET with TiN/high-κ gate stack.
ages of Si in the HfSiO. The resulting HfSiO had 20%–60% The physical gate length is less than 50 nm. The 10-nm TiN gate is shown
underneath the thick polygate.
SiO2 as confirmed by Rutherford backscattering (RBS)
analysis. NH3 anneal was performed after high-κ deposition at
III. RESULTS AND DISCUSSION
700 ◦ C for 60 s.
A TiN layer was prepared by ALD using TiCl4 and NH3 , The proportion of Hf and Si in the HfSiO is an important
which was subsequently capped by 100 nm amorphous Si. The consideration. Some of published reports on optimum com-
TiN ranged from 2–10 nm. A composite amorphous-Si/TiN position conflict each other [15]–[17]. Koike et al. [15], for
stack, rather than a single TiN gate, was used to improve example, found that a high-Hf content is desirable to increase
thermal stability and simplify integration, especially during the the κ value. This conflicts with Koyama’s finding that high Si
gate-stack dry etch [14]. The thick poly gate and minimal metal content is desirable to reduce Fermi-level pinning [16]. To add
gate are easier to integrate and manufacture. The poly Si/TiN to the controversy, Watanabe et al. also found that higher Si
stack was etched in an inductive coupled plasma (ICP) chamber content increases stability and reliability [17].
using HBr chemistry. In reality, however, the composition and thickness of the
The gate-stack dry etch stopped on the high-κ layer, followed HfSiO should be optimized in terms of mobility, scalability,
by ashing to remove the remaining resist and etch residue. dopant diffusion, charge trapping, and reliability. It is necessary
After the gate-stack dry etch, a physical bombardment using to think of both composition and thickness simultaneously to
Ar sputter preceded a wet-chemical removal of HfO2 . Then, optimize final device performance. As the percentage of Si in
passivation with a nitride sidewall was used to prevent addi- HfSiO increases, EOT increases with a corresponding reduction
tional oxidation of the high-κ at the gate edge. BF2 with a in the value of the effective dielectric constant (keff ), as shown
4 × 1015 -cm−2 dose at 20 keV was implanted as the P+ S/D. in Fig. 2. A 10% variation in Si content yields a variation in
For nMOSFET, As with a 5 × 1015 -cm−2 dose at 20 KeV was EOT of approximately 0.15–0.2 nm or more than 10% of the
implanted at the N+ S/D. A conventional CMOS flow was total EOT. This is because EOT is a function of both physical
used with a 1000–1100 ◦ C rapid thermal anneal (RTA) for thickness and the composition of the material. It is therefore
1–10s (1s means spike RTA) after the S/D implant. After the important to obtain uniformity in both physical thickness and
whole process, a forming gas anneal was performed at 480 ◦ C chemical composition.
for 30 min. Fig. 3(a) demonstrates the effect of Si content on threshold
Table I summarizes the process flow described above. Fig. 1 voltage (Vt ). The Vt was extracted by maximum Gm method
shows the transmission electron microscopy (TEM) cross sec- at linear region. In both nMOS and pMOS, the scaling is
tion of the gate-first MOSFET with the TiN/high-κ. As can be linear for Vt with increases in Si percentage. The rise of Vt
seen, the physical gate length is less than 50 nm. The 10-nm with respect to higher Si content is well correlated with the
TiN gate is shown underneath the thick polygate. The TiN gate, increase in EOT. One conclusion is that there is no signature
in exploded view, has a columnar grain structure, and the thin of Fermi-level pinning which is the case in a poly/high-κ
nitride passivation layer is apparent below the oxide spacer and stack [16]. Fermi-level pinning is therefore not a concern in
sidewall of poly Si/TiN gate. the metal/high-κ stack with which this study was concerned.
SONG et al.: ADVANCED GATE-STACK TECHNOLOGY FOR SUB-45-nm SELF-ALIGNED GATE-FIRST CMOSFETs 981

Fig. 2. Effect of Si percentage in Hf-silicate on EOT and effective dielectric


constant (Keff ). As the percentage of Si in HfSiO increases, EOT increases
with a corresponding reduction in the value of the effective dielectric constant
(keff ). HfSiO films with fixed physical thickness of 4.0 nm were used.
Fig. 4. Effect of Si percentage in Hf silicate on electron mobility. Increases in
Si content improve mobility because transient charge trapping is suppressed.

in the HfSiO, resulting in the Vt shift to the negative [18]. The


diffusion process is random, and this randomness yields the
wider Vt distribution. As more Si is available in the bulk of
the HfSiO layer, more stable Si–N bonds are formed. Nitrogen
is commonly added to high-κ film to enhance physical and
electrical properties [15], [19]. Its profile is a function of the
amount and location of Si in the HfSiO, affecting which atom
boron will bond together. With more stable Si–N bonds in the
HfSiO bulk, more boron is stopped within the HfSiO layer.
This relation between the amount of Si and boron diffusion is
confirmed by secondary ion mass spectroscopy (SIMS) analysis
[20]. With more boron in the bulk of HfSiO layer, a greater
positive charge is produced, resulting in a greater negative shift
in the Vt value. When less Si–N bond is available in the HfSiO
bulk, boron tends to pile up at the bottom intefacial layer, where
boron is mostly neutral.
In the gate-first pMOS approach, the pMOS polygate must
be implanted by boron to self-align with the S/D. It is therefore
impossible to eliminate boron from the gate, but reducing the
percentage of Si in the HfSiO minimizes the electrical effect of
boron on pMOS Vt . With a lower proportion of Si in the HfSiO,
boron is less likely to form the chemical bonds (e.g., Hf–N–Si)
that generate a positive electrical charge. Reducing the amount
of Si thus reduces the electrical effect of the boron diffusion,
although it does not eliminate the diffusion itself, which is
inevitable because of the columnar grain structure of the TiN
Fig. 3. (a) Effect of Si percentage in Hf silicate on threshold voltage (Vt ).
Both nMOS and pMOS show linear increasing Vt with increases in Si percent- metal gate. The diffusion through the grain boundary of the
age. (b) Effect of Si percentage in Hf silicate on threshold voltage (Vt ) variation. metal gate, however, can be somewhat controlled by controlling
pMOS Vt value distribution becomes wider with higher Si content. the morphology of the metal gate itself. An amorphous metal
gate is preferred to minimize the diffusion of boron through
Fig. 3(b), however, shows significant differences in Vt spread the grain boundary as in amorphous silicon gate [21]. Reducing
between nMOS and pMOS devices. In nMOS, the distribution the thermal budget after the S/D implantation also retards
of Vt is very tight regardless of Si content. In pMOS, though, diffusion. Obtaining optimum performance, then, may depend
the Vt value distribution becomes wider with higher Si content. on an integrated approach to minimizing the effect of boron
There is a negative shift in Vt , indicating a positive charge in diffusion. When it is necessary to use high Si percentage in the
the high-κ under pMOS conditions. The reason for the increase HfSiO, it is more critical to retard the actual diffusion of boron.
in Vt spread reflects differences in boron diffusion into the Electron mobility is an important factor in nMOS perfor-
HfSiO film. Boron diffuses from the boron-doped polygate mance. Fig. 4 shows the effect of Si content in HfSiO on
through the TiN metal gate. Boron produces a positive charge electron mobility in the high-κ. Mobility was extracted using
982 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 5, MAY 2006

Fig. 6. Effect of physical thickness of HfO2 on EOT and Keff . As HfO2


Fig. 5. Thickness and composition effects on transient charge trapping. thickness decreases, the bottom interfacial layer, which varies much less, be-
Charge trapping can be reduced by absolute reductions in the volume (or comes a greater proportion of the combined thickness. As the bottom interfacial
thickness) of the high-κ by suppressing crystallization and by reducing the layer, which has a relatively lower κ value, becomes a greater portion of the
percentage of Si. combined thickness, the overall κ value decreases.

split C−V and dc Id −Vg curve measured at Vd = 50 mV bonding at the grain boundary could create additional trapping
[22]. Fig. 4 clearly demonstrates that increases in Si content sites [28]. Reducing physical thickness appears to be effective
improve mobility mainly because transient charge trapping in suppressing the crystallization of HfO2 .
is suppressed. The Hf-based high-κ tends to trap charges Fig. 6 shows the effect of HfO2 physical thickness on EOT
when an electrical bias is applied on the gate [23], which and keff . In the figure, physical thickness is expressed as a
is known to degrade electron mobility [24], [25]. The ori- combination of the high-κ and bottom interfacial layers, which
gin of transient charge trapping in Hf-based high-κ is not is used with EOT to calculate the keff value. Under the exper-
fully understood, but seems to be related to an oxygen va- imental conditions for this study, the use of O3 as an oxygen
cancy in the HfO2 portion [26], [27], which can act as a source has the effect of increasing oxide thickness in the bottom
shallow trap. Increasing the Si percentage in HfSiO reduced interfacial layer. Thicker high-κ tends to grow more bottom
the HfO2 portion and consequently reduced the transient oxide due to longer exposure to the O3 during the HfO2 deposi-
charge trapping. This, in turn, enhances electron mobility, tion process. Increasing the bottom interfacial layer thickness
which is closer to intrinsic value. However, this conflicts with has the effect of decreasing the overall κ value, but in fact
earlier requirements for high-performance applications because the overall keff value decreases as the high-κ becomes thinner.
it also increases EOT. Because a thinner EOT is desired for The reason is that as high-κ thickness decreases, the bottom
high-performance applications, there is a conflicting interaction interfacial layer, which varies much less with respect to high-κ
between the two goals (i.e., high Si content decreases EOT, but thickness change, becomes a greater proportion of the com-
increases charge trapping). bined thickness. As the bottom interfacial layer, which has
HfSiO composition and physical thickness are both impor- a relatively lower κ value, becomes a greater portion of the
tant factors in determining charge trapping and EOT. Thinner combined thickness, the overall κ value decreases. Ultimately,
HfSiO results in less charge trapping simply because there is EOT sensitivity to physical thickness is not as great as to
a less volume of material to trap charges. But reducing the pure SiO2 , and the control of the composition of the high-κ
percentage of Si and suppressing the crystallization of HfO2 material may be more important than the control of its phys-
also reduce the charge trapping. Thus, as is shown in Fig. 5, ical thickness. As shown in Fig. 6, a variation of 1 nm in
charge trapping can be reduced by absolute reductions in the physical thickness results in a difference ∼ 0.1 nm in EOT.
volume of the high-κ, by suppressing crystallization and by In fact, physical thickness control is less than ±0.5 nm
reducing the percentage of Si. The reduction in charge trapping (3 sigma), which allows relatively large process latitude.
as a result of decreasing EOT is more significant as the ratio of In Fig. 7, a TEM plane view is used to explore the morphol-
Si in HfSiO is reduced. At about 3 nm, charge trapping becomes ogy of 2 and 4 nm HfO2 films and the crystallization in the
negligible regardless of Si content in HfSiO. In HfO2 , charge two materials. The 4 nm material shows a clear grain boundary
trapping is most sensitive to physical thickness and, at about and high crystallization, while the 2-nm film shows virtually
2 nm, charge trapping almost disappears. For all percentages of none. The result is almost no charge trapping in the 2-nm film
Si, charge trapping is reduced simply as a result of the decrease and subsequent improvements in dc mobility. Several detailed
in the total volume. Even so, scaling down the thickness is more studies on thin-film crystallization demonstrate the kinetics of
effective when the percentage of Si is reduced. Another factor phase transformation with respect to the physical thickness of
contributing charge trapping is the amount of crystallization the thin film [29], [30]. Crystallization in 2 nm HfO2 is kineti-
in HfO2 . Crystallization creates grain boundaries in the film, cally suppressed due to two-dimensional phase transformation
which could in turn provide more traps. Distorted chemical [30], which takes much longer to crystallize. Below a certain
SONG et al.: ADVANCED GATE-STACK TECHNOLOGY FOR SUB-45-nm SELF-ALIGNED GATE-FIRST CMOSFETs 983

(2) are fitting parameters related to barrier height and traps


in the dielectric. As shown in Figs. 5 and 7, thinner high-κ
is desirable to reduce the transient charge trapping and suppress
crystallization and must be scaled down for high-performance
applications. But as the physical thickness is reduced, Jg
increases, which is contrary to what is desired. Jg increases
exponentially as EOT scales and more rapidly as the κ value
of high-κ film becomes higher, as described in (2). That is,
as the high-κ gets thinner, the leakage current reduction from
SiO2 becomes less. Therefore, while high-κ scaling is good,
aggressive high-κ scaling can undermine the benefit of low gate
leakage of the high-κ device. In other words, thickness must
be scaled down within the gate leakage current specification
of the application.
Choosing between scaling and composition control may de-
pend on the application’s specification. For high performance,
Hf content must be increased, thereby increasing the κ value
and reducing EOT. The result is more charge trapping in the
HfSiO with high Hf content. At the same time, physical thick-
ness should also be reduced to reduce charge trapping. In low-
power applications, physical thickness should be sufficient to
reduce the gate leakage. Since charge trapping increases with
thicker film, the Si content of such films should be increased to
minimize the charge trapping.
As noted earlier, nitrogen is incorporated into the film to
modify its electrical characteristics. The specific reasons for its
inclusion are to suppress crystallization, prevent boron pene-
tration, and reduce EOT by increasing the κ value [33]–[36].
Nitrogen, however, tends to degrade the quality of the inter-
face and degrade mobility [37], [38]. Therefore, accomplish-
ing these three purposes may require a sacrifice in mobility.
Since scaling thickness can reduce crystallization, nitrogen
Fig. 7. TEM plane view of (a) 4 nm and (b) 2 nm HfO2 . Crystallization in
2 nm HfO2 is kinetically suppressed due to 2-D phase transformation, which
concentration need not be as high as it might otherwise be.
takes much longer to crystallize. Similarly, the maximum EOT reduction is achieved with about
5% nitrogen, while the introduction of nitrogen decreases the
critical thickness (∼ 2 nm HfO2 in our case), the crystallization transient charge trapping only to a point, after which there is an
becomes extremely slow and may be prevented in a normal anomalous and reverse effect. A 5%–7% nitrogen concentra-
CMOS process flow. tion seems most desirable. An effective method to incorporate
The improvement in dc mobility in the 2 nm HfO2 films, nitrogen is still a subject for further study.
however, cannot be due solely to suppressing crystallization. As ALD TiN thickness increases, grain size and physical
Part of the improvement appears to be due to the amorphous stress on the underlying high-κ likewise increase. Our study
character of the film and reduced remote coulomb scattering. indicates that the film becomes more compressive as it becomes
Different κ values across the crystalline grains and a rougher thicker. The effect of physical stress on SiO2 quality is well
surface at the interfacial boundary result in overall nonunifor- documented [39]; showing that chemical bonding is distorted
mity throughout the channel length causing carrier scattering and even broken due to physical stress. A charge-pumping
[31], [32]. The absence of such nonuniformity owing to the measurement is used to evaluate the interface state; these mea-
amorphous nature of the film allows the 2 nm HfO2 to improve surements indicate that increased stresses are associated with
the pulsed mobility as well as the dc one, as compared to greater ALD TiN thickness, which results in a higher interface
thicker HfO2 . state and increased charge trapping. Fig. 8 shows the effect
κhigh-κ of TiN metal thickness on mobility as a function of channel
Tphysical = · EOT (1) length. Because of the larger interface state and charge trap-
κSiO2
κhigh-κ ping, thicker TiN degrades mobility in long-channel devices.
ln Jg = −A · · EOT + B. (2) Mobility is improved in thick TiN devices as the channel length
κSiO2
shrinks. The physical stress on the high-κ from the metal gate
Equation (1) expresses the relationship between the phys- diminishes as the size of the device diminishes, because less
ical thickness and EOT with regard to κ values of high-κ grain is available to impose stress on the high-κ. Reducing
and pure SiO2 , and (2) shows how the gate leakage current the metal gate thickness is therefore desirable to minimize the
density (Jg ) is expressed by EOT. Constants A and B in adverse physical-stress effects on the underlying high-κ.
984 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 5, MAY 2006

Fig. 8. Effect of TiN metal thickness on mobility. Thicker TiN degrades


mobility in long-channel devices because of the larger interface state and charge
trapping caused by physical stress on underlying high-κ.

Fig. 10. Gate-edge profile comparing (a) O2 ashing and (b) NH3 ashing taken
after gate-stack dry etch. Due to the absence of oxygen in ashing process,
NH3 ashing results in less Si recess and bottom oxide “bird’s beak” at the
gate edge.

thickness reduces. It is found that the nitrogen content in TiN


diminishes as the TiN becomes thinner from RBS study. The
higher electronegativity of nitrogen is believed to increase the
work function of thicker TiN [40].
The thickness of TiN has additional effect on pMOS Vt . As
the TiN becomes thinner, pMOS Vt rises more than nMOS Vt
is reduced, demonstrating an effect other than work function
on the pMOS Vt resulting from TiN thickness. For pMOS,
boron diffuses into the high-κ and produces a positive charge,
resulting in a negative Vt shift. As the TiN becomes thinner, the
effect is increased due to the shorter distance and smaller
grain (i.e., more grain boundaries). As a result, Vt distribution
becomes wider and more random across the wafer due to boron
penetration. Therefore, even though the effects of physical
stress are somewhat relaxed as TiN becomes thinner, the effect
of the increased boron diffusion must necessarily be taken into
consideration in pMOS.
Since electron mobility is higher and Vt is lower, thin TiN
should be used in nMOS, but a thicker TiN should be used
Fig. 9. Effects of ALD TiN thickness on (a) Vt shift and (b) Vt distribution in pMOS or another metal should be chosen that would allow
within the wafer. As TiN thickness gets thinner, nitrogen becomes depleted,
reducing work function manifested by decreasing nMOS Vt and increasing the advantages of a thin metal gate without the boron-diffusion
pMOS Vt . Due to enhanced boron diffusion, pMOS with thinner TiN results effects seen here. In short, the metal gate should be optimized
in wider Vt distribution. separately for each application: nMOS and pMOS. This can
be achieved by dual metal gate CMOS process, which will be
Finally, work function and dopant diffusion should also be discussed later in this section.
taken into account to determine the final metal gate thickness. As the size of devices decreases, gate-edge profile becomes
Fig. 9(a) and (b) shows the effect of ALD TiN thickness on increasingly important. Fig. 10(a) and (b) shows how NH3 ,
Vt shift and distribution. As the TiN becomes thinner, the instead of O2 , in the ashing process can improve the gate-edge
nMOS Vt decreases as well. pMOS Vt , however, increases with profile. According to Bersuker et al. [41] and Yeap et al. [42],
thinner TiN, indicating that the work function decreases as TiN it is necessary to remove the high-κ in the S/D area to eliminate
SONG et al.: ADVANCED GATE-STACK TECHNOLOGY FOR SUB-45-nm SELF-ALIGNED GATE-FIRST CMOSFETs 985

Fig. 11. nMOSFET Vt rolloff curve with channel length comparing poly gate Fig. 12. Comparison of interface state density measured by charge-pumping
and TiN metal gate. Vt rolloff was much more pronounced with a TiN metal method with respect to different spike anneal temperature. High-temperature
gate than with a poly gate device, since the TiN metal gate may block more halo RTA anneals out the defects and enhances the characteristics of the interface
implantation than the poly gate. without significantly degrading other factors that had been of concern.

or reduce process-induced charging and fringing field-induced offset spacer or notched gate structure could minimize the
barrier lowering (FIBL) effects. HfO2 requires physical bom- halo implantation through the metal gate layer. From the n
bardment before wet etch and is difficult to remove following and pMOSFET device of Lg ∼ 50 nm with optimized halo
the postdeposition anneal (PDA), while HfSiO is removed quite implantation, the subthreshold swing values are ∼ 110 and
easily by an HF-based wet chemical solution. The wet-chemical ∼ 115 mV/dec, respectively.
removal process, however, tends to “undercut” the high-κ un- RTA should be performed after the S/D implantation, which
derneath the metal gate due to isotropic nature of wet-etching occurs after gate-stack formation in a conventional gate-first
process. When a nitride liner layer later fills the undercut, gate CMOS flow. The high temperature applied to the high-κ/metal
leakage current rises, especially in short-channel devices due gate stack becomes an issue because of crystallization [43]
to larger defects in the silicon nitride layer deposited at the or potential interactions among the materials [44], especially
gate edge. Ashing process using NH3 gas eliminates this under- metal gate and high-κ interface. These issues have led to the
cutting and the “bird’s beak” effect at the gate edge. When an assumption that RTA could be a showstopper for metal/high-κ
O2 ashing is used, oxygen diffuses rapidly through the high-κ integration in a gate-first approach [45]. It also was thought
layer. The growth of bottom oxide underneath high-κ at the that the RTA issues might make metal/high-κ integration more
S/D region consumes the Si layer and causes a Si recess in the appropriate for a gate-last approach to manufacturing [46].
active area when the high-κ/bottom interfacial layer is removed. The gate-first approach, however, is significantly easier from
Oxygen atoms can also diffuse laterally into the gate edge, a manufacturing viewpoint; consequently, an attempt was made
which increases the bottom oxide under the high-κ at the gate to adopt it despite the perceived difficulties. Originally, it was
edge, causing the “bird’s beak” appearance. This has the effect thought that in addition to the crystallization issues, the high
of increasing EOT, especially for short-channel devices. The temperatures of RTA would yield variations in grain size and
use of a nonoxygen-based ashing process, in this case NH3 , orientation that would be unacceptable because of the resultant
resolves the problem. degraded mobility [47]. High temperature RTA, however, has
Fig. 11 compares Vt rolloff characteristics with respect recently been shown to offer significant advantages. It can
to channel length (Lg ) between poly- and TiN metal gate reduce point defects in HfO2 [48], resulting in strain-induced
nMOSFET. It was found that Vt rolloff was much more self-organization [49] and improved mobility [50].
pronounced with a TiN metal gate than with a poly gate In this paper, we found that a higher spike anneal tempera-
device. The reason is that the TiN metal gate may block ture resulted in less interface state density and better electron
more halo implantation than the poly gate, degrading short- mobility. As the spike anneal temperature was increased, the
channel effects. It is necessary to have sufficient halo implan- interface state decreased significantly, and that decrease also
tation to offset the short-channel effect. An SIMS analysis improved the mobility. Moreover, these benefits were achieved
confirmed this explanation of the differences between TiN without any degradation of dc mobility or increased tendency
metal and poly gate devices. Almost 40% of the implantation to crystallize. When the metal gate, such as TiN, is thermally
dose is lost during implantation through the 5-nm (calculated stable with high-κ, no increase in EOT or shift in work function
thickness through which halo implantation travels at the gate was observed. Fig. 12 demonstrates the effect on interface
edge) TiN layer as compared to a poly Si layer of the same state density when different temperatures were applied during
thickness. Moreover, implantation through the metal gate also the RTA with a “spike” temperature. High-temperature RTA
appears to push the metal atoms into the high-κ at the gate actually anneals out the defects and enhances the characteristics
edge, severely compromising dielectric integrity. Using an of the interface without significantly degrading other factors
986 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 5, MAY 2006

Fig. 13. Ion −Ioff of optimized TiN/Hf-silicate CMOSFET. At Ioff =


100 nA/µm, Ion = 1000 µA/µm and 480 µA/µm have been achieved for
n and pMOS, respectively, using Vdd = 1.1 V condition. Fig. 15. Electron and hole mobility from dual metal gate CMOSFETs with
TaSiN and Ru for n and pMOS, respectively. High values for electron and hole
mobility were obtained in both cases.

is etched selectively before removing the remaining hardmask.


During the hardmask removal, the first metal is exposed as
well as the high-κ. A second metal is added, followed by the
poly gate deposition. Etching through the gate, as shown, yields
contact with the double metal stack (left) and the single metal
(right). A device with a double metal stack (first-metal touching
on high-κ) could be an nMOSFET and the single-metal portion
(second metal on high-κ) could be a pMOSFET, or vise versa.
Although there are other possibilities, this is considered the
Fig. 14. Dual metal gate integration scheme using MEM method. Although most flexible integration schemes. Two critical challenges still
there are other possibilities, this MEM approach is considered the most flexible
integration schemes.
remain: the high-κ must withstand the first-metal wet etch
and hardmask removal, and the gate etch must deal with two
different gate stacks on the same wafer. The processes of wet
that had been of concern. The indication, then, is that when the etch and hardmask removal must be unique to HfO2 and HfSiO.
interface is poor, a high-temperature RTA is one approach to The hardmask material should be selected carefully so that its
improve the device characteristics. On the other hand, when the removal does not affect the underlying high-κ.
quality of the interface is already good, the advantages of the Fig. 15 shows the electron and hole mobility from dual
high-temperature anneal are not as obvious. metal gate CMOSFETs. The two metals chosen were TaSiN
Fig. 13 indicates the improvements in performance that are for nMOS and Ru for pMOS. Tetra ethyl oxysilane (TEOS)
possible with the various optimizing procedures discussed here. oxide was used as the hardmask. Since a TEOS hardmask is
At Ioff = 100 nA/µm, Ion = 1000 µA/µm, and 480 µA/µm not compatible with HfSiO, HfO2 was used for this experiment.
have been achieved for n and pMOS, respectively, using Vdd = The appropriate selection of a hardmask material would allow
1.1 V condition. The 3 nm HfSiO was used with 20% Si HfSiO in the dual metal gate integration as well. High values
content; the EOT was 1.2 nm. The overall effective dielectric for electron and hole mobility were obtained in both cases.
constant is ∼ 12, taking ∼ 0.6 nm of bottom interfacial layer Selection of different metals may yield even more favorable
into account. The bottom interfacial layer has relatively higher results in terms of work function and EOT.
dielectric constant compared to pure SiO2 due to oxygen defi-
ciency in the layer [51].
Fig. 14 illustrates the integration scheme of the dual metal IV. CONCLUSION
into a CMOSFET. The present study used a metal-etch-metal Incorporating high-κ and metal gate into a conventional
(MEM) manufacturing approach. The first step is the prepara- CMOS flow requires the gate-stack process as well as other
tion of two different metals to be used for nMOS and pMOS. CMOS-process steps to be optimized. Optimizing composi-
This involved a metal-separation process and gate-stack dry tion and thickness of high-κ is important, as transient charge
etch through different metal stacks within one wafer, as de- trapping depends critically on both physical parameters of the
scribed below. The high-κ and first-metal layers are deposited high-κ. From our study, HfO2 with a physical thickness of 2 nm
on the Si substrate followed by the deposition of hardmask has almost no charge trapping with complete coverage of the
and then photo resist. Once the hardmask layer is selectively wafer. Finding the optimum metal thickness is also important in
removed, the photo-resist layer is removed and the first metal preventing performance degradation induced by physical stress
SONG et al.: ADVANCED GATE-STACK TECHNOLOGY FOR SUB-45-nm SELF-ALIGNED GATE-FIRST CMOSFETs 987

of the metal film and suppressing dopant diffusion from the and C. H. Wann, “Thermally robust dual-work function ALD-MN/sub x/
poly gate. The composition and thickness of the metal gate MOSFETs using conventional CMOS process flow,” in VLSI Symp. Tech.
Dig., 2004, pp. 186–187.
also have a great influence on Vt and device performance. [15] M. Koike, T. Ino, Y. Kamimuta, M. Koyama, Y. Kamata, M. Suzuki,
A high-temperature anneal after S/D implantation does not Y. Mitani, A. Nishiyama, and Y. Tsunashima, “Effect of Hf-N bond on
seem to be a limiting factor in integrating a metal/high-κ stack properties of thermally stable amorphous HfSiON and applicability of this
material to sub-50 nm technology node LSIs,” in IEDM Tech. Dig., 2003,
into a conventional CMOS flow. Recent findings show that a pp. 4.7.1–4.7.4.
high-temperature anneal enables structural relaxation of the [16] M. Koyama, Y. Kamimuta, T. Ino, A. Nishiyama, A. Kaneko, S. Inumiya,
high-κ, improving the interface quality. A dual metal gate inte- K. Eguchi, and M. Takayanagi, “Careful examination on the asym-
metric Vfb shift problem for poly-Si/HfSiON gate stack and its solu-
gration scheme has been demonstrated using a metal-separation tion by the Hf concentration control in the dielectric near the
process. A careful choice of the hardmask material enables the poly-Si interface with small EOT expense,” in IEDM Tech. Dig., 2004,
integration of both HfO2 and HfSiO high-κ into a flexible MEM pp. 499–502.
dual metal gate CMOS scheme. [17] T. Watanabe, M. Takayanagi, K. Kojima, K. Ishimaru, H. Ishiuchi,
K. Sekine, H. Yamasaki, and K. Eguchi, “Impact of Hf concentration on
performance and reliability for HfSiON-CMOSFET,” in IEDM Tech. Dig.,
R EFERENCES 2004, pp. 507–510.
[18] S.-C. Song, Z. Zhang, and B. H. Lee, “Effects of boron diffusion in
[1] S. Toyoda, J. Okabayashi, H. Kumigashira, M. Oshima, K. Yamashita,
pMOSFETs with TiN-HfSiO gate stack,” IEEE Electron Device Lett.,
M. Niwa, K. Usuda, and G. L. Liu, “Crystallization in HfO2 gate insu-
vol. 26, no. 6, pp. 366–368, Jun. 2005.
lators with in situ annealing studied by valence-band photoemission and
[19] C. S. Kang, H. J. Cho, K. Onishi, R. Choi, Y. H. Kim, R. Nieh, J. Han,
X-ray absorption spectroscopy,” J. Appl. Phys., vol. 97, no. 10, p. 104507,
S. Krishnan, A. Shahriar, and J. C. Lee, “Nitrogen concentration effects
May 2005.
and performance improvement of MOSFETs using thermally stable
[2] R. Puthenkovilakam, M. Sawkar, and J. P. Chang, “Electrical charac-
HfOxNy gate dielectrics,” in IEDM Tech. Dig., 2002, pp. 865–868.
teristics of postdeposition annealed HfO2 on silicon,” Appl. Phys. Lett.,
[20] S. C. Song, S. H. Bae, J. H. Sim, G. Bersuker, Z. Zhang,
vol. 86, no. 20, p. 202902, May 2005.
P. Kirsch, P. Majhi, N. Moumen, P. Zeitzoff, and B. H. Lee, “Highly man-
[3] J. Park, M. Cho, S. K. Kim, T. J. Park, S. W. Lee, S. H. Hong, and ufacturable Hf-silicate technology with optimized composition for gate-
C. S. Hwang, “Influence of the oxygen concentration of atomic-layer- first metal gate CMOSFET,” in Proc. Int. Conf. Solid State Device Mater.,
deposited HfO2 films on the dielectric property and interface trap den- 2005, p. 922.
sity,” Appl. Phys. Lett., vol. 86, no. 11, p. 112907, 2005. [21] M. Cao, V. Voorde, M. Cox, and W. Greene, “Boron diffusion and pene-
[4] S. Kamiyama, T. Miura, Y. Nara, and T. Arikado, “Electrical prop- tration in ultrathin oxide with poly-Si gate,” IEEE Electron Device Lett.,
erties of 0.5 nm thick Hf-silicate top-layer/HfO2 gate dielectrics by vol. 19, no. 8, pp. 291–293, Aug. 1998.
atomic layer deposition,” Appl. Phys. Lett., vol. 86, no. 22, p. 222904, [22] C. D. Young, P. Zeitzoff, G. A. Brown, G. Bersuker, B. H. Lee, and
May 2005. J. R. Hauser, “Intrinsic mobility evaluation of high-κ gate dielectric tran-
[5] B. Vermeire, V. S. Pandit, H. G. Parks, S. Raghavan, K. Ramkumar, sistors using pulsed Id −Vg ,” IEEE Electron Device Lett., vol. 26, no. 8,
and J. Jeon, “Hafnium or zirconium high-κ fab cross-contamination pp. 586–589, Aug. 2005.
issues,” IEEE Trans. Semicond. Manuf., vol. 17, no. 4, pp. 582–589, [23] A. Kerber, E. Cartier, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim,
Nov. 2004. A. Hou, G. Groeseneken, H. E. Maes, and U. Schwalke, “Origin of the
[6] P. Majhi, W. C. M. Peters, L. van Marwijk, and M. Murply, “Integration threshold voltage instability in SiO/HfO dual layer gate dielectrics,” IEEE
of high-κ dielectric thin films and hetero-structures in MIS capacitors,” Electron Device Lett., vol. 24, no. 1, pp. 87–89, Jan. 2003.
in Proc. IEEE Int. Symp. Semicond. Manuf., 2003, pp. 195–198. [24] C. Y. Kang, J. C. Lee, R. Choi, J. H. Sim, C. Young, B. H. Lee, and
[7] P. Majhi, C. Young, G. Bersuker, H. C. Wen, G. A. Brown, B. Foran, G. Bersuker, “Charge trapping effects in HfSiON dielectrics on the ring
R. Choi, P. M. Zeitzoff, and H. R. Huff, “Influence of metal gate mate- oscillator circuit and the single stage inverter operation,” in IEDM Tech.
rials and processing on planar CMOS device characteristics with high-κ Dig., 2005, pp. 485–488.
gate dielectrics,” in Proc. 34th Eur. Solid-State Device Res. Conf., 2004, [25] B. H. Lee, C. D. Young, R. Choi, J. H. Sim, G. Bersuker, C. Y. Kang,
pp. 185–188. R. Harris, G. A. Brown, K. Matthews, S. C. Song, N. Moumen, J. Barnett,
[8] P. Singer, “45 nm faces the risks and rewards of new materials,” Semicond. P. Lysaght, K. S. Choi, H. C. Wen, C. Huffman, H. Alshareef, P. Majhi,
Int., vol. 28, no. 1, p. 46, 2005. S. Gopalan, J. Peterson, P. Kirsh, H.-J. Li, J. Gutt, M. Gardner,
[9] A. Yagishita, T. Saito, K. Nakajima, S. Inumiya, Y. Akasaka, Y. Ozawa, H. R. Huff, P. Zeitzoff, R. W. Murto, L. Larson, and C. Ramiller,
G. Minamihaba, H. Yano, K. Hieda, K. Suguro, T. Arikado, and “Intrinsic characteristics of high-κ devices and implications of fast tran-
K. Okumura, “High performance metal gate MOSFETs fabricated by sient charging effects (FTCE),” in IEDM Tech. Dig., 2005, pp. 859–862.
CMP for 0.1 µm regime,” in IEDM Tech. Dig., 1998, pp. 785–788. [26] K. Yamabe, M. Goto, K. Higuchi, A. Uedono, K. Shiraishi, S. Miyazaki,
[10] K. G. Anil, A. Veloso, S. Kubicek, T. Schram, E. Augendre, J.-F. K. Torii, M. Boero, T. Chikyow, S. Yamasaki, H. Kitajima, K. Yamada,
de Marneffe, K. Devriendt, A. Lauwers, S. Brus, K. Henson, and and T. Arikado, “Charge trapping by oxygen-related defects in HfO2 -
S. Biesemans, “Demonstration of fully Ni-silicided metal gates on HfO2 based high-κ gate dielectrics,” in Proc. IEEE 43rd Int. Reliab. Phys.
based high-κ gate dielectrics as a candidate for low power applications,” Symp., 2005, pp. 648–649.
in VLSI Symp. Tech. Dig., 2004, pp. 190–191. [27] J. L. Gavartin, A. L. Shluger, A. S. Foster, and G. I. Bersuker, “The role
[11] E. Cartier, V. Narayanan, E. P. Gusev, P. Jamison, B. Linder, of nitrogen-related defects in high-κ dielectric oxides: Density-functional
M. Steen, K. K. Chan, M. Frank, N. Bojarczuk, M. Copel, S. A. Cohen, studies,” J. Appl. Phys., vol. 97, no. 5, p. 53704, Mar. 2005.
S. Zafar, A. Callegari, M. Gribelyuk, M. P. Chudzik, C. Cabral, Jr., [28] B. H. Lee, R. Choi, S. C. Song, C. D. Young, G. Bersuker, H. K. Park,
R. Carruthers, C. D’Emic, J. Newbury, D. Lacey, S. Guha, and R. Jammy, and H. Hwang, “Physical origin of fast transient charging in hafnium
“Systematic study of pFET Vt with Hf-based gate stacks with poly-Si and based gate dielectrics,” in Proc. Int. Conf. Solid State Devices Mater.,
FUSI gates,” in VLSI Symp. Tech. Dig., 2004, pp. 44–45. 2005, p. 16.
[12] B. H. Lee, R. Choi, L. Kang, S. Gopalan, R. Nieh, K. Onishi, Y. Jeon, [29] H. Kim, A. Marshall, P. C. McIntyre, and K. C. Saraswat, “Crystallization
W. J. Qi, and J. C. Lee, “Characteristics of TaN gate MOSFET kinetics and microstructure-dependent leakage current behavior of ultra-
with ultrathin hafnium oxide (8 Å–12 Å),” in IEDM Tech. Dig., 2000, thin HfO2 dielectrics: In situ annealing studies,” Appl. Phys. Lett., vol. 84,
pp. 39–42. no. 12, pp. 2064–2066, Mar. 2004.
[13] J. Westlinder, T. Schram, L. Pantisano, E. Cartier, A. Kerber, G. S. Lujan, [30] V. I. Trofimov, I. V. Trofimov, and J.-I. Kim, “Finite size effects in
J. Olsson, and G. Groeseneken, “On the thermal stability of atomic layer phase transformation kinetics in thin films and surface layers,” Nucl.
deposited TiN as gate electrode in MOS devices,” IEEE Electron Device Instrum. Methods Phys. Res., B Beam Interact. Mater. Atoms, vol. 216,
Lett., vol. 24, no. 9, pp. 550–552, Sep. 2003. pp. 334–339, Feb. 2004.
[14] D.-G. Park, Z. J. Luo, N. Edleman, W. Zhu, P. Nguyen, K. Wong, [31] T. Yamaguchi, R. Iijima, T. Ino, A. Nishiyama, H. Satake, and
C. Cabral, P. Jamison, B. H. Lee, A. Chou, M. Chudzik, J. Bruley, N. Fukushima, “Additional scattering effects for mobility degradation in
O. Gluschenkov, P. Ronsheim, A. Chakravarti, R. Mitchell, V. Ku, Hf-silicate gate MISFETs,” in IEDM Tech. Dig., 2002, pp. 621–624.
H. Kim, E. Duch, P. Kozlowski, C. Dapos Emic, V. Narayanan, [32] G. Bersuker, J. H. Sim, C. D. Young, R. Choi, B. H. Lee, P. Lysaght,
A. Steegen, R. Wise, R. Jammy, R. Rengarajan, H. Ng, A. Sekiguchi, G. A. Brown, P. M. Zeitzoff, M. Gardner, R. W. Murto, and H. R. Huff,
988 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 5, MAY 2006

“Effects of structural properties of Hf-based gate stack on transistor per- [51] G. Bersuker, J. Barnett, N. Moumen, B. Foran, C. D. Young, P. Lysaght,
formance,” in Proc. Integr. Adv. Micro- Nanoelectron. Devices-Critical J. Peterson, B. H. Lee, P. M. Zeitzoff, and H. R. Huff, “Interfacial layer-
Issues Solut., 2004, p. 31. induced mobility degradation in high-κ transistors,” Jpn. J. Appl. Phys.,
[33] N. Umezawa, K. Shiraishi, T. Ohno, H. Watanabe, T. Chikyow, K. Torii, vol. 43, no. 11B, pp. 7899–7902, Nov. 2004.
K. Yamabe, K. Yamada, H. Kitajima, and T. Arikado, “First-principles
studies of the intrinsic effect of nitrogen atoms on reduction in gate
leakage current through Hf-based high-κ dielectrics,” Appl. Phys. Lett.,
vol. 86, no. 14, p. 143507, Apr. 2005.
[34] M. Koyama, T. Ino, Y. Kamimuta, M. Suzuki, C. Hongo, and A.
Nishiyama, “Systematic examination of boron diffusion phenomenon in
HfSiON high-κ gate insulator,” in Proc. Integr. Adv. Micro- Nanoelectron.
Devices-Critical Issues Solut., 2004, p. 247.
[35] M. R. Visokay, J. J. Chambers, A. L. P. Rotondaro, A. Shanware, and Seung-Chul Song (M’04) received the B.S. degree
L. Colombo, “Application of HfSiON as a gate dielectric material,” Appl. in materials science and engineering from the Uni-
Phys. Lett., vol. 80, no. 17, pp. 3183–3185, Apr. 2002. versity of Incheon, Incheon, Korea, in 1996, the M.S.
[36] M. A. Quevedo-Lopez, M. El-Bouanani, M. J. Kim, B. E. Gnade, degree in materials science and engineering, and the
R. M. Wallace, M. R. Visokay, A. LiFatou, J. J. Chambers, and Ph.D. degree in electrical and computer engineering
L. Colombo, “Effect of N incorporation on boron penetration from p+ from the University of Texas, Austin, in 1998 and
polycrystalline-Si through HfSixOy films,” Appl. Phys. Lett., vol. 82, 1999, respectively.
no. 26, pp. 4669–4671, Jun. 2003. He was with Motorola Semiconductor Product
[37] C. Choi, C. S. Kang, C. Y. Kang, R. Choi, H. J. Cho, Y. H. Kim, S. J. Rhee, Sector and Samsung Electronics during 2000–2002
M. Akbar, and J. C. Lee, “The effects of nitrogen and silicon profile on and 2002–2004, respectively, participating in 0.15-,
high-κ MOSFET performance and bias temperature instability,” in VLSI 0.13-, and 0.1-µm CMOS process integration and
Symp. Tech. Dig., 2004, pp. 214–215. device research. He is currently a Project Manager in the FEP Division,
[38] H.-J. Cho, C. Y. Kang, C. S. Kang, R. Choi, Y. H. Kim, M. S. Akbar, SEMATECH, Austin, TX, focusing on planar and nonplanar CMOS inte-
C. H. Choi, S. J. Rhee, and J. C. Lee, “The effects of nitrogen in HfO2 for gration and device research associated with dual metal gate and high-κ di-
improved MOSFET performance,” in Proc. Int. Semicond. Device Res. electric stack. He has authored or coauthored more than 50 conference and
Symp., 2003, pp. 68–69. journal papers.
[39] T.-C. Yang and K. C. Saraswat, “Effect of physical stress on the degra- Dr. Song received the Best Paper Award in the 1998 ESSDERC.
dation of thin SiO2 films under electrical stress,” IEEE Trans. Electron
Devices, vol. 47, no. 4, pp. 746–755, Apr. 2000.
[40] Y. Gotoh, H. Tsuji, and J. Ishikawa, “Measurement of work function
of transition metal nitride and carbide thin films,” J. Vac. Sci. Tech-
nol. B, Microelectron. Process. Phenom., vol. 21, no. 4, pp. 1607–1611,
Jul. 2003.
[41] G. Bersuker, J. Gutt, N. Chaudhary, N. Moumen, B. H. Lee, J. Barnett,
S. Gopalan, G. Brown, Y. Kim, C. D. Young, J. Peterson, H.-J. Li,
Zhibo Zhang received the B.S. degree in engi-
P. M. Zeitzoff, G. A. J. H. Sim, P. Lysaght, M. Gardner, R. W. Murto,
neering physics from Tsinghua University, Beijing,
and H. R. Huff, “Integration issues of high-κ gate stack: Process- China, in 1990, the M.S. degree in electrical en-
induced charging,” in Proc. 42nd IEEE Int. Reliab. Phys. Symp., 2004,
gineering from the Chinese Academy of Sciences,
pp. 479–484.
Beijing, China, in 1993, and the Ph.D. degree in
[42] G. C.-F. Yeap, S. Krishnan, and M.-R. Lin, “Fringing-induced barrier
solid state physics from the Massachusetts Institute
lowering (FIBL) in sub-100 nm MOSFETs with high-κ gate dielectrics,” of Technology, Cambridge, in 1998.
Electron. Lett., vol. 34, no. 11, pp. 1150–1152, May 1998.
He was a Member of Technical Staff at Lu-
[43] H. Kim, P. C. McIntyre, and K. C. Saraswat, “Effects of crystalliza-
cent Technologies Bell Labs from 1998 to 2000,
tion on the electrical properties of ultrathin HfO2 dielectrics grown by
working on semiconductor process integration for
atomic layer deposition,” Appl. Phys. Lett., vol. 82, no. 1, pp. 106–108, system-on-a-chip applications. From 2000 to 2004,
Jan. 2003.
he was an Assistant Professor in electrical engineering at North Carolina
[44] K. P. Bastos, C. Driemeier, R. P. Pezzi, G. V. Soares, L. Miotti,
State University and conducted research on nanofabrication and nanoscale
J. Morais, I. J. R. Baumvol, and R. M. Wallace, “Thermal stability of
devices. He joined Texas Instruments Incorporated (TI), Austin, TX, in
Hf-based high-κ dielectric films on silicon for advanced CMOS devices,” 2004 and was an Assignee at SEMATECH’s FEP Division, Austin, TX,
Mater. Sci. Eng., B, Solid-State Mater. Adv. Technol., vol. B112, no. 2–3,
working on metal gate and high-κ dielectrics integration. He is currently
pp. 134–138, 2004.
with TI SiTD, responsible for transistor process integration for advanced
[45] M.-F. Wang, Y.-C. Kao, T.-Y. Huang, H.-C. Lin, and C.-Y. Chang,
CMOS technology.
“Thermal stability of PVD TiN gate and its impacts on characteristics
of CMOS transistors,” in Proc. 6th Int. Symp. Plasma- Process-Induced
Damage, 2001, pp. 36–39.
[46] J. Pan, C. Woo, C.-Y. Yang, U. Bhandary, S. Guggilla, N. Krishna,
H. Chung, A. Hui, B. Yu, Q. Xiang, and M.-R. Lin, “Replacement metal
gate NMOSFETs with ALD TaN/EP-Cu, PVD Ta, and PVD TaN elec-
trode,” IEEE Electron Device Lett., vol. 24, no. 5, pp. 304–305, May 2003.
[47] R. Wallace, Article in High Dielectric Constant Material. New York:
Springer-Verlag, 2005. Craig Huffman received the B.S. degree in engi-
[48] G. D. Wilk and D. A. Muller, “Correlation of annealing effects on local neering physics from Southwestern Oklahoma State
electronic structure and macroscopic electrical properties for HfO2 de- University, Weatherford, in 1983.
posited by atomic layer deposition,” Appl. Phys. Lett., vol. 83, no. 19, He is a Texas Instruments Incorporated (TI),
pp. 3984–3986, Nov. 2003. Austin, TX, Assignee to SEMATECH, Austin, TX,
[49] G. Lucovsky, J. P. Maria, and J. C. Phillips, “Interfacial strain-induced working in the FEP Division. Currently, he supports
self-organization in semiconductor dielectric gate stacks. II. Strain-relief all metal gate etch activities for the advanced gate
at internal dielectric interfaces between SiO2 and alternative gate di- electrode evaluation team and the dual metal gate
electrics,” J. Vac. Sci. Technol. B, Microelectron. Process. Phenom., integration team. Through this project, he has devel-
vol. 22, no. 4, pp. 2097–2104, Jul. 2004. oped etch processes to support the 100+ materials
[50] A. Callegari, P. Jamison, E. Cartier, S. Zafar, E. Gusev, V. Narayanan, evaluated by the FEP teams. Prior to his assignment
C. D. Emic, D. Lacey, M. Feely, R. Jammy, M. Gribelyuk, J. Shepard, to SEMATECH, he worked on BEOL etches for 90-nm node. During his
W. Anderson, A. Curioni, and C. Pignedoli, “Interface engineering for 20+-year career with TI, he has addressed a full spectrum of etch issues,
enhanced electron mobilities in W/HfO2 gate stacks,” in IEDM Tech. ranging from tool improvement projects to process development and transfer
Dig., 2004, pp. 825–828. to manufacturing as well as fab startups.
SONG et al.: ADVANCED GATE-STACK TECHNOLOGY FOR SUB-45-nm SELF-ALIGNED GATE-FIRST CMOSFETs 989

Jang H. (Johnny) Sim received the B.S., M.S., and Rino Choi (M’04) received the B.S. and M.S. de-
Ph.D. degree in electrical and computer engineering grees from the Department of Inorganic Materi-
from the University of Texas, Austin, in 2001, 2003, als Engineering, Seoul National University, Seoul,
and 2005, respectively. Korea, in 1992 and 1994, respectively, and the Ph.D.
He has been with SEMATECH, Austin, TX, as degree in materials science and engineering from the
an Intern. His M.S. research work was on the de- University of Texas, Austin, in 2004.
vice characterization of HfSiON dielectric and fully He was with Daewoo Motors Company from 1994
silicided NiSi metal gate. His Ph.D. work was on to 1999, where he worked as a Development and
electrical characterization and reliability of high-κ Test Engineer. Since 1999, he has been studying var-
and metal gate stack. He is currently working on ious high-κ dielectrics and has published more than
CMOS logic device design for 45 nm with the IBM 50 journal and conference papers. After graduation,
Systems and Technology Group, East Fishkill, NY. He is an author or coauthor he has continued his research on the electrical characterization and reliability
of more than 50 research publications. of advanced gate stacks at SEMATECH, Austin, TX.

Sang Ho Bae received the B.S. degree from the


University of Incheon, Incheon, Korea and the M.S.
degree from Yonsei University, Seoul, Korea, both in
material engineering.
After graduation, he worked for AMAT Korea,
and then started the Ph.D. degree program in elec-
trical and computer engineering at the University
of Texas, Austin in Spring 2002. Since September Naim Moumen received the master’s degree in
2004, he has been with SEMATECH, Austin, TX, colloidal chemistry and condensed matter from the
working on advanced CMOS process (high-κ and “Ecole Normal Suprieur,” Paris, France, in 1992
metal gate stack) development. He is currently a and the Ph.D. degree in physical chemistry from
SEMATECH Intern. the Pierre and Marie Curie University, Paris, France,
in 1996.
He joined IBM, East Fishkill, NY, as a Process
Engineer after a postdoctoral position at Clarkson
University. He worked on surface preparation and
Paul D. Kirsch received the B.S. degree from the defect reduction in CMOS fabrication, wet etching
University of Wisconsin-Madison, in 1995 and the of SiGe, and surface preparation for Si and SiGe
Ph.D. degree from the University of Texas, Austin, deposition. Since 2002, he has been assigned by IBM as Project Manager of
in 2001, both in chemical engineering. the advanced pre gate clean project at SEMATECH, Austin, TX, focusing on
He has been with the IBM Systems and Tech- specific need for high-κ deposition, dielectrics scaling, and metal electrode wet
nology Group, East Fishkill, NY, since 2001. He etching.
is currently on assignment to SEMATECH, Austin,
TX, as Project Manager of the advanced gate di-
electric project. His work has focused on gate stack
development. He has authored or coauthored more
than 20 journal and conference papers in various
semiconductor research areas including high-κ dielectric surface and interface
chemistry, atomic layer deposition, and high-κ dielectric/metal gate devices.
He is the holder of several process development patents with IBM.

Byoung Hun Lee received the B.S. and M.S. degrees


Prashant Majhi received the B.Tech. degree from in physics from the Korea Advanced Institute of Sci-
the Indian Institute of Technology, Madras, India, in ence and Technology, Daejeon, Korea, in 1989 and
1996 and the Ph.D. degree in science and engineering 1992, respectively, and the Ph.D. degree in electrical
of materials from Arizona State University, Tempe, and computer engineering from the University of
in 2000. Texas, Austin, in 2000.
He joined the Process Development Group at He worked at Samsung Semiconductor during
Philips Semiconductors in The Netherlands in 2000 1992–1997 and has been with IBM, East Fishkill,
and had been the Project Leader in module devel- NY, since 2001. He has authored or coauthored more
opment for several CMOS and mixed signal process than 200 journal and conference papers in various
technologies. In October 2004, he joined Intel Cor- semiconductor research areas including gate oxide
poration. He is currently at SEMATECH, Austin, reliability, silicon-on-insulator (SOI) device and process, strained silicon de-
TX, as an Intel Assignee, managing the Planar CMOS Scaling and Advanced vices, and high-κ and metal gate process and devices. He is currently on assign-
Gate Electrodes Group. He has authored or coauthored more than 100 pa- ment to SEMATECH, Austin, TX, as Manager of advanced gate stack program,
pers in journals and conferences and is the holder of several IC process managing clean project, high-κ dielectric project, metal electrode project, dual
development patents. metal gate CMOS integration project, and electrical characterization project.

You might also like