You are on page 1of 106

‫وزارة التجارة والصناعة‬

‫مصلحة الكفاية اإلنتاجية والتدريب المهني‬


‫اإلدارة العامة للبرامج والمواصفات‬
‫============================================‬

‫المهنة ‪( :‬التحكم اآللي)‬


‫الثانية‬ ‫السنة ‪:‬‬
‫الوحدة الثالثة ‪:‬‬
‫(المتحكمات المنطقية القابلة للبرمجة )‬

‫إعداد‬
‫المهندسة ‪ /‬الشيماء صالح عزب ‪ ---‬األستاذ ‪ /‬السيد محمد السيد علوان‬
‫مراجعة‬
‫مهندسة ‪ :‬أفنان السيد عبدهللا‬
‫‪1‬‬
‫تنفٌذ احتٌاطات األمان عند‬ ‫‪‬‬ ‫‪ ‬معرفة اتخاذ‬
‫التشغٌل عند التعامل مع ‪PLC‬‬
‫احتٌاطات األمان‬
‫التدرٌب على نظم ‪ PLC‬وأنواعها‬ ‫‪‬‬
‫المختلفة‬ ‫عند التعامل مع ‪PLC‬‬
‫تمٌز مكونات وحدات ‪. PLC‬‬ ‫‪‬‬ ‫‪ ‬معرفة نظم ‪PLC‬‬
‫كتابة برنامج تحكم باللغات(‪FBD-‬‬
‫‪‬‬ ‫وأنواعها المختلفة‪.‬‬
‫‪ LAD-(STL‬باستخدام كال من ‪-:‬‬
‫‪ ‬شرح مكونات‬
‫‪ ‬البوابات المنطقٌة‪.‬‬

‫الوحدة الثالثة ‪ :‬المتحكمات المنطقية القابلة للبرمجة‬


‫ووظابف وحدات‬
‫‪ ‬المؤقتات الزمنٌة ‪.‬‬
‫‪PLC‬‬
‫‪ ‬عناصر التخزٌن ‪.‬‬
‫‪ ‬معرفة ممٌزات‬
‫‪ ‬العدادات والمقارنات‪.‬‬
‫التٌار‬ ‫محرك‬ ‫حركة‬ ‫‪‬‬ ‫وعٌوب ‪PLC‬‬
‫‪144‬‬ ‫‪ ‬كتابة برنامج تحكم‬
‫المستمر)‪(DC‬‬
‫‪6‬‬ ‫‪ ‬طرق توصٌل المحاكٌات بوحدة‬ ‫باستخدام المؤقتات‬
‫‪PLC‬‬ ‫الزمنٌة وعناصر‬
‫برمجة دوابر أساسٌة للمحركات‬
‫التخزٌن والعدادات‬
‫الكهربابٌة باستخدام المحاكٌات ‪.‬‬
‫والمقارنات ‪.‬‬
‫‪ ‬معرفة نظام االسكادا ‪ ‬أجادة التعامل مع أنظمة إلـ‬
‫‪SCADA‬‬ ‫‪SCADA‬‬
‫‪ ‬مفهوم نظام إل‬
‫مراجعة واختبارات‬
‫‪SCADA‬‬
‫‪ ‬المبادئ األساسٌة‬
‫نظام االسكادا‬
‫‪SCADA‬‬

‫‪2‬‬
‫محتويات الوحدة‬

‫تنفٌذ احتٌاطات األمان عند التشغٌل عند‬


‫األسبوع‬ ‫‪24‬ساعة‬
‫التعامل مع ‪PLC‬‬
‫التدرٌب على نظم ‪ PLC‬وأنواعها‬ ‫‪1‬‬
‫األول‬
‫المختلفة‬

‫تمٌز مكونات وحدات ‪. PLC‬‬


‫األسبوع‬ ‫‪24‬ساعة‬ ‫‪2‬‬
‫الثانً‬

‫كتابة برنامج تحكم باللغات(‪FBD-LAD-‬‬


‫األسبوع‬ ‫‪24‬ساعة‬ ‫‪ (STL‬باستخدام كال من ‪ -:‬البوابات‬
‫الثالث‬ ‫المنطقٌة‪ -‬عناصر التخزٌن ‪ -‬العدادات‬ ‫‪3‬‬

‫طرق توصٌل المحاكٌات بوحدة ‪PLC‬‬


‫األسبوع‬ ‫‪24‬ساعة‬ ‫حركة محرك التٌار المستمر)‪(DC‬‬
‫الرابع‬ ‫برمجة دوابر أساسٌة للمحركات الكهربابٌة‬ ‫‪4‬‬
‫باستخدام المحاكٌات ‪.‬‬

‫برمجة دوابر أساسٌة للمحركات الكهربابٌة‬


‫األسبوع‬ ‫‪24‬ساعة‬ ‫باستخدام المحاكٌات ‪.‬‬ ‫‪5‬‬
‫الخامس‬

‫أجادة التعامل مع أنظمة إل ‪SCADA‬‬


‫األسبوع‬ ‫‪24‬ساعة‬ ‫مراجعة واختبارات‬ ‫‪6‬‬
‫السادس‬

‫‪3‬‬
‫تمهيد‬
‫اٌحّذ هلل سب اٌؼاٌّ‪ٚ ٓ١‬اٌصالج ‪ٚ‬اٌسالَ ػٍ‪ِ ٟ‬ؼٍُ اٌثشش اٌ‪ٙ‬اد‪ ٞ‬س‪١‬ذٔا ِحّذ ‪ٚ‬ػٍ‪ ٟ‬أٌٗ ‪ٚ‬صحثٗ أخّؼ‪ٚ ٓ١‬تؼذ‪.‬‬
‫‪٠‬سؼذٔا أْ ٔمذَ ألتٕائٕا اٌّرذست‪ٚ ٓ١‬إخ‪ٛ‬إٔا اٌّذست‪٘ ٓ١‬زا اٌىراب " اٌّرحىّاخ إٌّطم‪١‬ح اٌماتٍح ٌٍثشِدح " ‪ٚ‬اٌز‪ٞ‬‬
‫ٔشخ‪ ِٓ ٛ‬هللا أْ ‪٠‬ساػذ ف‪ ٟ‬إوساب اٌّرذست‪ ٓ١‬اٌّ‪ٙ‬اساخ اٌضش‪ٚ‬س‪٠‬ح ‪٠ٚ‬ض‪ٚ‬دُ٘ تاٌّؼٍ‪ِٛ‬اخ اٌفٕ‪١‬ح اٌالصِح‬
‫ٌ‪١‬صثح‪ٛ‬ا فٕ‪ِ ٓ١١‬رّ‪١‬ض‪ ٓ٠‬إْ شاء هللا تّا ‪٠‬خذَ اٌذ‪ٚ ٓ٠‬اٌ‪ٛ‬طٓ ‪.‬‬

‫الهدف العام من هذه الوحدة ‪:‬‬


‫ولتحقٌق األهداف الموضوعٌة اآلتٌة ‪:‬‬
‫‪ -1‬إكساب المتدرب المهارة الالزمة لفك وتركٌب وكٌفٌة التعامل مع هذه االجهزة ‪.‬‬
‫‪ -2‬االستخدام الصحٌح واألمثل ألجهزة المتحكمات المنطقٌة القابلة للبرمجة وكٌفٌة توصٌلها فً الدابرة لعمل‬
‫المشارٌع المطلوبة ‪.‬‬
‫والوحدة تحتوي علً تطبٌقات لدوابر عملٌة تغطً معظم العناصر التً سبق للمتدرب دراستها‪.‬‬
‫مثل ‪ :‬البوابات المنطقٌة ‪ -‬المؤقتات الزمنٌة ‪ -‬عناصر التخزٌن ‪ -‬العدادات والمقارنات‪.‬‬
‫ومن الواضح أن الدوابر فً هذه الوحدة قد غطت تطبٌقاتها معظم العناصر اإللكترونٌة الشابعة اإلستخدام فً‬
‫المتحكمات المنطقٌة القابلة للبرمجة‪.‬‬
‫وتتناول هذه الوحدة التدرٌبٌة " حاكمات القابلة للبرمجة" لمتدربً قسم التحكم االلً لكٌفٌة اكتساب المهارات‬
‫الالزمة لهذا التخصص ‪.‬‬

‫وفً الختام نسأل هللا أن ٌستفٌد أبناونا المتدربٌن من محتوٌات هذه الوحدة وأن تكون أحد األسباب فً إثراء‬
‫الجانب العملً لهم وأن ٌحتفظوا بها بعد تخرجهم لإلستفادة منه ‪ .‬كما نسأله تعالً أن ٌوفق أبنابنا المتدربٌن‬
‫لفهم هذا المنهج عملٌا وأن ٌجعل أعمالنا خالصة لوجهه الكرٌم ‪ ،‬وأخر دعوانا أن الحمد هلل رب العالمٌن ‪.‬‬
‫وصلى هللا علً سٌدنا محمد وعلً أله وصحبه وسلم‪........،‬‬
‫(وما أوتٌتم من العلم إال قلٌال )‬

‫‪4‬‬
‫تعتبر أجهزة التحكم المنطقٌة القابلة للبرمجة العمود الفقري الذي تعتمد علٌة الصناعة فً وقتنا الحاضر‬
‫وعملٌه التحكم هى من العملٌات االساسٌة وذات االهمٌة الكبرى فى الصناعة ‪ .‬وكان التحكم فى بداٌه االمر‬
‫ٌتم عن طرٌق اشخاص ثم مع تطوٌر االلكترونٌات اصبح تستخدم الحواكم االكترونٌه ( مثل الحاكم التناسبى‬
‫والحاكم التفاضلى والحاكم التكاملى ) ثم بعد ذلك ظهر عملٌه التحكم بالحاسب‪.‬ونحن نعرض كٌفٌه استخدام‬
‫الحاسب فى عملٌه التحكم المنطقى المبرمج ‪.‬‬
‫وألهمٌة هذه االجهزة فقد تم تصمٌم هذه الوحدة التدرٌبٌة لتعطً دراسة تطبٌقٌة وعملٌة ألستخدامات‬
‫الحاكمات القابلة للبرمجة فً الصناعة وتمكن الطالب من رسم دوابرالتحكم المطلوبة لبعض العملٌات‬
‫الصناعٌة وكتابة البرامج الالزمة لتنفٌذ هذه العملٌات وذلك من خالل أسلوب سهل ومتدرج ٌعتمد علً‬
‫أستغالل المعارف والمهارات التً أكتسبها الطالب من الوحدات التدرٌبٌة السابقة ‪.‬‬
‫وللوصول لذلك الهدف فهذا ٌتطلب وجود معمل ٌحتوي علً أجهزة التحكم القابلة للبرمجة مع االدوات‬
‫المساعدة االخري ألعطاء الطالب البٌبة المناسبة لتنفٌذ البرامج ومن ثم أخذ التصور الكامل للبٌبة العملٌة فً‬
‫المصنع وبهذا ٌصبح لدي الطالب الثقافة وااللمام التام الذي ٌجعله ٌتعامل مع جمٌع أنواع األجهزة األخري‬
‫المتعلقة بنفس الوظٌفة فً حالة حصوله علً فرصة عمل فً نفس المجال ‪.‬‬
‫تتمٌز هذه الوحدة التدرٌبٌة باحتوابها علً معلومات أضافٌة تعطً الطالب مراجعة سرٌعة ومبسطة وبأسلوب‬
‫مبتكر ٌعطً فً كل فقرة استفهامٌة لكً ٌتم تحفٌز الطالب للمعرفة وتجهٌز مداركه ال ستكشاف تقنٌة أجهزة‬
‫التحكم المنطقٌة القابلة للبرمجة هذا بالنسبة للمحتوي النظري ‪ ،‬بالنسبة للجانب العملً فقد تم التركٌز علً‬
‫التدرج فً التطبٌقات والتً سوف ٌتمكن الطالب عند االنتهاء من التدرب علٌها من تصمٌم البرنامج الخاص‬
‫به ‪ ،‬واكتساب القدرةى علً قراءة البرامج ومن ثم فحصها وتحدٌد األعطال بها‪.‬‬

‫‪5‬‬
‫مكونات الحاكمات المنطقية القابلة للبرمجة ‪:‬‬
‫أجهزة التحكم المنطقً القابلة للبرمجة ‪ PLCS Programmable Logic Controller‬وتسمً أٌضا‬
‫بالحاكمات المبرمجة ‪ Programmable Controller‬وهً أجهزة تنتمً لعابلة الكمبٌوتر وتستخدم فً‬
‫المجال الصناعً ‪ ،‬وحالٌا تم ادخال استخدامها فً بعض عملٌات التحكم ببعض المستشفٌات الكبٌرة ‪.‬‬
‫وهناك العدٌد من الشركات المصنعة ألجهزة ‪ PLC‬مثل شركة ‪ SIEMENSE‬التً تصنع أجهزة‬
‫‪ SIMATTIC 200 , SIMATTIC 300, SIMATTIC 400‬وشركة ‪ALLEN BARDLEY‬‬
‫وشركة ‪ MITSUBISHI‬وشركة ‪ LG‬وكل شركة من الشركات تتمٌز ببرمجٌاتها الخاصة)‪(software‬‬
‫لكنها تعطً نفس الوظٌفة المطلوبة من أجهزة ‪. PLC‬‬

‫وظٌفته ‪:‬‬
‫التحكم فً األألت والعملٌات ‪ ،‬حٌث ٌقوم بمراقبة المداخل ومن ثم اتخاذ القرارات بناء علً التوجٌهات‬
‫(البرنامج) المعطاه له وبعد ذلك تنفٌذ تلك القرارات علً المخارج ‪ .‬وهذا ماٌمكن استنتاجه من الشكل (‪.)1‬‬

‫الشكل (‪ )1‬كٌفٌة عمل ‪PLC‬‬

‫العناصر المتصلة بمداخل ‪ PLC‬مثل ‪ :‬المفاتٌح ‪ ،‬الحساسات ‪.‬‬


‫العناصر المتصلة بمخارج ‪ PLC‬مثل ‪ :‬المحركات ‪ ،‬مكابن الضخ ‪ ،‬المصابٌح ‪.‬‬

‫‪6‬‬
‫مكونات أجهزة ‪PLC‬‬
‫حسب الشكل (‪ ) 2‬نجد ان اجهزه التحكم المنطقٌه القابله للبرمجه تتكون وظٌفٌا من الوحدات االساسٌه وبعض‬
‫الوحدات االضافٌه التالٌه ‪:‬‬

‫‪Input‬‬ ‫‪Modules‬‬ ‫وحدات الدخل‬ ‫‪-1‬‬


‫‪CPU Unit‬‬ ‫وحدة المعا لجة المركزٌة‬ ‫‪-2‬‬
‫‪Output‬‬ ‫‪Modules‬‬ ‫وحدات الخرج‬ ‫‪-3‬‬
‫‪Power Supply Unit‬‬ ‫وحدة مصدر القدرة‬ ‫‪-4‬‬
‫‪Operator‬‬ ‫‪Module‬‬ ‫وحدة المشغل‬ ‫‪-5‬‬
‫‪Programming device‬‬ ‫جهاز البرمجة‬ ‫‪-6‬‬

‫الشكل (‪ )2‬مكونات نظم التحكم المنطقً المبرمج‬

‫وسنتعرف علً عمل وخصابص كل وحدة باختصار ‪ ،‬وكٌفٌة ربطها مع بعضها البعض ‪:‬‬
‫وحدات الدخل ‪ :‬هً وحدات تقوم باستقبال أشارات الدخل وتجهٌزها لكً تستطٌع وحدة المعالجة المركزٌة‬
‫‪ CPU‬التعامل معها ‪ ،‬وهً أما تكون تماثلٌة أو رقمٌة ‪.‬‬
‫وحدات الخرج ‪ :‬هً وحدات تقوم بأخراج االشارات الكهربٌة المطلوب أخراجها من قبل وحدة المعالج‬
‫المركزٌة ‪ CPU‬بناءا على البرنامج ‪ ،‬وهً أما تكون تماثلٌة أو رقمٌة ‪.‬‬
‫مصدر القدره ‪ :‬توفٌر متطلبات الطاقه لجهاز ‪.PLC‬‬
‫وحده المشغل ‪ :‬هى وحده خاصه بالمستخدم العادى ٌتمكن من خاللها تشغٌل جهاز ‪. PLC‬‬
‫بالنسبه للوحدات االضافٌه االخرى فسوف تتعرض لها فى باقى الوحدة ‪ ،‬ولكن لنأخذ مثاال بسٌطا على عمل‬
‫جهاز ‪. PLC‬‬

‫‪7‬‬
‫مثال علي عمل أجهزة ‪PLC‬‬
‫باٌجاز بسٌط نستطٌع التعرف علً عمل أجهزة التحكم المنطقٌة القابلة للبرمجة ‪ ،‬فمن خالل المثال الموضح‬
‫بالشكل (‪ )3‬نري التتابع الذي ٌحصل فً أغلب عملٌات التحكم التً تتم عن طرٌق أجهزة ‪ PLC‬حٌث ٌتم‬
‫ضغط المفتاح‬

‫الشكل (‪ )3‬مثال بسٌط علً كٌفٌة عمل ‪PLC‬‬

‫الضاغط المتصل مع وحدة الدخل لجهاز ‪ PLC‬وحسب البرنامج المخزن فً ذاكرة الجهاز داخل وحدة‬
‫المعالجة المركزٌة ‪ CPU‬تقوم هذه الوحدة بأصدار أمر لوحدة الخرج المتصل بها مشغل المحرك فٌعمل‬
‫المحرك ‪.‬‬

‫نستطٌع مما سبق أن نقول أي نظام تحكم صناعً ٌعتمد علً أجهزة ‪ٌ PLC‬حتوي العناصر التالٌة ‪:‬‬
‫‪Sensors‬‬ ‫‪ -1‬الحساسات‬
‫‪PLC‬‬ ‫‪ -2‬أجهزة التحكم القابلة للبرمجة‬
‫‪Actuaors‬‬ ‫‪ -3‬المشغالت‬

‫‪8‬‬
‫‪: SENSOR‬‬ ‫الحساس‬
‫الٌمكن التعاطى مع اجهزة ‪ PLC‬على انها اجهزة مستقلة ٌمكن فهمها بدون معرفة بعض العناصر والوحدات‬
‫التى تستخدم معها فى المجال الصناعى‪.‬‬
‫فالحساس هو عنصر ٌقوم بتحوٌل الحاالت الفٌزٌابٌة الى اشارات كهربابٌة ٌستطٌع جهاز ‪ PLC‬التعامل‬
‫معها عن طرٌق وحدات الدخل وابسط مثال للحساس هو المفتاح الضاغط كما فى الشكل (‪)4‬‬

‫الشكل (‪ )4‬المفتاح الضاغط‬

‫‪ACTUATOR‬‬ ‫المشغل‬
‫وهى وحدات تقوم بتحوٌل االشارات الكهربٌة القادمة من وحدة الخرج لجهاز ‪ PLC‬لحاالت فٌزٌابٌة ومثال‬
‫على ذلك مشغل المحرك حسب الشكل (‪)5‬‬

‫الشكل (‪ ) 5‬مشغل المحرك‬

‫‪9‬‬
‫‪Discrete‬‬ ‫‪Inputs‬‬ ‫المداخل الرقمية‬
‫وٌتم التعبٌر عنها بحالتٌن ( ‪)on. off‬ومن االمثلة على ذلك ‪ :‬المفتاح الضاغط ‪ ،‬المفتاح الحدي ‪ ،‬الحساس‬
‫التقاربً وٌمكن حصر بعض رموزها من خالل الشكل ( ‪) 6‬‬

‫الشكل (‪ ) 6‬رموز المداخل الرقمٌة‬

‫‪Analog‬‬ ‫‪Inputs‬‬ ‫المداخل التماثلية ‪:‬‬


‫وهً مداخل تتغٌر من قٌمة صغري الً قٌمة كبري ولها عدة أشكال قٌاسٌة مثل ‪:‬‬
‫‪0‬‬ ‫‪20 MA , 4‬‬ ‫‪20 MA‬‬ ‫‪,‬‬ ‫‪0‬‬ ‫‪10 V‬‬
‫ومن الشكل (‪ )7‬نري مثاال علً ذلك حساس قٌاس مستوي سابل حٌث ٌنخفض وٌرتفع الجهد عند المدخل‬
‫التماثلً لجهاز ‪ PLC‬حسب انخفاض وارتفاع مستوي السابل ‪.‬‬

‫الشكل (‪)7‬المداخل التماثلٌة‬

‫‪11‬‬
‫‪Discrete‬‬ ‫‪Outputs‬‬ ‫المخارج الرقيمة ‪:‬‬
‫وهً مثل المداخل الرقمٌة عدا أنها توصل علً مخارج أجهزة ‪ PLC‬وأبسط مثال علً ذلك اللمبة حسب‬
‫الشكل (‪) 8‬‬

‫الشكل (‪)8‬المخارج الرقمٌة‬

‫‪Analog‬‬ ‫‪Outputs‬‬ ‫المخارج التماثلية ‪:‬‬


‫‪ 0‬لقٌادة مؤشر بٌان أو‬ ‫ومثال علً ذلك تغٌٌر قٌمة المخرج التماثلً لجهاز ‪ PLC‬من ‪10 V‬‬
‫(‪) 9‬‬ ‫التحكم فً تدفق الهواء المضغوط فً أنظمة التحكم النٌوماتً حسب الشكل‬

‫الشكل (‪ )9‬المخارج التماثلٌة‬

‫‪11‬‬
‫وحدة المعالجة المركزية ‪CPU‬‬
‫وهً نظام معالج دقٌق ٌعتمد علٌه جهاز ‪ PLC‬ال تخاذ القرارات وهً تحتوي أٌضا علً ذاكرة النظام‬
‫والوظٌفة األساسٌة هً مراقبة المداخل ومن ثم أتخاذ القرارات علً المخارج بناء علً األوامر المعطاه‬
‫بالبرنامج المخزن فً ذاكرة النظام حسب الشكل (‪. )11‬‬

‫الشكل(‪ )11‬وحدة المعالجة المركزٌة‬

‫مثال علً العملٌات التً تقوم بها هذه الوحدة ‪:‬‬

‫‪ -1‬العدادت ‪.‬‬
‫‪ -2‬المزامنات ‪(timers).‬‬
‫‪ -3‬مقارنة البٌانات ‪.‬‬
‫‪ -4‬العملٌات الحسابٌة ‪.‬‬

‫‪12‬‬
‫مميزات استخدام أجهزة ‪: PLC‬‬
‫‪ -1‬حجم صغٌر ‪.‬‬
‫‪ -2‬سهولة وسرعة فً تغٌٌر طرٌقة عمل نظام التحكم (تعدٌل برنامج التحكم) ‪.‬‬
‫‪ -3‬وظابف تحكم وكشف أخطاء متكاملة ‪.‬‬
‫‪ -4‬نظام مراقبة فوري ‪.‬‬
‫‪ -5‬انخفاض التكلفة ‪.‬‬

‫الشكل ٌوضح خطوط األنتاج‬

‫‪13‬‬
‫وحدة الدخل والخرج‬
‫سنبدا االن فى استعراض وحدات نظام التحكم المنطقى الموجود لدٌنا وتفاصٌل استخدام كل وحدة على حدة‬
‫وكٌفٌه التعامل على كل وحدة وسنبدأ عرضهم بالترتٌب االتى ‪:‬‬
‫‪ -1‬وحدة الدخل والخرج‬
‫‪ -2‬وحدة الحاسب االلى‬
‫‪ -3‬وحدة الربط بٌن الحاسب االلى وجهاز التحكم ‪PLC‬‬

‫‪ -1‬وحدة ‪ CPU‬والذاكرة ‪-:‬‬


‫ان الجزء الموجود فى اعلى الوحدة من الٌسار ٌحتوى على ‪( CPU‬منفذ العملٌات) او المٌكروبروسسور‬
‫وهو المخ المسبول عن تنفٌذ عملٌات التحكم وٌقوم بتنفٌذها تبعا لبرنامج التحكم المكتوب والمخزن فى الذاكرة‬
‫وكما انه ٌوجد فى هذا الجزء اٌضا الذاكرة وهى تعرف بالــ ‪ RAM‬وهذه الذاكرة ٌخزن فٌها برنامج‬
‫التحكم وتبعا لسعه الذاكرة ٌتم تحدٌد اقصى عدد من خطوات التحكم التى ٌمكن تخزٌنها فى الذاكرة وٌمكن‬
‫معرفه مودٌل ‪ CPU‬وكذلك سعه ‪ RAM‬من الكتالوج المرافق لجهاز التحكم ‪.‬‬
‫‪ -2‬بطاريه صغيره ‪-:‬‬
‫هذه البطارٌة تمد الذاكرة بالكهرباء الالزمه لها حٌث ان الذاكرة التى من النوع ‪ RAM‬والمستخدمه فى‬
‫النظام بمجرد ان تفصل عنها الكهرباء تفقد كل ما بداخلها من معلومات ولذلك ٌتم تغذٌتها عن طرٌق هذه‬
‫البطارٌة حتى اذا فصلنا الكهرباء عن جهاز ‪ PLC‬تسطٌع الذاكرة االحتفاظ بما فى بداخلها من برامج‬

‫‪14‬‬
‫‪ -3‬لمبه مصباح وبيان ‪-:‬‬
‫ٌقع اسفل الناحٌة الٌسرى حٌث ٌتم من خالله توصٌل جهاز ‪ PLC‬بالخط التغذٌة الربٌسى وٌوجد باالعلى‬
‫منه لمبه بٌان ومفتاح ٌسمح بتوصٌل جهد مقداره ‪ V 24‬الى دوابر الجهاز المختلفة ‪.‬‬
‫‪ – 4‬مفتاح وضع التشغيل ‪: Operating modes‬‬
‫ٌستخدم لتغٌر وضع التشغٌل وحٌث ٌوجد اربعة اوضاع مقابل كل وضع ٌوجد مبٌن واالوضاع االربعه هى‬
‫كما ٌلً ‪-:‬‬
‫‪ٌ Memory reset (MRST)-‬لغى التحمٌل و ٌقوم بتصفٌر قٌم العدادات و المؤقتات ‪.‬‬
‫‪ STOP-‬عند هذا الوضع ال ٌنفذ برنامج التحكم من ناحٌة ومن ناحٌة اخرى وٌكون على وضع استعداد فى‬
‫استقبال او تحمٌل برنامج جدٌد ‪.‬‬
‫‪ٌ RUN –P & RUN-‬ستخدمان لتنفٌذ البرنامج‪.‬‬

‫‪ – 5‬وحده الدخل رقم ‪: 0‬‬


‫وهى تحتوى على ‪ 8‬فتحات ٌمكن عن طرٌقها توصٌل ‪ 8‬نقاط دخل وتحتوى كذلك على ‪ 8‬مفاتٌح تستطٌع‬
‫استخدامها العطاء دخل برنامج التحكم اذا مالم ٌكن هناك دخل موصل من‬
‫الخارج وعناوٌن هذه الوحدة ٌتكون من ‪ 8‬خانات وٌرمز للدخل بالرمز) ‪ ( I‬وٌمكن االن معرفة عنوان كل من‬
‫دخل من الدخول الثمانٌة وٌكونوا عنوانٌهم ‪.‬‬

‫‪I 0.0‬‬ ‫‪I 0.1‬‬ ‫‪I 0.2‬‬ ‫‪I 0.3‬‬ ‫‪I 0.4‬‬ ‫‪I 0.5‬‬ ‫‪I 0.6‬‬ ‫‪I 0.7‬‬

‫‪Byte‬‬ ‫وبصورة عامة ٌمكن القول بأن الحرف ‪ٌ I‬عنً وحدة الدخل ‪ ،‬والرقم األول من الٌسار هو رقم‬
‫والرقم األخر هو رقم ‪. Bit‬‬
‫‪ -6‬مبٌن وحدة دخل رقم ‪: 0‬‬
‫وهً تحتوي علً ‪ 8‬لمبات بٌان حالة خاصة بوحدة الدخل ‪ 0‬وهى مرقمة مثل وحدة الدخل رقم‬
‫‪0‬الموجودة بجانبها وتضا اللمبة التى تناظر المفاتٌح الموجودة على وحدة الدخل اذا كان المفتاح او‬
‫الدخل فى وضع ‪ ON‬وال تضا اذا كان المفتاح فى الوضع ‪OFF‬‬

‫‪15‬‬
‫‪ -7‬وحدة دخل رقم ‪: 1‬‬
‫وهى وحدة دخل اٌضا ذات ‪ 8‬نقاط دخل وٌكون عناوٌن هذه النقاط الثمانٌة هى‬

‫‪I 1.0‬‬ ‫‪I 1.1‬‬ ‫‪I 1.2‬‬ ‫‪I1.3‬‬ ‫‪I 1.4‬‬ ‫‪I 1.5‬‬ ‫‪I 1.6‬‬ ‫‪I 1.7‬‬

‫‪ -8‬مبٌن وحدة دخل ‪1‬‬


‫وٌحتوى اٌضا على ‪ 8‬لمبات بٌان تناظر ‪ 8‬نقاط الدخل الموجودة فى الوحدة رقم ‪1‬‬
‫‪ -9‬وحدة الخرج رقم ‪4‬‬
‫وهى تحتوى على ‪ 8‬نقاط خرج ٌمكن عن طرٌقتهم تشغٌل ‪ 8‬وحدات مختلفة وعنوان هذه الوحدة هو ‪4‬‬
‫وٌرمز بالخرج الرمز ‪ Q‬وبذلك تكون لدٌنا ‪ 8‬نقاط خرج عنوانهم كاال تى‬

‫‪Q4.0‬‬ ‫‪Q4.1‬‬ ‫‪Q4.2‬‬ ‫‪Q4.3‬‬ ‫‪Q4.4‬‬ ‫‪Q4.5‬‬ ‫‪Q4.6‬‬ ‫‪Q4.7‬‬

‫‪-10‬وحدة الخرج رقم ‪5‬‬

‫وتحتوى اٌضا على ‪ 8‬نقاط خرج وعناوٌن هذه النقاط تكون‬

‫‪ -11‬مبٌنات لوحدة الخرج رقم ‪5‬‬

‫وتحتوى على ‪ 8‬لمبات بٌان تناظر ال ‪ 8‬نقاط الموجوده على الوحدة رقم ‪ 5‬ونجد انه فى جمٌع المبٌنات سواء‬
‫كانت خاصة بوحدات الدخل او خاصة بوحدات الخرج ٌوجد بجوار لمبات البٌان شرٌحة بٌضاء اللون لٌتم‬
‫علٌها كتابة المفاتٌح والخرج المناظر لكل نقطة لٌسهل على المستخدم معرفتها‬
‫‪ -12‬فتحة لتركٌب كارد ‪Flash Memory Card‬‬

‫‪ EPROM‬هى نوع من انواع الذاكرة وفى هذا النظام ٌسمح لنا بكتابة البرنامج على هذا النوع من الذاكرة‬
‫وتركب هذه الذاكرة على النظام المراد التحكم فٌه وفٌها ٌتم قٌادة النظام ‪.‬‬

‫مالحظة ‪:‬‬

‫نجد ان وحدات الدخل والخرج الموجودة على النظام الذى لدٌنا هى رقمٌة‬
‫‪DIGITAL INPUT, DIGIAL OUTPUT‬‬
‫ووحدات الدخل هى ‪24V*8‬تعنى ان كل نقطة من الثمانٌة نقط الموجودة تستطٌع ان تتحمل ‪24‬فولت تٌار‬
‫مستمر‬

‫‪16‬‬
‫ووحدات الخرج ‪ 24V/0.5A*8‬لنقطة من نقاط الخرج نستطٌع ان تغذى خرج ٌعمل بجهد‪24‬فولت تٌار‬
‫مستمر وال ٌزٌد سحبه عن ‪0.5A‬‬
‫وقد تتساءل وماذا عن المعدات واالالت التى تعمل عند جهد اعلى من ‪ 24‬فولت وتسحب اكثر من‬
‫‪1.5‬أمبٌر ونقول لك ان وحدات الدخل والخرج ٌوجد منها وحدات ثمانٌة‬
‫‪ ANALOG MODULE‬وٌمكن شراؤها من الشركة الموردة وتركٌبها بدال من الوحدات الموجودة لدٌنا‬
‫وبشكل عام نستطٌع ان نقول ان هناك نماذج عدٌده من وحدات الدخل والخرج ذات قٌم تٌار وجهد مختلفة‬
‫نحصل عاٌها حسب احتٌاجك وحسب المعلومات الكهربٌة الخاص بالنظام المراد التحكم فٌه من حٌث الفولت‬
‫واالمبٌر‬
‫وهناك حل اخر هو انك تستطٌع ان تستخدم مرحل )‪V24 (relay‬ومن اطراف التوصٌل لهذا المرحل ٌمكن‬
‫ان تتعامل مع االلة‬
‫كما انه ٌوجد دخل وخرج ‪ 3‬اوجه (‪ )3-PHASE‬وهى فعال التى تستخدم فى بعض المصانع التى ٌكون‬
‫معظم االتها ‪ 3‬اوجه‪.‬‬
‫واخٌرا نستطٌع القول بان وحدة الدخل والخرج وهى الدابرة الوسٌطة بٌن الكمبٌوتر واالالت والمحركات‬
‫حٌث انها تتعامل مع الكمبٌوتر وتعطٌه وتستقبل منه ‪ .‬او تغذى االلة باالمبٌر والفولت الالزم لتشغٌلها‬
‫وتستقبل من دخل االلة جهدها الطبٌعى وبالتالى تكون وحدة الدخل والخرج الوحدة الوسٌطة التى سهلت كثٌر‬
‫من المشاكل واٌضا ٌمكننا اضافة اعداد اضافٌة من وحدات الدخل والخرج الى جهاز ‪PLC‬‬

‫‪17‬‬
‫سنبدأ فً االن بالتعرض لبرنامج ‪ ( Step 7‬و هو ال ‪ software‬الخاص بشركة سٌمنز ) وطرٌقة‬
‫استخدامة لتمثٌل وتنفٌذ برنامج التحكم ‪.‬‬
‫باستخدام برنامج ‪ Step 7‬تستطٌع أن تنشأ برنامج التحكم المطلوب الذي عند نقله لجهاز ‪ٌ PLC‬تحكم‬
‫وٌراقب األلة من خالل البرنامج المعد ‪.‬‬
‫وقبل أن نبدأ فً شرح البرنامج نرٌد أن نوضح أن خطوات كتابة البرنامج وتصمٌمه هً ‪:‬‬

‫‪ -1‬تحدٌد المشكلة ‪.‬‬


‫‪ -2‬طرٌقة حلها ‪.‬‬
‫‪ -3‬كتابة البرنامج ‪.‬‬
‫‪ -4‬مراجعة واختبار البرنامج ‪.‬‬
‫‪ -5‬تنفٌذ البرنامج ‪.‬‬
‫واألن سنبدأ معا خطوة بخطوة في شرح البرنامج‬
‫بداٌة ٌجب علٌك أن تقوم بتنزٌل ‪ Step 7‬علً جهاز الحاسب من خالل تشغٌل التطبٌق الموجود فً المسار‬
‫‪CD- ROM Drive : Step 7 /Disk l/ Setup .exe‬‬

‫بعد االنتهاء من عملٌة التنزٌل واعادة تشغٌل الجهاز ‪ ،‬أٌقونة رمز البرنامج سوف تظهر علً سطح المكتب (‬
‫‪)SIMATIC Manager‬‬

‫‪18‬‬
‫بالضغط علٌها مرتٌن لعمل مشروع جدٌد‬

‫‪-: Hardware Configuration‬‬ ‫اوال‪ :‬عمل‬


‫هو تعرٌف جهاز الكمبٌوتر بمكونات ‪ PLC‬وتفعٌل األتصال بٌن الكمبٌوتر و ‪PLC‬‬

‫‪19‬‬
21
‫من الكتالوج سنحدد مودٌل ‪ PLC‬طبقا للوحدة التى امامك ثم نقوم بفتح الجدول الذى سندخل به المكونات من‬
‫‪ CPU‬و‪ Input module‬و ‪Output module‬‬

‫‪21‬‬
‫الخانه األولى بالجدول محجوزه ‪ Power Supply‬والثالثه ل‪ Interface Module‬اذا اردنا وضع‬
‫‪ Modules‬اخرى اكثر من التى على الراك (كل راك ٌحتوى على ‪ 11 slot‬الثالثة االولى لل ‪power‬‬
‫‪ supply – cpu – Im‬و الثمانٌة التالٌٌن لل ‪ modules‬االضافٌة )‬

‫‪22‬‬
‫و توضع ال ‪ cpu‬فى الخانة الثانٌة و ٌتم اختٌار كل ‪ module‬حسب الرقم الموضح على الجهاز الذى امامك‬

‫و الشكل التالى ٌوضح عملٌة التحمٌل و ذلك لتعرٌف الجهاز بمكوناته و بداٌة عمل المشروع الذى ٌتم فٌه‬
‫برمجة الجهاز‬

‫‪23‬‬
‫‪Contact‬‬ ‫‪Symbol‬‬ ‫رموز المالمسات ‪- :‬‬

‫تستخدم طرٌقه كتابه البرامج ‪ LAD‬الخاصه بأجهزه ‪ PLC‬رموز شابعة االستخدام وهى تمثل عناصر التحكم‬
‫واالوامر ‪ ،‬والرمزان الموضحان بالشكل التالى هما أكثر الرموز استخداما فى طرٌقة ‪ LAD‬فالرمز االول‬
‫هو المالمس ‪ NO‬المفتوح فى الوضع العادى ) ‪ٌ )Normally Open‬كون فى وضع توصٌل‬
‫عندما ٌكون ‪ Bit‬التحكم مضبوطا على ‪ 1‬والعكس صحٌح ‪.‬‬

‫اما بالنسبه للمالمس ‪ NC‬المغلق فى الوضع العادى )‪ )Normally Close‬فأنه ٌكون فى وضع توصٌل‬
‫عندماٌكون ‪ Bit‬التحكم مضبوطا على‪ 0‬والعكس صحٌح‬

‫‪24‬‬
‫رموز المالمات األكثر استخداما‬

‫‪Coils and Boxes‬‬ ‫الملفات والصناديق‬

‫الملفات تمثل المرحالت التً تعمل عندما ٌتم توصٌل القدرة لها ‪ ،‬عندما تعمل الملفات فهذا ٌعنً أن العنصر‬
‫المتصل بوحدة الخرج الخاصة بجهاز الـ ‪ PLC‬سٌعمل وذلك عن طرٌق ضبط ‪ Bit‬التحكم علً ‪ 0‬و‪ 1‬أما‬
‫بالنسبة للصنادٌق فهً تمثل العدٌد من الوظابف واألوامر مثل العدادات ‪ ،‬المزمنات ‪ ،‬العملٌات الحسابٌة‬
‫والشكل التالى ٌوضح ذلك ‪.‬‬

‫رموز الملفات والصنادٌق‬

‫الدوال األساسية‬
‫الدوال األساسٌة ‪Basic Functions‬‬
‫ٌجب أن نتعرف علً أسالٌب العنونة داخل أجهزة ‪ PLC‬قبل التطرق للدوال األساسٌة والمتقدمة لما تمثله من‬
‫أهمٌة قصوي فً طرق برمجة أجهزة ‪ ، PLC‬وٌمكن تقسٌم أسالٌب العنونة الً ‪:‬‬
‫‪: Absolute Addressing‬‬ ‫‪ -1‬العنونة المطلقة‬
‫وهً تتكون بصفة عامة من جزأٌن هما ‪:‬‬
‫‪. Address Identifier‬‬ ‫أ‪ -‬معرفة العنوان‬

‫‪25‬‬
‫‪. Memory Location‬‬ ‫ب‪ -‬موقع الذاكرة‬

‫والشكل السابق ٌوضح المراد بذلك حٌث ٌعبر معرف العنوان عن الوظٌفة والوحدة المراد التعامل معها مثل‬
‫الرموز التالٌة ‪:‬‬
‫‪ٌ : I‬عبر عن الدخل ‪.‬‬
‫‪ٌ : Q‬عبر عن الخرج ‪.‬‬
‫‪ٌ : M‬عبر عن الذاكرة ‪.‬‬
‫‪ٌ : T‬عبر عن المزمن ‪.‬‬
‫‪ٌ : C‬عبر عن العدادات ‪.‬‬
‫أما بالنسبة لموقع الذاكرة فهو ٌحدد عنوان الباٌت والبت المراد التعامل معه ‪ ،‬فمن خالل الشكل السابق نجد‬
‫أن المقصود بالعنوان هو البت ‪ 0‬من الباٌت رقم ‪. 4‬‬
‫وٌمكن تقسٌم العنونة المطلقة الً عدة أنواع هً ‪:‬‬
‫‪ -1‬العنونة الفورٌة ‪Immediate addressing‬‬
‫مثل األمر (‪( ) L + 27 V‬تحمٌل المركم بالقٌمة ‪( + 27‬‬
‫‪ -2‬العنوٌة المباشرة ‪Direct addressing‬‬
‫مثل األمر (‪ ( ) A I0.0‬اجراء عملٌة ‪ AND‬علً المدخل ‪) I0.0‬‬
‫‪Memory Indirect addressing‬‬ ‫‪ -3‬العنونة غٌر المباشرة للذاكرة‬
‫مثل األمر ( }‪( )A I {MD2‬أجراء عملٌة ‪ AND‬علً المدخل الموجود عنوانه بموقع الذاكرة ‪)MD2‬‬

‫‪26‬‬
‫‪ -2‬العنونة الرمزٌة ‪: Symbolic Addressing‬‬
‫وتستخدم هذه الطرٌقة لجعل عملٌة فحص البرنامج أسهل حٌث ٌتم أعطاء المداخل أوالمخارج أو‬
‫أعطاء المخرج ‪Q 4.0‬‬ ‫مواقع الذاكرة رموز وأسماء ٌمكن قراءتها وتشٌر الً الوظٌفة مثل ‪:‬‬
‫‪Motor‬‬ ‫األسم‬
‫ولكن ٌجب تعرٌف هذه العناوٌن الرمزٌة فً برنامج خاص ٌسمً محرر الرموز ‪Editor‬‬
‫‪ Symbolic‬وحفظها حتً ٌستطٌع المبرمج التعامل معها مباشرة ‪.‬‬

‫ثانيا‪ :‬لغات البرمجه‪-:‬‬


‫اٌثشٔاِح ٘‪ِٛ‬دّ‪ٛ‬ػٗ ِٓ ا‪ٚ‬اِش ‪ plc‬اٌر‪ ٝ‬ذؤد‪ِ ِّٗٙ ٜ‬ؼ‪ ، ٕٗ١‬تّؼٕ‪ ٝ‬اخش اْ تشِدٗ اخ‪ٙ‬ضج ‪ ٝ٘ plc‬ػثاسٖ‬
‫ػٓ أشاء ِدّ‪ٛ‬ػٗ ِٓ اال‪ٚ‬اِش ‪ٕ٘ٚ،‬ان ػذٖ طشق ذسرخذَ ٌىراتٗ اٌثشاِح أي طرق تمثٌل دوابر التحكم و‬
‫نستعرض االن كٌف ٌتم تمثٌل دوابر التحكم والجدٌر بالذكر بان هناك ثالث لغات ربسٌه تستخدم لكتابة برامج‬
‫التحكم وهذه الطرق هى ‪-:‬‬

‫‪LAD‬‬ ‫) ‪(Ladder Logic‬‬ ‫‪ -1‬المخطط السلمى‬


‫‪FBD‬‬ ‫)‪(Function Block‬‬ ‫‪ -2‬المخطط الصندوقى الوظٌفى‬
‫‪STL‬‬ ‫‪(Statement‬‬ ‫)‪List‬‬ ‫‪ -3‬قابمه االجراءات‬

‫‪27‬‬
‫‪)LAD‬‬ ‫اوال ‪ :‬المخطط السلمى ) ‪Ladder Logic‬‬

‫وهذه الطرٌقه هى اقرب ما ٌكون لمخطط مسار التٌار( ‪ )Power Rail‬الذى ٌستخدم فى الدوابر‬
‫الكهربابٌه ولكنها تكون فى وضع افقى فى حٌن ان دابره مسار التٌار فى وضع رأسى وهذه الطرٌقه‬
‫هى اكثر الطرق المستخدمه فى برمجه دوابر التمدٌدات الكهربٌه وكذلك دوابر التحكم فى االالت‬
‫الكهربٌه بانواعها ‪.‬‬
‫مثال ‪-:1‬‬
‫ٌمثل مخطط مسار التٌار لدابرة تشغٌل مجموعة أحمال من مكانٌن مختلفٌن والفصل من مكان واحد ‪.‬‬
‫والمطلوب ‪ :‬تحوٌل الدابرة التالٌة من مخطط مسار التٌار الً دابرة (‪. (LAD‬‬

‫مخطط مسار التٌار‬

‫الحل ‪:‬‬
‫وعند تمثٌل هذه الدابرة على جهاز التحكم المنطقى المبرمج بطرٌقه (‪ )LAD‬فتكون علً الصوره ‪-:‬‬

‫‪28‬‬
‫ونجد ان دابرة مسار التٌار هى نفسها دابره الـ ‪ LAD‬ولكن دابره الـ ‪ LAD‬فى وضع افقى ‪.‬‬
‫وهو ماٌعرف ب ‪ (NORMALLY OPEN ) N.O‬وٌرمز للنقاط‬ ‫وٌرمز للنقاط المفتوحة‬
‫وهو ٌعرف ب ‪(NORMALLY CLOSE) N.C‬‬ ‫المغلقه بالرمز‬
‫وهذا الخرج ثابت الى خرج سواء كان مصباح او محرك ‪.‬‬ ‫اما الخرج فٌرمز له بالرمز ( )‬
‫وٌراعى انه ٌستخدم رموز الدخل ‪ I‬ورموز الخرج ‪ Q‬بدال من ‪ S,H‬وسوف نشرح بالتفصٌل استخدام‬
‫هذه الرموز فً وحدة الدخل والخرج‪ ،‬وهنا فى هذه الطرٌقه ٌتم رسم الدابره على الحاسب كما هى موضحه ‪.‬‬

‫مثال ‪ :‬مثل دوابر مسار التٌار االولى فً حالة مفتاحٌن علً التوالً مع الخرج و الثانٌة فً حالة مفتاحٌن‬
‫علً التوازي مع الخرج بطرٌقة المخطط السلمى ‪LAD‬‬

‫الحل‪:‬‬

‫ٌستخدم المخطط السلمً الرموز المستخدمة فى مخططات نظم التحكم الكهربٌة ‪.‬‬

‫تمارين ‪:‬‬

‫‪29‬‬
‫المطلوب ‪ :‬أكتب برنامج التحكم باستخدام (‪ )LAD‬لكل دابرة من دوابر التحكم التالٌة ‪:‬‬

‫‪ -1‬تشغٌل مجموعة أضاءة من أربعة أماكن بشرط أن ٌكون التشغٌل من مكانٌن مختلفٌن علً التوالً ‪:‬‬

‫‪ -2‬تشغٌل متمم من أربعة أماكن أثنان علً التوالً وأثنان منفصالن ‪ .‬والفصل من ثالثة أماكن االول‬
‫فصل ربٌس والثانً للتشغٌل علً التوالً و الثالث للتشغٌل علً التوازي ‪.‬‬

‫ثانيا ‪ :‬المخطط الصندوقى الوظيفى (البوابات المنطقية) ‪.FBD Function Block Diagram‬‬

‫‪31‬‬
‫‪ -1‬بوابة (و) وتسمى (‪ )AND‬ورمزها ‪.‬‬

‫من شروط هذه البوابة أن ٌكون لها علً األقل دخلٌن ‪ .‬وهذه البوابة تكافا توصٌل مفتاحٌن علً التوالً أذا‬
‫كان لها مدخالن‪ ،‬أوثالث مفاتٌح أذا كان لها ثالثة مداخل وهكذا ‪ .‬علما بأن أقصً عدد مداخل لبوابة‬
‫(‪ )AND‬هو (‪ (8‬مداخل ‪.‬‬
‫‪ -2‬بوابة (أو)وتسمى (‪ ) OR‬ورمزها ‪:‬‬

‫من شروط هذه البوابة أن ٌكون لها علً األقل دخلٌن ‪ .‬وهذه البوابة تكافا توصٌل مفتاحٌن علً التوازي أذا‬
‫كان لها مدخالن‪ ،‬أوثالث مفاتٌح أذا كان لها ثالثة مداخل وهكذا ‪ .‬علما بأن أقصً عدد مداخل لبوابة (‪)OR‬‬
‫هو (‪ (8‬مداخل ‪.‬‬
‫‪ -3‬بوابة (ال)وتسمى (‪ ) NOT‬ورمزها ‪:‬‬

‫من شروط هذه البوابة أن لها دخال واحدا والخرج ٌكون عكس الدخل دابما وهً تمثل مفتاحا مغلقا (‪. )N.C‬‬

‫مثال ‪- :1‬‬
‫‪31‬‬
‫ٌمثل مخطط مسار التٌار لدابرة تشغٌل مجموعة أحمال من مكانٌن مختلفٌن والفصل من مكان واحد ‪.‬‬
‫والمطلوب ‪ :‬تحوٌل الدابرة التالٌة من مخطط مسار التٌار الً دابرة (‪. (FBD‬‬

‫مخطط مسار التٌار‬

‫الحل‪:‬‬

‫مخطط البوابات المنطقٌة (‪)FBD‬‬

‫فى هذه الدابره نجد ان المفتاحٌن ‪ S1,S2‬توازى لذلك وصال ببوابة ‪ OR‬ثم ٌكونان توالى مع المفتاج ‪S0‬‬
‫لذلك وصل خرج بوابه ‪ OR‬مع على بوابه ‪ . AND‬ولذلك وصل خرج بوابه ‪ AND‬الً ‪Q4.5‬‬

‫مثال ‪: 2‬‬

‫‪32‬‬
‫ٌمثل مخطط مسار التٌار لدابرة تشغٌل متمم له شروط خاصة كماهو موضح فً دابرة مسار التٌار ‪.‬‬
‫والمطلوب ‪ :‬تحوٌل دابرة مسار التٌار التالٌة الً دابرة (‪. (FBD‬‬

‫مخطط مسار التٌار‬

‫الحل ‪:‬‬

‫مخطط البوابات المنطقٌة (‪)FBD‬‬

‫تمارٌن ‪:‬‬
‫‪33‬‬
‫المطلوب ‪ :‬أكتب برنامج التحكم باستخدام (‪ )FBD‬لكل دابرة من دوابر التحكم التالٌة ‪:‬‬

‫‪ -3‬تشغٌل مجموعة أضاءة من أربعة أماكن بشرط أن ٌكون التشغٌل من مكانٌن مختلفٌن علً التوالً ‪:‬‬

‫‪ -4‬تشغٌل متمم من أربعة أماكن أثنان علً التوالً وأثنان منفصالن ‪ .‬والفصل من ثالثة أماكن االول‬
‫فصل ربٌس والثانً للتشغٌل علً التوالً و الثالث للتشغٌل علً التوازي ‪.‬‬

‫ثالثا ‪ :‬قائمة االجراءات )‪ . (Statement List‬واختصارها (‪.)STL‬‬

‫‪34‬‬
‫هذه الطرٌقة ٌتم فٌها وصف الدابرة المراد التحكم فٌها بمجموعة أوامر ٌتم كتابتها بالحروف‪ ،‬وهذه الطرٌقه‬
‫اقرب ما تكون الً البرمجه بلغه التجمٌع وهً مجموعة من األوامر ٌعبر عنها بحروف كماٌلً ‪:‬‬
‫‪ -1‬عملٌات التوالى ( ‪ )AND‬وٌرمز لها بالرمز )‪.) A‬‬
‫‪ -2‬عملٌات التوازى( ‪ )OR‬وٌرمز لها بالرمز (‪.) O‬‬
‫‪ -3‬المفاتٌح المغلقة (‪ )NOT‬وٌرمز لها بالرمز )‪.( N‬‬
‫‪ -4‬األقواس تمثل مجموعة التوازي ‪.‬‬
‫مثال ‪ٌ -: 1‬مثل مخطط مسار التٌار دابرة تشغٌل حمل (مجموعة أضاءة ) مع الفصل ‪.‬‬
‫حول الدابرة التالٌة من مخطط مسار التٌار الً قابمة األجراءات ( ‪.) STL‬‬

‫مخطط مسار التٌار‬

‫الحل ‪ :‬قابمة األجراءات )‪. )STL‬‬

‫مثال ‪ٌ - : 2‬مثل مخطط مسار التٌار دابرة تشغٌل محرك من ثالثة أماكن مختلفة والفصل من مكانٌن ‪.‬‬

‫‪35‬‬
‫حول الدابرة التالٌة من مخطط مسار التٌار الً قابمة األجراءات ( ‪.) STL‬‬

‫مخطط مسار التٌار‬

‫الحل ‪ :‬قابمة األجراءات )‪. )STL‬‬

‫تمارٌن ‪:‬‬

‫‪36‬‬
‫المطلوب ‪ :‬أكتب برنامج التحكم باستخدام (‪ )STL‬لكل دابرة من دوابر التحكم التالٌة ‪:‬‬

‫‪ -1‬تشغٌل مجموعة أضاءة من أربعة أماكن بشرط أن ٌكون التشغٌل من مكانٌن مختلفٌن علً التوالً ‪:‬‬

‫‪ -2‬تشغٌل متمم من أربعة أماكن أثنان علً التوالً وأثنان منفصالن ‪ .‬والفصل من ثالثة أماكن االول‬
‫فصل ربٌس والثانً للتشغٌل علً التوالً و الثالث للتشغٌل علً التوازي ‪.‬‬

‫أنواع البلوكات ‪:‬‬

‫‪37‬‬
‫تشٔاِح ‪٠ STEP 7‬سّح ترشذ‪١‬ة اٌّؼٍ‪ِٛ‬اخ ف‪) BLOCKS( ٟ‬تٍ‪ٛ‬واخ ‪ٕ٘ ٚ‬ان اٌؼذ‪٠‬ذ ِٓ‬
‫أٔ‪ٛ‬اع اٌـ ‪ BLOCKS‬ذثؼا السرخذاِ‪ٙ‬ا‪ّ٠ ٚ ،‬ىٓ ستظ ٘زٖ األٔ‪ٛ‬اع ِٓ ‪ِ BLOCKS‬ؼا ‪٠ ٚ‬ؼشف‬
‫‪ BLOCKS‬ػٓ طش‪٠‬ك‪:‬‬
‫‪ -1‬نوعه ‪( FB, FC, OB ,…….(.‬‬
‫‪ -2‬رقمة ‪.‬‬
‫ونستعرض معا أنواع البلوكات التي يمكن استخدامها وهي ‪-:‬‬
‫انواع ‪-: BLOCKS‬‬
‫‪-:Organization Block(OB) .1‬‬
‫هذا النوع من البلوكات مسبول عن العملٌات التنظٌمٌة لبرامج ال‪ PLC‬و ٌوجد منه عدة بلوكات كل‬
‫بلوك مسبول عن عملٌة معٌنة مثل اظهار رسابل التشغٌل او الخطأ و غٌرها من العملٌات‪ ،‬اما البلوك‬
‫)‪ (OB1‬فهو المسبول عن تنفٌذ البرامج و ال ٌتم تنفٌذ اى برنامج ال ٌتم استدعابه من هذا البلوك‬
‫ح‪١‬ث ػٓ طش‪٠‬مٗ ‪ّ٠‬ىٓ اٌشتظ ‪ ٚ‬إٌذاء ػٍ‪ ٟ‬أٔ‪ٛ‬اع اٌثٍ‪ٛ‬واخ األخش‪٠ ٛ٘ٚ . ٜ‬م‪ َٛ‬أ‪٠‬ضا تؼًّ ِ‪ٛ‬ائّح ت‪ٓ١‬‬
‫ٔظاَ اٌرشغ‪ ً١‬اٌّسرخذَ تشٔاِح اٌرحىُ اٌّؼذ)‪(USER PROGRAM‬‬

‫‪-: Function (FC) .2‬‬


‫٘زا اٌثٍ‪ٛ‬ن ػثاسج ػٓ تٍ‪ٛ‬ن ِٕطم‪ ٟ‬تذ‪ ْٚ‬راوشج ِخشخح ‪٠‬حر‪ ٞٛ‬ػٍ‪ ٟ‬اٌذاٌح اٌّحس‪ٛ‬تح تؼذ ِؼاٌدر‪ٙ‬ا ثُ‬
‫‪٠‬أذ‪ ٟ‬تؼذ رٌه ِ‪ّٙ‬ح اٌّسرخذَ ف‪ ٟ‬و‪١‬ف‪١‬ح اسرخذاِ‪ٙ‬ا ‪ ٚ‬حفظ‪ٙ‬ا‪.‬تحتوى كل واحده منها على وظٌفه جزبٌه‬
‫من البرنامج وتكتب بها البرامج التى ٌتم استدعابها لتنفٌذها داخل )‪. (OB1‬‬
‫‪-: Functions Block (FB) .3‬‬
‫٘زا اٌثٍ‪ٛ‬ن ػثاسج ػٓ تٍ‪ٛ‬ن ِٕطم‪ ٟ‬ر‪ ٚ‬راوشج تّؼٕ‪ ٟ‬أٗ ‪٠‬حرفظ تاٌث‪١‬أاخ ‪٠ ٛ٘ ٚ‬حر‪ ٞٛ‬ػٍ‪ِ ٟ‬دّ‪ٛ‬ػح‬
‫ِٓ اٌذ‪ٚ‬اي اٌّثشِدح اٌر‪ٔ ٟ‬سرخذِ‪ٙ‬ا تص‪ٛ‬سج ِسرّشج ِثً ‪PROGRAMMING‬‬
‫‪. CONTROLLER STRUCTURE‬‬
‫تقوم بنفس وظٌفه )‪ (FC‬باألضافه انها تحتوى على ذاكرة خاصه بها بواسطه ‪. Data Block‬‬
‫‪-: Data Block(DB) .4‬‬
‫‪٠‬حر‪ ٞٛ‬ػٍ‪ ٟ‬اٌّؼٍ‪ِٛ‬اخ ‪ ٚ‬اٌث‪١‬أاخ اٌر‪٠ ٟ‬حراج إٌ‪ٙ١‬ا تشٔاِح اٌرحىُ اٌّصُّ ِٓ لثً اٌّسرخذَ ا‪ٛ٘ ٜ‬‬
‫المنطقه التى تستخدم ألداره البٌانات بطرٌقه منظمه من قبل المستخدم ‪.‬‬
‫‪: SYSTEM BLOCKS .5‬‬
‫ػثاسج ػٓ د‪ٚ‬اي ِثشِدح ِ‪ٛ‬خ‪ٛ‬دج ف‪ ٟ‬إٌظاَ ٔفسٗ ‪٠‬رُ االسرفادج ِٕ‪ٙ‬ا ‪ٚ‬ذسّ‪ ٟ‬د‪ٚ‬اي ٔظاِ‪١‬ح ح‪١‬ث ٌ‪١‬س وً‬
‫ِشج ٔم‪ َٛ‬تثشِدر‪ٙ‬ا ‪ٌ ٚ‬ىٓ ‪٠‬رُ اسرذػائ‪ٙ‬ا ِٓ إٌظاَ ‪ٛ٠ ٚ‬خذ ِٕ‪ٙ‬ا ٔ‪ٛ‬ػ‪ٓ١‬‬

‫)‪1-SYSTEM FUNCTION (SFCS‬‬ ‫تشبه ‪FUNCTIONS‬‬


‫‪2-SYSTEM FUNCTION BLOCKS‬‬ ‫ذشثٗ ‪FUNCTION BLOCK‬‬
‫‪: SYSTEM DATA BLOCKS (SDB) .6‬‬
‫‪38‬‬
‫‪٠‬حر‪ ٞٛ‬ػٍ‪ِ ٟ‬ؼاِالخ ‪ٚ‬حذاخ اٌذخً ‪ ٚ‬اٌخشج ‪ ٚ‬اٌؼٕا‪. ٓ٠ٚ‬‬

‫‪٘ٚ‬زٖ أُ٘ أٔ‪ٛ‬اع اٌثٍ‪ٛ‬واخ اٌّسرخذِح ‪ ٚ‬إْ واْ ٕ٘ان تؼض األٔ‪ٛ‬اع ٌ‪١‬س ِٓ اٌضش‪ٚ‬س‪ ٞ‬روش٘ا‬
‫ف‪٘ ٟ‬زٖ اٌّشحٍح ‪ ٚ‬س‪ٛ‬ف ‪ّ٠‬ىٓ اٌرؼشف ‪ ٚ‬اٌرؼاًِ ِغ ٘زٖ اٌثٍ‪ٛ‬واخ أثٕاء ػٍّ‪١‬ح اٌثشِدح ‪.‬‬
‫و سوف ٌتم التعامل فى هذه الوحدة مع (‪OB1‬و بلوكات ‪)FC‬‬

‫‪39‬‬
‫ثالثا‪ :‬كتابه البرنامج ‪-:‬‬
‫عمل ‪-: S7 Block‬‬
‫وٌقصد بها كتابه البرنامج الذى سٌتم تنفٌذه على ‪PLC‬‬

‫‪41‬‬
‫بعد كتابه البرنامج نقوم باالتى‬

‫نقوم بأستدعاء ‪ FC‬داخل ‪ OB1‬ثم حفظ البرنامج و تنزٌله على ‪ PLC‬ومشاهده النتابج‪.‬‬

‫‪41‬‬
42
‫‪PLC Scan‬‬ ‫دورة عمل أجهزة التحكم القابلة للبرمجة‬
‫ٌتم تنفٌذ البرنامج داخل جهاز ‪ PLC‬خالل عملٌة متكررة تسمً دورة العمل ‪ ،‬ومن الشكل التالى نجد أن هذه‬
‫العملٌة تمر بعدة مراحل هً ‪:‬‬
‫‪. Read Inputs‬‬ ‫‪ -1‬قراءة حالة المداخل‬
‫‪. Execute Program‬‬ ‫‪ -2‬تنفٌذ البرنامج‬
‫‪ -3‬عملٌة الفحص واالتصال ‪. Diagnostics / Communications‬‬
‫‪. Update Outputs‬‬ ‫‪ -4‬تحدٌث حالة المخارج‬

‫الشكل ٌوضح دورة عمل أجهزة ‪PLC Scan‬‬

‫أما بالنسبة لزمن دورة العمل فهو ٌعتمد علً عدة عوامل لعل أبرزها ‪:‬‬

‫‪ -1‬حجم البرنامج ‪.‬‬


‫‪ -2‬عدد المداخل والمخارج المستخدمة ‪.‬‬
‫‪ -3‬حجم متطلبات االتصال المطلوبة ‪.‬‬

‫‪43‬‬
‫و هو عبارة عن ثمانى خانات كما بالشكل التالى و ٌمثل بثمانى بت‬

‫‪44‬‬
45
46
‫تحميل البرنامج و اختباره‬

‫سنقوم اآلن بتوضٌح عملٌة تحمٌل البرنامج على وحدة ‪ PLC‬وكٌفٌة اختباره للقٌام بعملٌة تنزٌل البرنامج إلى‬
‫الوحدة اتبع الخطوات اآلتٌة ‪:‬‬

‫‪ -1‬وصل جهاز‪ Plc‬بواسطة الكابل الخاص الذي ٌوصل الوحدة بمفند التسلسلً)‪ (com1‬فً‬
‫الحاسب االلً‪.‬‬
‫‪ -2‬وصل الوحدة بمصدر التغذٌة ‪220‬فولت (ٌمكن تحدٌد جهد المصدر عن طرٌق مفتاح‬
‫اختٌاروهو ‪. ) 220V‬‬
‫‪ -3‬شغل المفتاح الربٌسً والمفتاح الخاص بوحدة التغذٌة الداخلٌة‪.‬‬

‫‪ٔ -4‬خراس اٌ‪ٛ‬ضغ ‪ stop‬ثُ ار٘ة اٌمائّح ‪ٚ plc‬اخرش ِٕ‪ٙ‬ا ‪. Download‬‬

‫‪ -5‬الختبار البرنامج ف‪١‬رُ ػٓ طش‪٠‬ك اٌز٘اب إٌ‪ ٝ‬لائّح ‪ Debug‬ثُ ٔفؼً ِٕ‪ٙ‬ا األِش ‪Monitor‬‬

‫‪47‬‬
‫ِالحظح‪:-‬‬
‫ٕ٘ان أستغ أ‪ٚ‬ضاع ٌرشغ‪-: ٟ٘ ً١‬‬
‫‪(Memory Reset ) MRES -1‬‬
‫ٌلغً قٌم العدادات و المؤقتات المخزنة و لعمل ذلك انتقل إلى الوضع ‪ MRES‬أبقه كذلك لمدة ‪ 3‬ثوانً علً‬
‫األقل الى ان ٌومض المبٌن االحمر ببطا ‪ ،‬بعد ذلك أرخه ثم عاود من جدٌد لتحركه إلى الوضع ‪MRES‬‬
‫عندما تالحظ انه ٌومض بسرعة عندها ٌكون القٌم المخزنة قد أزٌلت‪.‬‬
‫‪ STOP‬عند هذا الوضع ٌتم اٌقاف البرنامج و اٌضا فى هذا الوضع ٌتم تحمٌل البرنامج الجدٌد ‪.‬‬ ‫‪-2‬‬
‫الوضع ‪ STOP,RUN-P‬عندهما ٌمكن تنزٌل البرنامج ولكن الفرق بٌنهما فً أن الوضع ‪ٌ RUN-P‬نفذ‬ ‫‪-3‬‬
‫البرنامج المنزل تلقابٌا‪.‬‬
‫الوضع ‪ٌ RUN‬ستخدم فً حالة تنفٌذ البرنامج ‪.‬‬ ‫‪-4‬‬
‫استعادة البرنامج لقرائته أو تعديله‬
‫الستخراج البرنامج المخزن فً جهاز الـ ‪ PLC‬أو المخزن فى ذاكرة األلة المراد صٌانتها لقرابته أو تعدٌله‬
‫نتبع الخطوات التالٌة‪:-‬‬
‫‪ -1‬ننشأ ملف جدٌد بنفس إعدادات خطوات كتابة برنامج جدٌد حٌث تظهر عندنا شاشة مشابهة لشاشة كتابة‬
‫البرنامج الجدٌد أو نعتمد علً اإلعدادات الموجودة فً الجهاز‪.‬‬
‫‪ -2‬نذهب إلى قابمة ‪ View‬ونختار منها األمر ‪ Online‬حٌث ٌظهر عندنا البرنامج الموجود علً جهاز الـ‬
‫‪ PLC‬و لكن باالسم الذي اخترناه نحن فً اإلعدادات (أي بدون االسم األصلً للبرنامج ) ثم نضغط علً‬
‫‪ OB1‬لفتحه وعمل التعدٌل المطلوب‪.‬‬

‫‪48‬‬
‫عناصر التشغيل والبرمجة‬
‫المقصود بعناصر التشغٌل هً العناصر المستخدمة فً تمثٌل دابرة التحكم المنطقً و كتابة البرنامج وهً ‪:‬‬

‫البوابات المنطقٌة – و المفاتٌح ‪ NO , NC‬ومسجالت العالمات‪.‬‬ ‫‪-1‬‬

‫عناصر التخزٌن ‪. R/S‬‬ ‫‪-2‬‬

‫المزمنات‪.‬‬ ‫‪-3‬‬

‫العدادات‪.‬‬ ‫‪-4‬‬

‫وسوف نبدأ باستعراض هذه العناصر و كٌفٌة تمثٌلها و كٌفٌة االستفادة منها فً دوابر التحكم المنطقً‬
‫المبرمج‪.‬‬
‫البوابات المنطقية والمفاتيح ‪NO , NC‬‬ ‫‪-1‬‬

‫فالمفاتٌح التً نتعامل معها هً ‪ NO , NC‬وهً تظهر عند استخدام طرٌقة ‪ LAD‬أما البوابات فهً‬
‫تظهر عند استخدام الـ ‪ FBD‬و هً بوابة & وبوابة ‪ OR‬وبوابة ‪ NOT‬وهً معروفة لدٌنا وقد سبق‬
‫دراستها فً مراحل سابقة‪.‬‬
‫مسجالت العالمات (‪)M‬‬
‫إنها من العناصر المساعدة فً عملٌة البرمجة والتشغٌل فً عملٌات التحكم المبرمج وهً تقوم بالواسطة‬
‫لنقل حاالت الدخل إلى الخرج‪.‬‬
‫مسجالت العالمات هً أماكن موجودة فً الذاكرة الخاصة بجهاز التحكم المنطقً المبرمج و ٌرمز لها‬
‫بالرمز ‪. M‬‬
‫وٌتم عنونتها ابتداء من المسجل ‪ M0‬الى المسجل ‪M 255‬‬
‫‪. Bit 8‬‬ ‫أِا اٌّسدً ‪ٚ ( M0‬أ‪ِ ٞ‬سدً ِٓ ِسدالخ اٌؼالِاخ ) ‪٠‬رى‪ِٓ ْٛ‬‬

‫ٌزٌه فئٕٔا ٌذ‪ٕ٠‬ا ‪ 8‬ػالِاخ ٘‪M10.0,M10.1,M10.2,M10.3,M10.4,M10.5,M10.6,M10.7 ٟ‬‬


‫‪٠ٚ‬رىشس رٌه تإٌسثح ٌثال‪ِ ٟ‬سدالخ اٌؼالِاخ واألذ‪ٟ‬‬

‫‪49‬‬
‫البوابات‪-: AND/OR‬‬

‫‪51‬‬
‫البوابات ‪-: XOR/XNOR‬‬

‫‪51‬‬
‫التدرٌب العملً االول ‪:‬‬

‫‪ -1‬قم بعمل مشروع جدٌد وعمل ‪ Hardware Configuration‬من الخطوه األولى الى األخٌره‬
‫‪ -2‬قم بعمل ‪ Function‬جدٌدة )‪(FC8‬‬

‫ارسم الدابره التالٌه وقم بتحوٌلها الى ‪STL‬‬

‫احفظ البرنامج وقم بانزاله على ‪PLC‬‬

‫استدعى ‪ FC8‬داخل ‪ OB1‬وأنزلها على ‪PLC‬‬

‫شاهد التغٌرات ‪ONLINE‬‬

‫‪52‬‬
‫التدرٌب العملً الثانً‬

‫صمم البرنامج الالزم لتشغٌل اللمبة الموضحة بالشكل المرفق وحسب المعطٌات التالٌة ‪:‬‬

‫‪ٌ : I0.0‬مثل مفتاح التشغٌل‬


‫‪ٌ : Q4.0‬مثل اللمبة ‪.‬‬

‫‪53‬‬
‫‪ -2‬عناصر التخزٌن ‪: R/S‬‬
‫٘زٖ اٌذائشج ذم‪ َٛ‬ػٍ‪ ٟ‬داٌر‪ّ٘ ٓ١‬ا داٌح اٌرشغ‪ٚ ً١‬داٌح األ‪٠‬ماف ‪.‬‬

‫و تعرف دالة ( دابرة( التشغٌل واالٌقاف بــــــــ ‪S/R‬‬


‫وهً لها دخلٌن هما بداٌة التشغٌل ) ‪ (SET‬واعادة وضع التشغٌل ) ‪) RSEET‬‬
‫وبناء علً حالة الدخلٌن ٌكون الخرج ‪ Q‬وٌبقً هذا الخرج علً وضعة إال أن ٌتغٌر وضع الدخل ‪ S‬أو‬
‫‪ R‬مرة أخرى‬

‫‪ -1‬دالة التشغٌل ) ‪ ( Set -S‬هً التً تحافظ علً حالة توصل الخرج فً حالة إعطاء إشارة‬
‫للدخل (‪ )S‬حتى ولو كان زمن توصٌل هذه اإلشارة صغٌرة جدا أي ٌتحول من (‪ 0‬الً ‪)1‬‬
‫فنجد أن الخرج ٌتحول من (‪ 0‬الً ‪ )1‬وٌستمر فً هذه الحالة حتً ولوفصل الدخل (‪)S‬‬
‫وأصبح (‪. )0‬‬
‫‪ -2‬أما دالة االٌقاف (‪ )Reset -R‬فهً تلغً حالة التوصٌل للخرج فً حالة إعطاء إشارة للدخل‬
‫(‪ )R‬حتى ولو كان زمن توصٌل هذه اإلشارة صغٌراجدا أي ٌتحول من (‪ 0‬الً ‪ )1‬فنجد أن‬
‫الخرج ٌتحول من (‪ 1‬الً ‪ )0‬وٌستمر فً فصل حتى ٌتم تشغٌل الدابرةعن طرٌق الدخل (‪)S‬‬
‫مرة ثانٌة ‪.‬وهكذا ‪.‬‬

‫الشكل ٌبٌن دالة التشغٌل واالٌقاف بـ (‪)LAD‬‬


‫أنواع عناصر التخزٌن ‪:‬‬
‫‪ -1‬نوع ( ‪ )S –R‬و فٌه االولٌة فى التشغٌل لل‪rest‬‬

‫‪54‬‬
‫‪ -2‬نوع ( ‪ )R –S‬و فٌه االولوٌة فى التشغٌل لل‪set‬‬

‫مالحظة ‪ :‬االولوٌة تعنى انه لو تم تطبٌق (‪ )1‬على كل من ‪ S,R‬فى نفس الوقت فان اولوٌة‬

‫التشغٌل تكون للحرف الثانى‬


‫قالبات األيقاف والتشغيل‪-:‬‬

‫‪55‬‬
‫التشغيل وااليقاف‪-:‬‬

‫‪56‬‬
‫الحافه الصاعده والحافه الهابطه‪-:‬‬

‫‪57‬‬
‫التدريب العملي الثالث ‪- :‬‬
‫ٌوجد لدٌنا خزان ٌمأل بسابل و ٌتم ملا هذه الخزان عن طرٌق صمام‬

‫حٌث ٌوجد داخل الخزان مجسان مجس علوي وٌعطى‬

‫إشارة إذا ارتفع السابل داخل الخزان اعلً منه و مجس سفلً إذا انخفض السابل فً الخزان‬

‫إلى أقل منه و المطلوب تنفٌذ دابرة التحكم بحٌث ٌفتح الصمام إذا وصل معدل السابل داخل‬

‫الخزان إلً اقل من المجس السفلً وٌتم ملً الخزان وٌغلق الصمام إذا وصل‬

‫معدل السابل داخل الخزان أعلً من المجس العلوي‪.‬‬

‫‪58‬‬
‫‪Timers‬‬ ‫‪ -3‬المؤقتات‬
‫إن من أهم عملٌات التحكم هو التحكم التتابعً‪ .‬و التحكم تتتابعً ٌعتمد على الزمن‪ .‬وٌسمح لنا النظام باستخدام‬
‫خمس أنواع من المؤقتات وسوف نستعرضهم جمٌعا لمعرفة الفرق بٌنهم‪.‬‬
‫و المؤقتات لها مكان محجوز فً ذاكرة ‪ CPU‬هذا المكان طوله ‪ 16‬خلٌة لكل مؤقت وعدد المؤقتات تختلف‬
‫من جهاز ألخر تبعا لنوعٌة المعالج المركزي ‪ ،‬ومع هذا فً حالتنا ٌوجد ‪ 512‬مؤقت‪.‬‬
‫تعتبرالمؤقتات من أهم الدوال المتقدمة ضمن امكانٌات أجهزة ‪ PLC‬فهً تستخدم مثال لحساب الفارق‬
‫الزمنً لضاءة األشارات المرورٌة ‪.‬‬
‫أما بالنسبة لزمن المؤقت فٌتم كتابته بالطرٌقة التالً وهً ‪:‬‬
‫‪S5T#aH_bbM_ccS_ddMS‬‬ ‫أن ٌكتب علً الهٌبة‬
‫حٌث عدد ‪ a‬الساعات ‪ b ،‬الثوانً ‪ c ،‬الدقابق ‪ d ،‬مٌلً ثانٌة ‪.‬‬
‫مثال ‪:‬‬
‫‪S5T#40S‬‬ ‫‪ 40‬ثأ‪١‬ح‬
‫‪S5T#1H_15M‬‬ ‫ساػح ‪ 15 ٚ‬دل‪١‬مح‬
‫‪S5T#2H_46M_20S‬‬ ‫ساػر‪ 46 ٚ ٓ١‬دل‪١‬مح ‪ 20 ٚ‬ثأ‪١‬ح‬

‫وكل مؤقت له مفاتٌح دخل ومفاتٌح خرج‪.‬‬


‫أما مفاتٌح الدخل فهً‪:‬‬
‫‪ -1‬مفتاح بدء تشغٌل المؤقت ‪ S‬لٌبدأ فً الوظٌفة الزمنٌة المطلوبة‪.‬‬
‫‪ -2‬مفتاح إنهاء تأدٌة تشغٌل المؤقت ‪. R‬‬
‫‪ -3‬مفتاح القٌمة الزمنٌة ????? = ‪ TV‬المطلوبة لتشغٌل المؤقت ‪.‬‬
‫أما مفاتٌح الخرج فهً‪:‬‬
‫‪ -4‬الخرج المراد تشغٌله ‪. Q‬‬
‫‪. BI -5‬‬
‫‪. BCD -6‬‬
‫وسنبدأ اآلن فً استعراض كل نوع من المؤقتات وشرح الوسٌلة األساسٌة له‪.‬‬

‫‪59‬‬
‫‪ -1‬المؤقت ذو التوصيل المتاخر ‪on- Delay Timer‬‬
‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫أذا تغٌرت أشارة البداٌة ‪ I0.0‬من الحالة ‪ 0‬الً الحالة ‪ 1‬فان المؤقت ٌبدأ الكمال المدة المقررة وهً ‪2 Sec‬‬
‫وعند االنتهاء من حساب مدة التوقٌت فان الخرج ‪ٌ Q4.0‬صبح علً الوضع ‪ 1‬بشرط أن ٌستمر ‪ I0.0‬علً‬
‫الحالة ‪ ، 1‬ولكن فً حالة أن ٌكون المؤقت ٌعمل وتحول ‪ I0.0‬من الحالة ‪ 1‬الً الحالة ‪ ، 0‬فان المؤقت‬
‫ٌتوقف عن العمل وٌصبح ‪ Q4.0‬علً الوضع ‪ ، 0‬أذا تحول ‪ )R( I0.1‬من ‪ 0‬ألً ‪ 1‬و المؤقت ٌعمل‬
‫فأن المؤقت ٌتوقف حتى اذا كانت اشارة ( ‪ )S‬فى الحالة ‪ . 1‬وهذا ٌتضح من الشكل (‪.) 5-4‬‬

‫الشكل (‪ )5-4‬مخطط التوقٌت‬

‫‪61‬‬
‫‪Retentive on- Delay Timer‬‬ ‫‪ -2‬المؤقت ذو التوصٌل المتاخر الثابت‬
‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫و هو مثل المؤقت السابق تماما و لكن الفرق الوحٌد هو ان اشارة ( ‪ )S‬الٌشترط ان تكون مستمرة طول‬
‫الوقت كالمؤقت السابق حتى نحصل على الخرج بعد مرور الزمن و لكن ٌكتفى بان تكون ‪ pulse‬اى (‪-1‬‬
‫‪ )1-1‬أذا تغٌرت أشارة البداٌة ‪ I0.0‬من الحالة ‪ 0‬الً الحالة ‪ 1‬فان المؤقت ٌبدأ الكمال المدة المقررة وهً‬
‫‪ 2 Sec‬بدون التأثر بتحول ‪ I0.0‬من الحالة ‪ 0‬الً الحالة ‪1‬ولكن أذا تحول ‪ I0.0‬أو ‪ I0.1‬من الحالة ‪0‬‬
‫الً الحالة ‪1‬و المؤقت ٌعمل فأنه ٌتم حساب التوقٌت من البداٌة ‪ .‬المخرج ‪ٌ Q4.0‬كون علً الوضع ‪ 1‬حال‬
‫االنتهاء من حساب التوقٌت بشرط أن ٌكون ‪ I0.1‬علً الوضع ‪ . 0‬وهذا ٌتضح من الشكل (‪.) 6-4‬‬

‫الشكل (‪ )6-4‬مخطط التوقٌت‬

‫‪61‬‬
‫‪OFF - Delay Timer‬‬ ‫‪ -3‬المؤقت ذو الفصل المتاخر‬
‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫أذا تغٌرت أشارة البداٌة ‪ I0.0‬من الحالة ‪ 1‬الً الحالة ‪ . 0‬فان المؤقت ٌبدأ الكمال المدة المقررة وهً ‪2‬‬
‫‪ Sec‬وال ٌكون المخرج ‪ Q4.0‬علً الوضع ‪ 1‬اال عندما ٌكون ‪ I0.0‬علً الوضع ‪ 1‬أوعندما ٌكون المؤقت‬
‫ٌعمل ‪ ،‬أذا تحول ‪ I0.1‬من الحالة ‪ 0‬الً الحالة ‪ 1‬والمزمن ٌعمل فأن المؤقت ٌتوقٌت عن العمل ‪ .‬وهذا‬
‫ٌتضح من الشكل (‪.) 7-4‬‬

‫الشكل (‪ )7-4‬مخطط التوقٌت‬

‫‪62‬‬
‫‪Pulse Timer‬‬ ‫‪ -4‬المؤقت النبضً‬
‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫أذا تغٌرت أشارة البداٌة ‪ I0.0‬من الحالة ‪ 0‬الً الحالة ‪ 1‬فان المؤقت ٌبدأ الكمال المدة المقررة وهً ‪2 Sec‬‬
‫بشرط أن ٌستمر ‪ I0.0‬علً الحالة ‪ ، 1‬ولكن فً حالة أن ٌكون المؤقت ٌعمل وتحول ‪ I0.0‬من الحالة ‪ 1‬الً‬
‫الحالة ‪ ، 0‬أو تحول ‪ I0.1‬من الحالة ‪ 0‬ألً الحالة ‪ 1‬فان المؤقت ٌتوقف عن العمل ‪.‬طالما المؤقت ٌعمل‬
‫فان المخرج ‪ٌ Q4.0‬كون علً الوضع ‪ . 1‬وهذا ٌتضح من الشكل (‪.) 8-4‬‬

‫الشكل (‪ ) 8 -4‬مخطط التوقٌت‬

‫‪63‬‬
‫‪Extended Pulse Timer‬‬ ‫‪ -5‬المؤقت ذوالنبضة الممتدة‬
‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫أذا تغٌرت أشارة البداٌة ‪ I0.0‬من الحالة ‪ 0‬الً الحالة ‪ 1‬فان المؤقت ٌبدأ الكمال المدة المقررة وهً ‪2 Sec‬‬
‫بدون التأثر بتحول ‪ I0.0‬من الحالة ‪ 1‬الً الحالة ‪ ، 0‬ولكن فً حالة أن ٌكون المؤقت ٌعمل وتحول ‪ I0.0‬من‬
‫الحالة ‪ 0‬الً الحالة ‪ ، 1‬فأن المؤقت ٌقوم بحساب التوقٌت من البداٌة ‪ٌ I0.1 ،‬ستخدم الٌقاف المؤقت عن‬
‫العمل ‪ .‬طالما المؤقت ٌعمل فان المخرج ‪ٌ Q4.0‬كون علً الوضع ‪ . 1‬وهذا ٌتضح من الشكل (‪.) 9-4‬‬

‫الشكل (‪ ) 9 -4‬مخطط التوقٌت‬

‫‪64‬‬
: ً‫وٌمكن تلخٌص األنواع الخمسة للمؤقتات الزمنٌة بالشكل الت‬

On delay timer

Stored on delay timer

Puse timer

Extended pulse timer

Off delay timer

65
‫التدرٌب العملً الرابع ‪:‬‬

‫نظام مزج كٌمٌابً‬

‫صمم البرنامج الالزم لتشغٌل النظام الموضح بالشكل المرفق وحسب المعطٌات التالٌة ‪:‬‬

‫عند ضغط المفتاح ‪ I0.0‬تعمل المضخة ‪)Q0.0( Pump1‬لمدة خمس ثوانً ثم تتوقف‬ ‫‪-1‬‬
‫بعد ذلك تعمل المضخة ‪)Q0.1( Pump2‬لمدة ثالث ثوانً ثم تتوقف ‪.‬‬ ‫‪-2‬‬
‫بعد ذلك ٌعمل محرك المزج (‪ )Q0.2‬لمدة ستون ثانٌة ثم تتوقف ‪.‬‬ ‫‪-3‬‬
‫بعد ذلك ٌتم فتح صمام التحكم (‪ )Q0.3‬وتعمل المضخة ‪ )Q0.4( Pump3‬لمدة ثمانً ثوانً ثم‬ ‫‪-4‬‬
‫تتوقف ‪.‬‬
‫المفتاح ‪ٌ I0.1‬ستعمل الٌقاف النظام ‪.‬‬ ‫‪-5‬‬

‫التدرٌب العملً الخامس ‪:‬‬

‫صمم البرنامج المناسب لجعل لمبتان ٌضٌبتان عكس بعضهما البعض‪.‬‬

‫‪66‬‬
‫‪ -4‬العدادات ‪: Counters‬‬
‫العدادات لها مكان محجوز فً الذاكرة (ذاكرة ‪ )cpu‬وهذا المكان طوله ‪ 16‬خلٌة لكل عداد وعدد العدادات‬
‫ٌختلف من جهاز آلخر تبعا لنوعٌة المعالج المركزي ومع هذا فً حالتنا ٌوجد‬
‫‪ 256‬عداد وقٌمة العداد تتراوح ما بٌن ‪ 999 - 0‬أما بالنسبة لقٌمة العداد فٌتم كتابته بالطرٌقة اآلتٌة ‪:‬‬
‫‪ C#xxx‬حٌث ‪ xxx‬تتراوح ما بٌن ‪ 999 – 0‬ومع هذا فان النظام ٌدعم ثالثة أنواع من‬
‫العدادات سنسردها بالتفصٌل ‪.‬‬
‫وظابف العدادات الموجود بأجهزة ‪ PLC‬نفس الوظٌفة التً ٌمكن الحصول علٌها من العدادات المٌكانٌكٌة‬
‫المعلومة ( تصاعدى و تنازلى ) ‪ ،‬عموما استخدام العدادت ال ٌتعدي أن ٌكون حالة من الحالتٌن ‪:‬‬
‫‪ -1‬العد حتً قٌمة معطاء لجهاز ‪ PLC‬ثم حصول تنفٌذ ألحد األوامر‪.‬‬
‫‪ -2‬تنفٌذ أحد األوامر حتً ٌصل العداد بقٌمة العد للقٌمة المعطاة لجهاز ‪. PLC‬‬
‫أما أبرز استخدامات العدادت فهً عملٌات العد عند عملٌات التعببة علً خطوط االنتاج مثل جمع مجموعة‬
‫من العلب فً صندوق واحد حسب الشكل الموضح‬

‫الشكل ٌوضح مكابن التعببة التى تعتمد علً العدادات‬


‫‪67‬‬
‫وقبل البدء فً التعرف علً العدادات ٌجب أن نتعرف علً بعض المعامالت المهمة بالجدول التالً ‪:‬‬

‫‪Parameters‬‬ ‫‪data type‬‬ ‫‪memory area‬‬ ‫حٌث‬


‫‪no.‬‬ ‫‪counter‬‬ ‫‪C‬‬ ‫‪ : No‬رقم تعرٌف العداد‬
‫‪CU‬‬ ‫‪Bool‬‬ ‫‪I,Q,M,D,L‬‬ ‫‪: Cu‬طرف العد التصاعدى‬
‫‪CD‬‬ ‫‪Bool‬‬ ‫‪I,Q,M,D,L‬‬ ‫‪ : CD‬طرف العد التنازلى‬
‫‪S‬‬ ‫‪Bool‬‬ ‫‪I,Q,M,D,L ,T,C‬‬ ‫‪ : S‬طرف امر تحمٌل العداد‬
‫‪PV‬‬ ‫‪ : PV‬قيمة العد من ‪ 0‬الى ‪ 999‬و يمكن ادخالها كالتالى ‪word I,Q,M,D,L c#value‬‬
‫‪R‬‬ ‫‪Bool‬‬ ‫‪I,Q,M,D,L‬‬ ‫‪ : R‬طرف تصفٌر العداد‬
‫‪CV‬‬ ‫‪wordl‬‬ ‫‪ : CV‬قٌمة العد الحالٌة فى صورة عدد صحٌح ‪I,Q,M,D,L ,T,C‬‬
‫‪CV_BCD‬‬ ‫‪word‬‬ ‫‪I,Q,M,D,L‬‬ ‫‪ : CV_BCD‬قٌمة العد الحالٌة فى صورة ‪BCD‬‬
‫‪Q‬‬ ‫‪Bool‬‬ ‫‪I,Q,M,D,L‬‬ ‫‪ : Q‬حالة العداد‬

‫‪68‬‬
‫أوال ‪ :‬العداد التصاعدي التنازلً ‪-: Up /Down Counter‬‬

‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫عند تغٌر حالة ‪ I0.2‬من ‪ 0‬الً ‪ 1‬فانه ٌتم تحمٌل العداد ‪ C10‬بالقٌمة العددٌة ‪ ، 55‬وعند تغٌر حالة‬
‫‪ I0.0‬من ‪ 0‬الً ‪ 1‬فان محتوٌات العداد تزٌد بمقدار ‪ 1‬ماعدا عندما تكون محتوٌات العداد تساوي ‪،999‬‬
‫وعند تغٌر حالة ‪ I0.1‬من ‪ 0‬الً ‪ 1‬فان محتوٌات العداد تنقص بمقدار ‪ 1‬ماعدا عندما تكون محتوٌات‬
‫العداد تساوي ‪ ، 0‬وأذا تغٌرت حالة ‪ I0.3‬من ‪ 0‬الً ‪ 1‬فأنه ٌتم ضبط العداد علً قٌمة ‪ . 0‬أما بالنسبة‬
‫للخرج ‪ Q4.0‬فأنه ٌكون فً الحالة ‪ 1‬طالما قٌمة العداد التساوي ‪. 0‬‬

‫‪69‬‬
‫ثانٌا ‪ :‬العداد التصاعدي ‪Up Counter‬‬

‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫عند تغٌر حالة ‪ I0.2‬من ‪ 0‬الً ‪ 1‬فانه ٌتم تحمٌل العداد ‪ C10‬بالقٌمة العددٌة ‪ ، 901‬وعند تغٌر حالة‬
‫‪ I0.0‬من ‪ 0‬الً ‪ 1‬فان محتوٌات العداد تزٌد بمقدار ‪ 1‬ماعدا عندما تكون محتوٌات العداد تساوي ‪،999‬‬
‫وأذا تغٌرت حالة ‪ I0.3‬من ‪ 0‬الً ‪ 1‬فأنه ٌتم ضبط العداد علً القٌمة ‪ . 0‬أما بالنسبة للخرج ‪ Q4.0‬فأنه‬
‫ٌكون فً الحالة ‪ 1‬طالما قٌمة العداد التساوي ‪. 0‬‬

‫‪71‬‬
‫ثالثا ‪ :‬العداد التنازلً ‪Down Counter‬‬

‫وله الرمز التالً ‪:‬‬

‫مثال ‪:‬‬

‫عند تغٌر حالة ‪ I0.2‬من ‪ 0‬الً ‪ 1‬فانه ٌتم تحمٌل العداد ‪ C10‬بالقٌمة العددٌة ‪ ، 901‬وعند تغٌر حالة‬
‫‪ I0.0‬من ‪ 0‬الً ‪ 1‬فان محتوٌات العداد تنقص بمقدار ‪ 1‬ماعدا عندما تكون محتوٌات العداد تساوي ‪،0‬‬
‫وأذا تغٌرت حالة ‪ I0.3‬من ‪ 0‬الً ‪ 1‬فأنه ٌتم ضبط العداد علً قٌمة ‪ . 0‬أما بالنسبة للخرج ‪ Q4.0‬فأنه‬
‫ٌكون فً الحالة ‪ 1‬طالما قٌمة العداد التساوي ‪. 0‬‬

‫‪71‬‬
‫‪Comparison instruction‬‬ ‫المقارنات‬ ‫‪-5‬‬

‫توفر أجهزة ‪ PLC‬أوامرالمقارنة التً من خاللها نستطٌع مقارنة أعداد صحٌحة وحقٌقٌة ‪ ،‬وٌمكن تلخٌص‬
‫عملٌات المقارنه التً توفرها أجهزة ‪ PLC‬النظام الذي لدٌنا ٌدعم ثالثة أنواع من المقارنات وهً ‪:‬‬

‫‪compare flouting point numbers‬‬ ‫‪/ compare double instruction‬‬


‫‪ٚ‬س‪ٛ‬ف ٔرٕا‪ٌٙٚ‬ا تاٌرشذ‪١‬ة ‪:‬‬ ‫‪compare integer‬‬
‫‪ِ : compare integer -1‬ماسٔح ػذد‪ ٓ٠‬صح‪١‬ح‪ ٦6 ٓ١‬تد‬
‫و فٌه ٌتم عمل مقارنة بٌن عددٌن صحٌحٌن طول كل عدد ‪ 16‬خلٌة ( ‪ )word‬تلك التعلٌمٌة تجري‬
‫عملٌة مقارنة بٌن مدخل ‪IN1‬و‪ IN2‬حسب طبٌعة المقارن الذي اختارته فإذا تحقق الدالة فان نتٌجة‬
‫المقارن تكون القٌمة المنطقٌة ‪ ، 1‬و الجدول التالً ٌبٌن ‪:‬‬

‫الرمز‬

‫ولتوضٌح فكرة هذا المقارن دعنا نري هذا المثال‬

‫المخرج ‪ٌ Q4.0‬كون فً حالة ‪ ON‬إرا ذحممد اٌشش‪ٚ‬ط ا‪٢‬ذ‪١‬ح‪:‬‬


‫‪ I0.0‬و‪ I0.1‬فً حالة ‪. ON‬‬ ‫‪ -1‬المفتاحٌن‬
‫‪( MW2 = MW0 -2‬قٌمة المفتاحٌن فً الذاكرة )‪.‬‬
‫‪ -3‬المفتاح ‪ I0.2‬فً حالة ‪. ON‬‬

‫‪72‬‬
‫‪ِ : Compare Double Integer -2‬ماسٔح ػذد‪ ٓ٠‬صح‪١‬ح‪ ٢٣ ٓ١‬تد‬
‫و فٌه ٌتم عمل مقارنة بٌن عددٌن صحٌحٌن طول كل عدد ‪ 32‬خلٌة( ‪ ، )double word‬تلك الدالة‬
‫تجري عملٌة مقارنة بٌن مدخل ‪IN1‬و‪ IN2‬حسب طبٌعة المقارن الذي اختارته فإذا تحققت الدالة‬
‫فان نتٌجة المقارن تكون القٌمة المنطقٌة ‪. 1‬‬
‫الرمز ‪:‬‬

‫و لمزٌد من اإلٌضاح دعنا نري هذا المثال‬

‫المخرج ‪ٌ Q4.0‬كون فً حالة ‪ ON‬إرا ذحممد اٌشش‪ٚ‬ط ا‪٢‬ذ‪١‬ح‪:‬‬


‫‪ I0.0‬و‪ I0.1‬فً حالة ‪. ON‬‬ ‫‪ -1‬المفتاحٌن‬
‫‪( MDW0 = MDW4 -2‬قٌمة المفتاحٌن فً الذاكرة )‪.‬‬
‫‪ -3‬المفتاح ‪ I0.2‬فً حالة ‪. ON‬‬

‫‪73‬‬
‫‪ِ : Compare Flouting Point Numbers -3‬ماسٔح ػذد‪ ٓ٠‬حم‪١‬م‪ٓ١١‬‬
‫وفٌه ٌتم عمل مقارنة بٌن عددٌن حقٌقٌٌن ‪ ،‬تلك الدالة تجري عملٌة مقارنة بٌن مدخل ‪IN1‬و‪IN2‬‬
‫حسب طبٌعة المقارن الذي اختارته فإذا تحقق الدالة أو التعلٌمٌة فان نتٌجة المقارن تكون القٌمة‬
‫المنطقٌة‪. 1‬‬
‫الرمز ‪:‬‬

‫و لمزٌد من اإلٌضاح دعنا نري هذا المثال‬

‫المخرج ‪ٌ Q4.0‬كون فً حالة ‪ ON‬إرا ذحممد اٌشش‪ٚ‬ط ا‪٢‬ذ‪١‬ح‪:‬‬


‫‪ I0.0‬و‪ I0.1‬فً حالة ‪. ON‬‬ ‫‪ -1‬المفتاحٌن‬
‫‪( MDW0 = MDW4 -2‬قٌمة المفتاحٌن فً الذاكرة )‪.‬‬
‫‪ -3‬المفتاح ‪ I0.2‬فً حالة ‪. ON‬‬

‫‪74‬‬
‫التدرٌب العملى السادس‪:‬‬

‫صمم برنامج ‪ PLC‬لٌقوم بعملٌة العد من صفر الى ‪ 9‬ثم من‪ 9‬الى صفر باستخدام دخل واحد و عداد واحد‬

‫الحل‪:‬‬

‫‪ -1‬افتح البرنامج‬
‫‪ -2‬افتح مشروع قمت بتعرٌفه سابقا ( انظر الخطوات السابقة )‬
‫‪ -3‬افتح ‪ FC‬جدٌدة و اكتب البرنامج التالى‬

‫‪ -4‬احفظ البرنامج و قم باستدعاء ‪ FC‬الجدٌدة فى ‪ OB1‬لٌتم تنفٌذها‬


‫‪ -5‬قم بعمل تحمٌل البرنامج الى ‪ ( PLC‬اعمل ‪)Download‬‬
‫‪ -6‬ارجع الى ‪ FC‬ثم قم بعمل ‪ RUN‬و ابدا التشغٌل‬

‫‪75‬‬
‫اختبر معلوماتك النظرٌة‬

‫‪ -1‬عرف المتحكمات القابله للبرمجه واذكر ممٌزاتها؟‬

‫‪ -2‬اذكر انواع لغات البرمجه؟‬

‫‪ -3‬اذكر انواع ‪ BLOCKS‬؟‬

‫ارسم اٌقونة ( التحمٌل – مشاهدة البرنامج )‬ ‫‪-1‬‬


‫عرف ( الكلمة – الكلمة المزدوجة )‬ ‫‪-2‬‬
‫ما الفرق بٌن الحافة الصاعده و الهابطة‬ ‫‪-3‬‬
‫اذكر انواع العدادات‬ ‫‪-4‬‬
‫قارن بٌن انواع المؤقتات‬ ‫‪-5‬‬

‫‪76‬‬
‫التدرٌبات العملٌة‬

‫تنظٌم مواقف السٌارات‬

‫صمم البرنامج الالزم لتشغٌل النظام الموضح بالشكل المرفق وحسب المعطٌات التالٌة ‪:‬‬

‫ٌستخدم العداد لمراقبة عدد السٌارات فً المكان المخصص الذي ٌستوعب ‪ 25‬سٌارة ‪ ،‬حٌث تزٌد‬
‫محتوٌات العداد بمقدار ‪ 1‬عند دخول السٌارات من بوابة الدخول ‪ ،‬وٌنقص بمقدار ‪ 1‬عند خروج‬
‫السٌارات من بوابة الخروج ‪.‬‬
‫المطلوب ‪:‬‬
‫أن تضا لمبة بٌان عند بوابة الدخول عندما تكون المواقف ممتلبة بالسٌارات ‪.‬‬

‫‪77‬‬
‫صمم البرنامج الالزم لتشغٌل المحرك الموضح بالشكل المرفق وحسب المعطٌات التالٌة ‪:‬‬

‫‪78‬‬
‫صمم البرنامج الالزم لتشغٌل المحرك الموضح بالشكل المرفق وحسب المعطٌات التالٌة ‪:‬‬

‫‪79‬‬
‫(‪)SCADA‬‬

‫المقدمة (‪: )Introduction‬‬

‫ٌلقى نظام التحكم والمراقبه عن بعد (‪ )SCADA‬أهتماما متزاٌدا فً االونه األخٌره سواء من الجانب السٌاسى‬
‫أو من السلطات والشركات المسؤوله عن توزٌع الطاقة ‪.‬‬

‫معرفة نظام االسكادا ‪SCADA‬‬


‫نظام ‪ : SCADA‬هو نظام ٌقوم بتجمٌع البٌانات من الحساسات فً نظام التحكم وأرسالها الً الحاسب‬
‫الربٌسً لغرض األدارة والتحكم والمراقبة ‪.‬‬
‫وبتعرٌف أخر ‪ :‬هو أحد البرامج التطبٌقٌة المستخدمة من أجل عملٌات التحكم التً ٌتم بها تجمٌع البٌانات‬
‫فً الوقت الحقٌقً من أماكن بعٌدة لمراقبة التجهٌزات والظروف المحٌطة وبنفس الوقت التحكم بها‪.‬‬
‫ونظام ‪ٌ SCADA‬ضم قسمٌن ‪ Hardware‬وأخر ‪ Software‬حٌث أن تجهٌزات ‪ Hardware‬هً‬
‫التجهٌزات التً تجمع المعلومات وترسلها الً كمبٌوتر ٌحتوي مسبقا على البرمجٌة الخاصة بالـ‬
‫‪ )Software ( SCADA‬ثم ٌقوم الكمبٌوتر بمعالجة هذه المعلومات وعرضها للمستخدم لقراءتها‬
‫واتخاذ القرار بشأنها وغالبا ما ٌقترن استخدام نظام الـ ‪ SCADA‬مع المتحكمات المنطقٌة القابلة‬
‫للبرمجة ‪ PLC‬حٌث أن ‪ PLC‬هنا ٌمثل المتحكم الذي ٌقوم بتنظٌم المعلومات وأرسالها الً نظام الـ‬
‫‪ SCADA‬وتنفٌذ األوامر القادمة من مدٌر نظام ‪. SCADA‬‬
‫و نظام ‪ SCADA‬هو نظام واسع التطبٌق تحتها حلول لمدي واسع من المشاكل الصناعٌة فً مجاالت‬
‫صناعٌة واسعة علً سبٌل المثال ولٌس الحصرنذكر ‪:‬‬
‫تولٌد الطاقة الكهربابٌة ‪ ،‬ونقل وتوزٌع الطاقة الكهربابٌة ‪ ،‬وأنظمة التحكم بالبٌبات ‪ ،‬والتحكم بأشارات‬
‫المرور ‪ ،‬ومحطات معالجة المٌاه ‪ ،‬وأنظمة النقل العامة ‪ ،‬وأنظمة األنتاج فً المصانع ‪.‬‬
‫مميزات نظام التحكم اإلشرافي وتجميع البيانات )‪(SCADA‬‬
‫‪ٌ -٦‬قوم الحاسب بتسجٌل وتخزٌن كمٌات كبٌرة من البٌانات‪.‬‬
‫‪ -٣‬إمكانٌة عرض البٌانات بأي طرٌقة ٌطلُبها المُستخدِم‪.‬‬
‫‪ -٢‬إمكانٌة توصٌل آالف الحساسات )‪ (sensors‬على نطاق واسع للنظام‪.‬‬
‫‪ -4‬من الممكن قٌام المُشغِل بالمُحاكاة باستخدام البٌانات الحقٌقٌة للنظام‪.‬‬
‫‪ -5‬مُعظم البٌانات ٌُمكن جمعها باستخدام وحدات تحكم طرفٌة ‪RTU‬‬
‫(‪. )Remote Terminal Units‬‬
‫‪ٌ -6‬مكن رؤٌة البٌانات من أكثر من مكان داخل وخارج الموقع‪.‬‬

‫‪81‬‬
‫‪ -7‬تأمٌن التحكم المحلً أو المركزي باستخدام صفحات واضحة ومختصرة وقابلة للتحجٌم أو التنقل بٌن‬
‫الصفحات‪.‬‬
‫‪ -8‬إضافة أزرار تحكم لهذه الصفحات للقٌام بوظٌفة واحدة أو عدة وظابف‪.‬‬
‫‪ -9‬تصمٌم حركات ممٌزة تدل على حالة العمل والحالة التً هً علٌه فً المصنع ‪.‬‬
‫‪ -٦1‬إظهار رسابل نصٌة أو رسومٌة إلظهار حالة سٌر العملٌة أو حالة اإلنذار‪.‬‬
‫‪ -٦٦‬تعٌٌن أوامر من لوحة المفاتٌح تخص كل الصفحات أو صفحة محددة‪.‬‬
‫‪ -٦٣‬المراقبة والتحكم والدخول وإظهار كل حاالت اإلنذار وبعدة أشكال‪.‬‬
‫‪ -٦٢‬تأمٌن مراقبة األداء والمردود كما هو باستخدام المنحنٌات البٌانٌة )‪ (Trends‬وسجالت المعلومات‪.‬‬
‫‪ -٦4‬إعطاء تقارٌر زمنٌة( دورٌة )وتقارٌر مقٌّدة باألحداث على شكل ملفات نصٌة ‪.‬‬
‫‪ -٦5‬مراقبة جودة اإلنتاج عن طرٌق سهولة قراءة البٌانات اإلحصابٌة لسٌر العملٌة االنتاجٌة ‪.‬‬
‫‪ -٦6‬تبادل المعلومات التً تجري على أرض المصنع مع أماكن عمل أخرى من أجل تحلٌل البٌانات أو‬
‫معالجة البرٌد ) ‪ ( E-Mail‬أو للتحكم وتعدٌل النظام‪.‬‬
‫عيوب هذه الطريقة‪:‬‬
‫‪ -1‬أصبح النظام مُعقد للغاٌة مُقارنة بنظام الحساسات )‪ (sensors‬المُتصلة مباشرة باللوحة‪.‬‬
‫‪ -2‬نحتاج لمسارات مختلفة لتشغٌل النظام مثل مُحلِل النظم والمبرمج‪.‬‬
‫‪ -3‬فً حالة وجود آالف الحساسات )‪ (sensors‬ما تزال توجد كمٌة كبٌرة من األسالك ‪.‬‬
‫‪ -4‬المُشغل ٌستطٌع رؤٌة البٌانات فقط وهو بعٌد عن المتحكم المبرمج المنطقً )‪ (PLC‬أي ال ٌتعامل‬
‫مع أجهزة الحقل مباشرة‪.‬‬

‫‪81‬‬
‫مفهوم التحكم اإلشرافً وتجمٌع البٌانات )‪:(SCADA‬‬

‫‪82‬‬
Human Machine Interface

83
‫‪: HMI‬هى تلك الشاشات الوسٌطه بٌن االنسان واآلله‬

‫استخداماتها‪:‬‬

‫تعطى تخٌل ومحاكاه للعملٌه الصناعٌه‬ ‫‪.1‬‬


‫تمكن العامل من متابعه العملٌه الصناعٌه‬ ‫‪.2‬‬
‫تبٌن األنذارات واألخطار‬ ‫‪.3‬‬
‫تعطى نسخه ارشٌفٌه لالنذارات والقٌم التى حدثت لهذه اآلله‬ ‫‪.4‬‬
‫اداره خطوات وعناصر العملٌه‬ ‫‪.5‬‬

‫انواع شاشات ‪:HMI‬‬

‫شاشات دقٌقه (‪)micro panel‬‬ ‫‪.1‬‬


‫شاشات متحركه (‪)Mobile panel‬‬ ‫‪.2‬‬
‫شاشات تعمل باللمس ( ‪)Touch panel‬‬ ‫‪.3‬‬
‫شاشات الكمبٌوتر (‪)Panel PCs‬‬ ‫‪.4‬‬
‫شاشات تعمل بنظام سكادا (‪)PC SCADA‬‬ ‫‪.5‬‬

‫هناك عده برامج تستخدم لبرمجه هذه الشاشات وهى‬

‫)‪SCADA(Supervising Control And Data Acquisition‬‬

‫)‪WinCC (widows Control Center‬‬

‫‪84‬‬
WinCC flexible

WinCC flexible ‫وسنقوم بدراسه‬

85
‫هناك طرٌقتان لعمل مشروع جدٌد وهما‪:‬‬

‫‪ .1‬انشاء مشروع جدٌد باستخدام االعدادات المسبقه‬


‫‪ .2‬انشاء مشروع جدٌد خالى‬

‫‪86‬‬
‫‪WinCC Flexible Work-Bench‬‬

‫صفحه عمل البرنامج‬

‫‪ :Menu bar‬شرٌط القوابم‬

‫‪ :Tool bar‬شرٌط األدوات‬

‫‪ :Project view‬المنطقه التى بها اجزاء المشروع‬

‫‪ :Object view‬المنطقه التى نرى بها العنصر‬

‫‪ :Work area‬المنطقه التى تصمم بها الشاشات‬

‫‪ :Property view‬المنطقه التى ٌظهر فٌها خواص العنصر‬

‫‪ :Output view‬المنطقه التى ٌظهر فٌها نتٌجه الخرج‪.‬‬

‫‪:Tool window‬نافذه األدوات التى ٌوجد بها العناصر‪.‬‬

‫‪ :Library‬المكتبه التى تضم اشكال مختلفه جاهزه لكثٌر من العناصر‪.‬‬

‫‪87‬‬
‫اعدادات الشاشه‪:‬‬

‫ٌحدد بها نوع ومودٌل ورقم الشاشه‬

‫‪88‬‬
‫اعدادات التصال‪:‬‬

‫لعمل االتصال مع ‪ PLC‬و ٌتم ضبط القٌم لالتصال بال ‪ PLC‬من الشاشة لتزامن البٌانات و توصٌف‬
‫طرٌقة االتصال و ربط العناوٌن الموجودة فى ال ‪ PLC‬بما ٌعادها على الشاشة و ذلك لعرض القٌم و التحكم‬
‫فٌها‬

‫‪(Cycles .1‬دورات زمنٌه)‪:‬‬

‫هو الوقت الدورى الالزم للرجوع لل ‪ PLC‬و ٌجب ان ٌناسب الوقت طبٌعة المتغٌر الذى نقوم‬
‫بقٌاسه فمثال التغٌر فى درجة حرارة فرن بطٌبة مقارنة بارتفاع سابل فى خزان‬

‫‪89‬‬
‫‪(Connections .2‬األتصال)‪:‬‬

‫ومنه ٌمكن تحدٌد نوع الوصله بٌن ‪HMI‬و ‪ PLC‬وٌعرف الشاشه على نوع ‪ PLC‬المستخدم‪.‬‬

‫‪ :Tags .3‬هو الذى ٌربط بٌن دخل وخرج العملٌات فى برامج ال ‪ PLC‬والعناصر التى توضع على‬
‫الشاشه‪ .‬فمثال ٌجب ان ٌكون لدٌنا ‪ tag‬مرتبط بمفتاح التشغٌل للموتور و الذى ٌاخذ العنوان ‪I124.0‬‬

‫‪91‬‬
‫فى برنامج ال‪ PLC‬و منه ٌمكن التحكم فى تشغٌل الموتور من الشاشة واٌضا متابعة حالة المفتاح‬
‫من الشاشة‬

‫تصميم الشاشات‪-:‬‬

‫و هى اول الخطوات لعمل المشروع و ٌتم بالترتٌب التالى‬

‫‪91‬‬
‫مثال لكٌفٌه ربط الشاشات‪-:‬‬

‫عمل ترتٌب معٌن للتنقل بٌن الشاشات بهذا الترتٌب‪.‬‬

‫الشاشات (‪-:)Screens‬‬

‫‪92‬‬
‫ٌالحظ وجود نوعٌن ‪ template -1‬و فٌه ٌكون الشكل العام لجمٌع الشاشات الخاصة بالمشروع مثال الزمن‬
‫او ‪ Text‬محدد مثل اسم الشركة على سبٌل المثال ‪screen1 -2‬او اى رقم و فٌها ٌتم اضافة االجزاء‬
‫الخاصة بكل شاشة فمثال شاشة ٌحدد فٌها كل ما ٌتعلق بالموتور ( تشغٌله – حالته – سرعته‪ )..... -‬و‬
‫الخزان ( درجة ملبه‪ -‬انذار االرتفاع داخل الخزان – درجة الحرارة داخل الخزان ‪ ).....-‬و شاشة اخرى‬
‫لرسم العالقة بٌن درجة الحرارة و الزمن و غٌرها من المعلومات و شاشة اخرى ٌرسم فٌها معلومات اخرى‬
‫عن خط االنتاج وهكذا و لكن جمٌع هذه الشاشات ٌظهر فٌها ما تم تحدٌده فى ال‪template‬‬

‫عمل تسلسل الشاشات‪-:‬‬

‫‪93‬‬
‫و االن داخل الشاشة سنقوم بعرض كيفية رسم بعض المكونات و كيفية ربطها بال‪PLC‬‬

‫عناصر الرسم‪-:‬‬

‫‪94‬‬
‫وتوجد فى قائمة ‪ TOOLS‬يوجد منها اربع انواع تحت كل نوع يوجد عدة مكونات‬

‫• ‪Simple objects‬‬

‫• ‪Enhanced objects‬‬

‫• ‪Graphics‬‬

‫• ‪library‬‬

‫‪-: Simple object -1‬‬

‫العناصر البسٌطه و هى مثل‬

‫‪ button -1‬و ٌستخدم كضاغط ٌمكن ان تظهر علٌه ‪Text‬و نوع البٌانات المستخدمه مع ال‪TAG‬‬
‫المربوط به هى ‪bool‬‬
‫‪ Switch -2‬وٌستخدم كمفتاح ‪ On/Off‬و بالطبع نوع البٌانات المستخدمة مع ال‪ TAG‬المربوط به هى‬
‫‪BOOL‬‬
‫‪ٌ BAR -3‬ستخدم لبٌان المنسوب و لكن نوع البٌانات المستخدمة مع ال‪ TAG‬المربوط به هى‪word‬‬
‫الن المتغٌر المرتبطة به لها ‪max‬و‪ min‬و ٌتغٌر فٌما بٌنهما‬

‫‪95‬‬
‫‪Enhanced object‬‬

‫و كما هو موضح يوجد عدة اشكال متقدمة اكثر مثل العداد و الساعة و االنذار و المخطط (‪ (trend‬و‬
‫المواتير و الخزانات اما بالنسبة لل‪ slider‬فانه يمكن ان يستخدم ايضا كدخل ‪ analog‬يمكن منه ان نغير‬
‫قيمة الدخل ‪.‬‬

‫‪96‬‬
-:)‫ (الدابره‬Circle

Tag : Bool I0.0

-:)‫ )المفتاح‬Switch
97
Tag: Bool Qo.o

-:)‫ (المقٌاس‬Gauge

-:Graphic io feild

98
-:Symbolic io field

99
111
‫هناك نوعان منه‪:‬‬

‫الرسم البٌانى لسجل القٌم‬

‫الرسم البٌانى للقٌم الحالٌه‬

‫‪111‬‬
‫األنذارات‪-:)Alarms(:‬‬

‫‪112‬‬
‫لعمل انذار متقطع‪-:‬‬

‫اظهار األنذار على الشاشه‪-:‬‬

‫‪113‬‬
‫أظهار المشروع على الشاشه‪-:‬‬

‫يتم نقل المشروع الى الشاشة عن طريق امر ‪ TRANSFER‬و يتم كالخطوات التالية ‪:‬‬

‫‪ -1‬اضغط على اٌقونة ‪transfer‬‬

‫‪ٌ -2‬ظهر مربع حوار ٌتم ادخال ‪ mode‬و نختار وسٌلة التوصٌل بٌن الشاشة و ال‪ PLC‬و هى‬
‫‪ MPI/DP‬و عنوان الجهاز‪ station address‬المنقول الٌه البرنامج (‪)1‬‬

‫‪ -3‬ثم نضغط ‪transfer‬‬

‫و االن ٌمكن تشغٌل البرنامج من الشاشة و عمل التحكم فى االشكال المرسومة و متابعة تشغٌلها‬

‫‪114‬‬
‫التدريب العملى‪-:‬‬

‫‪ -‬صمم مشـــــروع جدٌد ٌحتوى على اربع شاشات‪.‬‬

‫قم بعمل األعدادت الالزمه لربط الشاشات وضبط األتصال بٌن الشاشه و‪. PLC‬‬ ‫‪-‬‬
‫فى الشاشه األولى استخدم رمز محرك ومفتاحان مكتوب على األول )‪ (START‬والثانى )‪(STOP‬‬ ‫‪-‬‬
‫واربطهم ببرنامج تشغٌل واٌقاف محرك على ‪ PLC‬بحٌث عند الضغط على مفتاح )‪ٌ (START‬عمل‬
‫المحرك وعند الضغط على مفتاح )‪ٌ (STOP‬قف المحرك‪.‬‬
‫فى الشاشه الثانٌه استخدم ‪ Symbolic IO Field‬بحٌث عند عمل الموتور ٌكتب عباره‬ ‫‪-‬‬
‫)‪ (RUNNING‬وعند األٌقاف ٌكتب )‪. (STOPPING‬‬
‫فى الشاشه الثالثه قم بعمل رسم بٌانى لحاله الموتور‪.‬‬ ‫‪-‬‬
‫فى الشاشه األخٌره اظهر شاشه األنذارات للنظام‪.‬‬ ‫‪-‬‬
‫أظهر المشروع على شاشه ‪.HMI‬‬ ‫‪-‬‬

‫‪115‬‬
‫االختبار النظرى‬

‫‪ -1‬عرف نظام ال‪SCADA‬‬

‫‪ -2‬اذكر ثالثة انواع للشاشات‬

‫‪ -3‬ما الفرق بٌن ال‪ template‬و ال ‪screen‬‬

‫‪ -4‬ما هى انواع االنذارات‬

‫‪ -5‬ما هى وظٌفة ال‪Trend‬‬

‫‪ -6‬قارن بٌن ال ‪ slider‬و ال‪ Bar‬من حٌث االستخدام و القابمة التى ٌنتمى الٌها‬

‫‪116‬‬

You might also like