You are on page 1of 7

Silicon (2023) 15:1003–1009

https://doi.org/10.1007/s12633-022-02080-0

ORIGINAL PAPER

Buried Metal Silicon‑on‑Insulator Junctionless Transistor for Low


Power CMOS Logic Circuits
Kaustubh K. Tiple1 · Ganesh C. Patil1 

Received: 16 May 2022 / Accepted: 25 August 2022 / Published online: 31 August 2022
© Springer Nature B.V. 2022

Abstract
This paper deals with an innovative structure of silicon-on-insulator junctionless transistor (SOIJLT) by incorporating a
buried metal layer of proper work-function which creates the Schottky junction between device layer and the buried metal
layer. The buried metal layer results in perfect volume inversion in OFF-state due to which in comparison to SOIJLT, the
off-state current (IOFF) of the proposed buried metal SOIJLT (BMSOIJLT) is significantly reduced. In addition, the short-
channel effects such as subthreshold swing (SS) and the drain-induced barrier lowering (DIBL) in the proposed BMSOIJLT
are reduced by 40% and 30% respectively over the SOIJLT device. The CMOS digital logic circuits such as inverter, NAND
gate and the NOR gate have also been implemented using the mixed-mode device/circuit simulations. Despite due to lower
ON-state drive current (ION) and the parasitic capacitances in the proposed BMSOIJLT, the propagation delay in SOIJLT
and the proposed BMSOIJLT based logic gates is comparable. Moreover, due to significant reduction in IOFF the static power
dissipation in the proposed BMSOIJLT based logic gates is significantly low.

Keywords  Schottky barrier · Buried metal · Scalability · Low-power CMOS

1 Introduction channel region. On the other hand, in ON-state the device


achieves the flat-band and the depletion region completely
As the channel length scales down, it is difficult to maintain disappears and the on-state current (ION) starts flowing [3, 4].
the steep doping profiles at the source-channel and channel- Many studies have been carried out on JLTs and it has been
drain interfaces of the MOSFETs [1]. To overcome this shown that the spacers reduce the IOFF and also helps in reduc-
issue, the junctionless transistor (JLT) has been proposed to ing the short channel effects [5, 6]. Therefore, silicon-nitride
avoid the p-n junctions at source-channel and channel-drain ­(Si3N4) has been used as the spacers for the simulated devices
interfaces. As compared to MOSFETs the JLTs have fol- of this work. In JLT, during OFF-state there is high vertical
lowing advantages 1) the process flow is greatly simplified electric field, whereas in ON-state there is low horizontal
as halo/extension and deep source/drain implants are not field [7, 8]. New JLT structures studied in the literature are
required, and 2) after gate stack formation implant activa- junctionless multiple-gate MOSFETs [9], asymmetric short-
tion anneal step is removed, due to which thermal budget is channel junctionless quadruple-gate FET [10], negative-capac-
reduced [2]. However, short channel effects and the off-state itance JLT [11], vertically stacked nanosheet JLT [12], core-
leakage (IOFF) are the major bottlenecks in JLTs. shell junctionless nanotube tunnel field effect transistor [13],
In conventional MOSFETs the IOFF is controlled by silicon-on-nothing electrostatically doped junctionless tunnel
reversed p-n junction, whereas in silicon-on-insulator junc- field effect transistor [14], junctionless silicon nanotube tun-
tionless transistor (SOIJLT) IOFF is controlled by the gate nel field effect transistor [15], δ-doped partially insulated JLT
work-function. As we increase the gate voltage, the deple- [16], negative capacitance δ-bulk planar JLT [17] and dual-k
tion region reduces and the carriers start accumulating at the spacer bulk planar JLT [18]. The JLT includes thin uniformly
doped device layer whose resistance is modulated by the gate
* Ganesh C. Patil electrode because of which the JLT works like a gated resistor.
ganeshcpatil@cvn.vnit.ac.in Therefore, in order to use the JLT for the complemetry metal
oxide semiconductor (CMOS) applications, the ON/OFF of
1
Center for VLSI and Nanotechnology, Visvesvaraya National the JLT is most important. To overcome this bottleneck, we
Institute of Technology, Nagpur 440010, India

13
Vol.:(0123456789)
1004 Silicon (2023) 15:1003–1009

propose the buried metal silicon-on-insulator junctionless Table 1  Device Parameters used in simulation
transistor (BMSOIJLT) in which a buried metal layer has been Parameter Unit SOIJLT BMSOIJLT
incorporated in between the buried oxide (BOX) and the device
layer. In the proposed device, at junctionless device layer and Physical gate length (LG) nm variable variable
the buried metal layer interface the metal-semiconductor (M-S) Buried oxide thickness (TBOX) nm 20 20
Schottky contact is formed (when the work-function of the bur- Channel thickness (TChannel) nm 10 10
ied metal is greater than the work-function of the semiconduc- Gate oxide thickness (TOX) nm 2 2
tor). Since carrier transport in the device layer above the buried Substrate thickness (TSubstrate) nm 20 20
oxide (BOX) is mainly due to drift-diffusion (DD) mechanism Buried metal thickness (Tmetal) nm – 10
and the carrier transport at Schottky junction is due to Schottky Spacer thickness (Tspacer) nm 5 5
barrier tunneling (SBT) [4], both DD and SBT models have Gate workfunction eV 4.45 4.45
been used in the sentaurus sdevice simulations. Channel doping density cm−3 1 × ­1018 1 × ­1018
Substrate doping density cm−3 1 × ­1017 1 × ­1017
Supply voltage (VDD) V 1 1
2 Device Structures and Simulation Models

Figure 1 shows the n-channel (NMOS) and p-channel (PMOS) simulations are saturation mobility model due to high electric
device structures of SOIJLT and the proposed BMSOIJLT used field, Philips unified mobility model for mobility degradation
in the sentaurus sdevice tool which is provided by the Synop- due to both impurity and carrier-carrier scattering, mobility
sys [19]. The device parameters and the dimensions used in the dependence model on transverse electric field, bandgap nar-
simulations are shown in the Table 1. The scaling of physical rowing model due to high Si doping in the channel, Shockley-
gate length (LG) has been carried out by varying the LG from Read-Hall (SRH) and Auger recombination models.
22 nm-to 10 nm in steps of 2 nm [20]. The threshold voltage
(VTH) of the proposed BMSOIJLT is found to be 0.3 V and
for SOIJLT it is 0.1 V at the gate work-function of 4.45 eV for 3 Results and Discussions
both the devices. The threshold voltages are calculated from
the gate-to-source voltage (VGS) for which the drain current 3.1 Impact of Metal Work Function on ­IOFF
(IDS) drops to the value 1­ 0−7 × W/LG. Here, W is the width and
the gate-to-drain voltage (VDS) is considered to be 1 V. Along From Fig. 2 it can be seen that, at equilibrium Fermi level is
with DD and SBT models, the remaining models utilized in the constant throughout the device. Further, due to buried metal
Fig. 1  (a) Conventional NMOS
SOIJLT, (b) proposed NMOS
BMSOIJLT, (c) conven-
tional PMOS SOIJLT and (d)
proposed PMOS BMSOIJLT
device structures

13
Silicon (2023) 15:1003–1009 1005

in the BMSOIJLT, Schottky junction is formed between 10


-3

channel and the metal which results in band bending at the -4


metal-channel interface. This band bending leads to sig- 10
nificant reduction in the IOFF of the proposed BMSOIJLT 10
-5

device. This is mainly because, the BM-SOILJLT is the -6


junctionless laterally and it also has the Schottky junction 10 =4.55 eV

(A/ m)
m
-7
isolation vertically. Due to this the depletion layer is being 10 =4.75 eV
m
created at the bottom of the device layer which leads to the -8
10 =5.15 eV
volume depletion and reduces the IOFF [3]. The Schottky m

OFF
barrier cannot be seen in SOIJLT as there is no buried metal. 10
-9
m
=5.35 eV
Therefore, leakage current is much less in BMSOIJLT com- 10
-10

pared to SOIJLT. Further, from Fig. 3 it can also be seen -11


that, as the metal work-function (ϕm) of the buried metal 10
increases, Schottky barrier height increases. Therefore, the 10
-12

presence of Schottky barrier reduces the current. Thus, to 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 1.1
obtain the lower leakage current Schottky barrier height at GS
(V)
the metal-channel interface should be higher.
Fig. 3  Impact of buried metal work function on off-state leakage cur-
rent in proposed NMOS BMSOIJLT
3.2 DC Performance

As discussed in Section 3.1 the optimized work-function of reduces the ION. Therefore, in comparison to SOIJLT ION
5.15 eV is considered for both NMOS and PMOS devices in proposed NMOS/PMOS BMSOIJLT is lower. From
of the proposed BMSOIJLT architecture. Figure 4 shows Fig. 5, it can also be observed that, the difference in IOFF
the ID-VGS characteristics of NMOS/PMOS BMSOIJLT of the BMSOIJLT and SOJLT devices is almost of four
and SOJLT in log scale and linear scale. From Fig. 4 it decades and the difference in I ON of the BMSOIJLT and
can be seen that, in comparison to SOIJLT, the presence SOJLT is comparable.
of buried layer in the proposed BMSOIJLT device reduces Figure 5 shows the ION/IOFF ratio of proposed NMOS/
the IOFF in both NMOS and PMOS devices. However, the PMOS BMSOIJLT and conventional SOIJLT devices.
presence of Schottky barrier in proposed BMSOIJLT also Device is OFF at VGS = 0 V (IOFF is extracted). Device is

Fig. 2  Vertical band diagram


(a) SOIJLT and (b) proposed
BMSOIJLT NMOS at equilib-
rium

13
1006 Silicon (2023) 15:1003–1009

10
-3
0.25 250
SOIJLT 200 SOIJLT
10
-4 BMSOIJLT 150 BMSOIJLT
0.20

DIBL (mV/V)
-5 100 NMOS
10 50
10
-6 0
0.15
(A/ m)

(mA/ m)
-50
-7
10 -100 PMOS
-8 PMOS NMOS 0.10 -150
10 -200
D

-9 -250
10

D
0.05 10 12 14 16 18 20 22
10
-10 Physical Gate Length (nm)
-11
10 0.00
-1.0-0.8-0.6-0.4-0.2 0.0 0.2 0.4 0.6 0.8 1.0 Fig. 6  DIBL variations with LG for NMOS/PMOS BMSOIJLT and
SOJLT devices
GS
(V)

Fig. 4  ID-VGS characteristics of proposed NMOS/PMOS BMSOIJLT 300


and SOJLT for LG = 20 nm and VDS = ± 1 V SOIJLT
250
BMSOIJLT
200
SS (mV/Decade) NMOS
150
4 500
8x10 100
Ratio

SOIJLT
Ratio

4
7x10 400
4 BMSOIJLT
6x10 -100
5x10
4 300
OFF

-150
OFF

4
4x10 200 -200
/

4
3x10
ON

/ ON

2x10
4
100 -250
4 NMOS PMOS
1x10 -300
500
10 12 14 16 18 20 22
4
8x10 Physical gate length (nm)
Ratio
Ratio

4
7x10 400
4
6x10
4 300 Fig. 7  SS variations with LG for NMOS/PMOS BMSOIJLT and
5x10
OFF
OFF

4 SOJLT devices
4x10 200
/

4
/

3x10
ON
ON

4
2x10 100
4 PMOS
1x10
0.18 0.20
10 12 14 16 18 20 22 SOIJLT
Physical gate length (nm) 0.17 BMSOIJLT 0.18
0.16
Fig. 5  ION/IOFF variations with LG for NMOS/PMOS BMSOIJLT and 0.16
0.15
(fF/ m)
(fF/ m)

SOJLT devices

0.14 0.14

ON when VGS > VTH and VDS > VGS-VTH (here at VDS = 1 V, 0.13


0.12
gd
gs

ION is extracted). From Fig. 5, ION/IOFF in proposed NMOS/


0.12
PMOS BMSOIJLT devices is improved significantly over
0.10
the NMOS/PMOS SOIJLT devices. 0.11

0.10 0.08
3.3 Short Channel Effects 0.0 0.2 0.4 0.6 0.8 1.0
GS
(V)
The drain induced barrier lowering (DIBL) is a short chan-
nel effect which reduces VTH at higher VDS. The DIBL is Fig. 8  Cgs and Cgd variations with VGS for NMOS BMSOIJLT and
given by [21, 22]. NMOS SOJLT devices. Here, LG = 20 nm and VDS = 1 V

13
Silicon (2023) 15:1003–1009 1007

18 0.72 SOIJLT
8 SOIJLT 0.64
15 BMSOIJLT
(nW/ m)

BMSOIJLT 0.56

OFF
6 12
0.48

( W)
x
9 0.40
4

DD
0.32
DD

static
( W/ m)
0.24
2
x

3
0.16
OFF

0 0.08
0
10 12 14 16 18 20 22 0.00
Physical Gate Length (nm) INVERTER NAND NOR

Fig. 9  Leakage power dissipation variations with LG for NMOS Fig. 11  Comparison of static power dissipation of the CMOS
BMSOIJLT and NMOS SOJLT devices inverter, NAND and the NOR gates based on proposed BMSOJLT
and SOIJLT NMOS/PMOS devices

VTLIN − VTSAT The subthreshold swing (SS) is defined as the amount of


DIBL = . gate-to-source voltage required to achieve one decade change
0.95
in drain current in sub-threshold regime. From Fig. 7 SS
The saturation threshold voltage (V TSAT) of proposed increases with scaling LG, and it is reduced by ~40% in pro-
NMOS and PMOS BMSOIJLT is 0.3 V and − 0.3 V respec- posed BMSOIJLT NMOS/PMOS devices over the SOIJLT
tively and the VTSAT of NMOS and PMOS SOIJLT is 0.1 V NMOS/PMOS devices. This reduction is mainly because of
and − 0.1 V whereas the linear threshold voltage (VTLIN) is the presence of buried metal layer in BMSOIJLT devices.
found to be comparable for both BMSOIJLT and SOIJLT
devices. From Fig. 6, as compared to SOIJLT NMOS/PMOS
devices, the DIBL of the proposed BMSOIJLT NMOS/ 3.4 Parasitic Capacitances
PMOS devices reduces. This is due to the fields arising from
the drain, which reduces the coupling near to source and From Fig. 8 it is observed that both gate-to-drain capaci-
leads to reduction in DIBL of BMSOIJLT NMOS/PMOS tance (Cgd) and gate-to-source capacitance (Cgs) of pro-
devices [3]. The VTLIN and VTSAT are the threshold voltages posed BMSOIJLT is lower than SOIJLT which shows
at VDS = 50 mV and 1 V, respectively [18]. In order to equal- that parasitic capacitances are lower in the proposed
ize the threshold voltages of NMOS/PMOS devices the gate BMSOIJLT device. This is due to buried metal in pro-
work function of the PMOS devices has been adjusted. posed BMSOIJLT which absorbs charge from channel, as

Fig. 10  (a) CMOS inverter, (b)


NAND gate, and (c) NOR gate
circuits used in the mixed-mode
device/circuit simulations

13
1008 Silicon (2023) 15:1003–1009

60 PMOS devices. The total propagation delay in the case of SOIJLT


SOIJLT
Propagation Delay (pSec)
based CMOS logic circuits is comparable with the BMSOIJLT
50 BMSOIJLT based circuits. However, due to higher ION in SOIJLT devices, the
propagation delay in the case of SOIJLT based CMOS logic circuits
40 is slightly lower than the BMSOIJLT based CMOS logic circuits.

pHL
In this work, the Tplh is extracted from the time between 50% input
30 (0.5 V) to output 50% (0.5 V) for low-to-high transition of output
whereas Tphl is extracted from the time between 50% input (0.5 V)
20 to output 50% (0.5 V) for high-to-low transition of output. To calcu-
late propagation delay, inputs in the form of pulses having 1 MHz
frequency have been applied. The physical devices are named as

pLH
10
NMOS and PMOS, and are defined in separate device statements
0 of mixed-mode device circuit simulations. The NMOS and PMOS
Inverter NAND NOR devices are connected to form the CMOS circuits shown in Fig. 10.

Fig. 12  Comparison of propagation delay of the CMOS inverter,


NAND and the NOR gates based on proposed BMSOJLT and SOI-
4 Conclusion
JLT NMOS/PMOS devices
In this work, the impact of buried metal inserted between device
layer and the BOX layer of SOIJLT has been broadly studied by
metal have property to absorb point charge, this reduces using sentaurus sdevice (2D TCAD) tool provided by Synopsys.
charge in channel. The BMSOIJLT has been studied to evaluate the CMOS logic
circuit performance of the BMSOIJLT and SOIJLT devices. It
3.5 Static Power Dissipation has been found that, the incorporation of buried metal layer in
BMSOIJLT forms the Schottky barrier due to which the leakage
Further, from Fig. 9 it is observed that static power dissipation current in the BMSOIJLT is lower than the SOIJLT NMOS/
(IOFF × VDD) of proposed BMSOIJLT is significantly low in com- PMOS devices. This results in significant reduction in static
parison to SOIJLT. This is mainly because of the Schottky bar- power dissipation in inverter, NAND and NOR CMOS circuits
rier due to the buried metal layer of the device. This significant based on BMSOIJLT NMOS/PMOS devices. Thus, incorporat-
reduction in the static power dissipation shows the importance of ing buried metal layer in SOIJLT makes it a promising device
the proposed device architecture for low power digital CMOS. for low-power CMOS logic circuits.

3.6 CMOS Logic Circuit Performance


Author Contributions  All the authors contributed equally.
Figure 10 shows the inverter, NAND and the NOR CMOS logic
Data Availability  Not applicable.
circuits implemented using SOIJLT and BMSOIJLT NMOS/
PMOS devices. The circuits shown in Fig. 10 are simulated by
Declarations 
using the mixed-mode device/circuit simulations. The details
regarding the doping concentrations, the meshing and the gate- Consent to Participate  Not applicable.
source/drain contacts information and the model details for
NMOS/PMOS devices have been further used for the mixed- Consent for Publication Yes
mode device/circuit simulations. The static power dissipation
(Pstatic) is being extracted using the DC analysis and the propa- Conflict of Interest  The authors declare no conflict of interest.
gation delay has been extracted from the high-to-low (Tphl) and
low-to-high (Tplh) propagation delays.
From Fig. 11 it is observed that, in comparison to SOIJLT References
based inverter, NAND and NOR circuits the static power
dissipation (Pstatic) in BMSOIJLT based inverter, NAND and 1. Colinge J, Lee C, Afzalian A, Dehdashti N, Yan R, Ferain I,
Razavi P, O’Neill B, Blake A, White M, Kelleher A, McCarthy
NOR circuits is significantly low. This is mainly due to the B, Murphy R (2009) SOI gated resistor: CMOS without junctions.
significant reduction in IOFF of the BMSOIJLT. IEEE International SOI Conference, pp. 1–2
Figure 12 shows the comparison of high-to-low (Tphl) and low-to- 2. Lee C-W, Ferain I, Afzalian A et al (2010) Performance estima-
high (Tplh) propagation delay for inverter, NAND and NOR CMOS tion of junctionless multigate transistors. Solid State Electron
54(2):97–103
logic circuits implemented using SOIJLT and BMSOIJLT NMOS/

13
Silicon (2023) 15:1003–1009 1009

3. Ehteshamuddin M, Loan SA, Rafat M (2018) Planar Junction- 14. Kaity A, Singh S, Kondekar PN (2021) Silicon-on-nothing elec-
less silicon-on-insulator TransistorWith buried metal layer. IEEE trostatically doped Junctionless tunnel field effect transistor (SON-
Electron Device Lett 39(6):799–802 ED-JLTFET): a Short Channel effect resilient design. Silicon
4. Zeng L, Liu XY, Zhao YN, He YH, Du G, Kang JF, Han RQ 13:9–23. https://​doi.​org/​10.​1007/​s12633-​020-​00404-6
(2010) A computational study of dopant-segregated Schottky bar- 15. Okte L, Raman A, Raj B et  al (2022) Junctionless silicon
rier MOSFETs. IEEE Trans Nanotechnol 9:108–113 nanotube tunnel field effect transistor based resistive tempera-
5. Gundapaneni S, Ganguly S, Kottantharayil A (2011) Enhanced ture detector. Silicon 14:3281–3291. https://​doi.​org/​10.​1007/​
electrostatic integrity of Short-Channel Junctionless transistor s12633-​021-​01102-7
with high-κ spacers. IEEE Electron Device Lett 32(10):1325–1327 16. Patil GC, Bonge VH, Malode MM et al (2016) Novel δ-doped par-
6. Baruah RK, Paily RP (2014) A dual-material gate junction- tially insulated junctionless transistor for mixed signal integrated
less transistor with high-k spacer for enhanced analog perfor- circuits. Superlattice Microst 90(2):247–256
mance. IEEE Trans Electron Devices 61(1):123–128. https://​doi.​ 17. Bhagat KB, Patil GC (2019) Negative capacitance δ-bulk planar
org/​10.​1109/​TED.​2013.​22928​52 junctionless transistor for low power application. IET Micro Nano
7. Virani HG, Kottantharayil A (2009) Optimization of hetero junc- Lett 4(10):1107–1110. https://​doi.​org/​10.​1049/​mnl.​2019.​0153
tion n-channel tunnel FET with high-k spacers. Proc IEDST 1–6. 18. Garike RR, Patil GC (2019) S ­ i3n4 :HfO2 dual-k spacer bulk planar
https://​doi.​org/​10.​1109/​EDST.​2009.​51661​13 junctionless transistor for mixed signal integrated circuits. IET Circ
8. Virani HG, Rao ARB, Kottantharayil A (2010) Dual-k spacer Devices Syst 13:50–45. https://​doi.​org/​10.​1049/​iet-​cds.​2018.​5168
device architectures for the improvement of performance of het- 19. Sentaurus Device User Guide, Available: http://​www.​synop​sys.​com
ero structure n-channel tunnel FETs. IEEE Trans Electron Devices 20. International technology roadmap for semiconductors (ITRS)
57(10):2410–2417 (2019) [Online]. Available: http://​www.​itrs2.​net/
9. Chiang T-K (2021) Junctionless multiple-gate (JLMG) MOS- 21. Patil GC, Qureshi S (2012) Underlap channel metal source/drain
FETs: a unified subthreshold current model to assess noise mar- SOI MOSFET for thermally efficient low-power mixed signal cir-
gin of subthreshold logic gate. IEEE Trans Electron Devices cuits. Microelectron J 43(5):321–328
68(10):5330–5334. https://​doi.​org/​10.​1109/​TED.​2021.​31078​17 22. Bindal A, Naresh A, Yuan P, Nguyen KK, Hamedi S-H (2007)
10. Bae MS, Yun I (2021) 2-D quantum confined threshold voltage The design of dual work function CMOS transistors and circuits
shift model for asymmetric Short-Channel Junctionless quadru- using silicon nanowire technology. IEEE Trans Nanotechnol
ple-gate FETs. IEEE Trans Electron Devices 68(11):5504–5510. 6:291–302
https://​doi.​org/​10.​1109/​TED.​2021.​30894​51
11. Gupta M, Hu VP-H (2021) Sensitivity analysis and Design of Publisher’s Note Springer Nature remains neutral with regard to
Negative-Capacitance Junctionless Transistor for high-perfor- jurisdictional claims in published maps and institutional affiliations.
mance applications. IEEE Trans Electron Devices 68(8):4136–
4143. https://​doi.​org/​10.​1109/​TED.​2021.​30891​05 Springer Nature or its licensor holds exclusive rights to this article under
12. Sung P-J et al (2020) Fabrication of vertically stacked Nanosheet a publishing agreement with the author(s) or other rightsholder(s);
Junctionless field-effect transistors and applications for the CMOS author self-archiving of the accepted manuscript version of this article
and CFET inverters. IEEE Trans Electron Devices 67(9):3504– is solely governed by the terms of such publishing agreement and
3509. https://​doi.​org/​10.​1109/​TED.​2020.​30071​34 applicable law.
13. Shreya S, Khan AH, Kumar N, Amin SI, Anand S (2020) Core-
Shell Junctionless nanotube tunnel field effect transistor: design
and sensitivity analysis for biosensing application. IEEE Sensors
J 20(2):672–679. https://​doi.​org/​10.​1109/​JSEN.​2019.​29448​85

13

You might also like