You are on page 1of 51

IS2M

Logique séquentielle

Selma ben Attia Ep GAZZAH Logique séquentielle


Introduction
On désire mettre en marche un volet roulant électrique avec un bouton-poussoir
marche « M », et l’arrêter avec un bouton-poussoir arrêt « A ».

Si on appuie sur M, la sortie S passe à 1. Elle


ne reviendra à 0 que si l'on appuie sur A.

M A S
0 0 0
1 0 1
0 0 1
0 1 0
0 0 0

Problème : pour M= A= 0, il y a 2 effets possibles sur la sortie !


On ne peut donc pas résoudre ce problème en utilisant la logique combinatoire.
2
Introduction
Si l'on tient compte de l'état de la sortie à l'instant précédent, une solution est
possible. En effet, en appelant St-1 l’état précédent de la sortie, le fonctionnement se
déroule selon le tableau suivant :
St-1 M A St
0 0 0 0
0 1 0 1
L’équation de St est alors :
1 1 0 1
1 0 0 1
S t  ( M  St 1 ).A 1 0 1 0
0 0 1 0
0 0 0 0

3
Circuits combinatoires Vs. circuits
séquentiels

Dans les circuits combinatoires, les signaux de sortie ne dépendent


que des signaux d ’entrée présents au même instant.

Dans un système séquentiel une même combinaison des entrées


peut produire des sorties différentes

Dans les circuits séquentiels, il y a de la rétroaction : les signaux de


sortie ne dépendant pas uniquement des entrées, mais aussi de leur
séquence. Le circuit se rappelle des entrées et des états antérieurs : il
a une mémoire du passé.
Circuits asynchrones et synchrones

Circuits asynchrones: la sortie est modifiée dès qu’il y a un


changement de l’état des entrées.

Circuits synchrones: la sortie ne change qu’après un signal


d’horloge.

Bistables : L’élément de base de tout circuit séquentiel est le


bistable (bascule, flip-flop), qui est un circuit, lui-même asynchrone,
qui servira d’élément de mémoire pour les circuits synchrones ou
asynchrones.
Circuits synchrones

Pour le fonctionnement synchrone, la synchronisation peut se faire :


Sur niveau: le niveau actif du signal d’horloge autorise la mise à
jour des sorties de la bascule
Sur front: la transition d’un niveau à un autre du signal d’horloge
autorise la mise à jour des sorties de la bascule.

Par impulsion: la mise à jour de la sortie de la bascule est faite


après 2 fronts successifs :
Un front pour synchroniser (verrouiller) les entrées et un front
pour actualiser la sortie en fonction des états internes et des
entrées synchronisées (verrouillées)
Les bascules
Plusieurs types de bascules existent. Il diffèrent par le nombre d’entrées qu’elles
possèdent et la manière avec laquelle les entrées influent sur l’état binaire de la
bascule. Nous distinguons :

Les bascules asynchrones (sans entrée d’horloge) bascules de base


 Les bascules synchrones : nous distinguons les différents types de
bascules suivants :
Latches: bascules synchronisées sur niveau
• D-latch Entrée de contrôle
• S-R latch (set-reset latch)
Flip-flops: bascules synchronisées Entrées
de données Q
sur front (type le plus utilisé) Bascule Sorties
• S-R flip-flop (set-reset flip-flop)
Entrée Q
• J-K flip-flop D’horloge
• T-flip-flop (toggle flip-flop)
Entrée de contrôle
• D- flip-flop
Bascule avec horloge active sur état = latch (verrou)
Bascule avec horloge active sur front = Flip-Flop

8
Les bascules
Bascule (flip-flop) : système permettant de mémoriser une information
élémentaire
➢ mémoire à 1 bit ayant 2 états : Q et Q
➢ utilise un mécanisme de verrou (Lach)

➢ on peut vérifier que les états sont cohérents

➢ 2 états stables : Q= "0" et Q= "1" : bistable


Les bascules RS

Bascules R-S
➢ réalisées avec des portes NOR ou NAND
 portes NOR

R S Qn Q n

0 0 Q n 1 Q n 1

0 1 1 0
1 0 0 1
1 1 ? ?

R=S=0 : sorties inchangées (mémoire)


Set : remise a 1
Reset : remise a 0
Remarque: S=1 et R=1 est un cas indéterminé,
Les bascules RS

Bascules R-S
 portes NAND

R S Qn Q n

0 0 Q n 1 Q n 1

0 1 1 0
1 0 0 1
1 1 ? ?

R=S=0 : sorties inchangées


Set : remise a 1
Reset : remise a 0
Remarque: S=1 et R=1 est un cas indéterminé,
Les bascules RS

Quad SR Bistable Latch 74LS279

Symbole
Les bascules RS

Application : Dispositif anti-rebond

 la fermeture d’un bouton poussoir peut


être soumise à des rebonds et qui
engendrer des commutations parasites
indésirables

 à l’aide d’une bascule RS, ces


commutations parasites peuvent
être éliminées. L’état mémoire
permet en effet de filtrer ces
transitions
Les bascules RS

Application : Dispositif anti-rebond

 la fermeture d’un bouton poussoir peut


être soumise à des rebonds et qui
engendrer des commutations parasites
indésirables

 à l’aide d’une bascule RS, ces


commutations parasites peuvent
être éliminées. L’état mémoire
permet en effet de filtrer ces
transitions
Les bascules RS

Bascule RSH :
➢ La bascule RSH est une bascule RS synchronisée par un signal d’horloge
H. Lorsque H=0, la bascule est dans l’état mémoire. Lorsque H=1, la bascule
fonctionne comme une bascule RS.
➢ si le signal de commande est fourni par une horloge : bascule synchrone
Les bascules RS
Bascule RSH :

 Table de vérité

 Chronogramme
Les bascules JK

Bascule J-K : La bascule J K synchrone est obtenue à partir d'une bascule


RSH dont les sorties sont rebouclées sur les entrées. Ceci permet de lever
l‘ambigüité des bascules RST (éliminer l'état indéterminé).
Les bascules JK

 Table de vérité  Chronogramme


Les bascules JK
Les bascules JK

 Dual JK Flip-flop 74LS73


The Master-Slave JK Flip Flop

 Table de vérité bascule JK


22
Exercice : compléter QA  QB  QC

Chaque bascule est monté en diviseur de fréquence


bascule D

Une bascule D est réalisée à partir d'une bascule R S ou J K dont les entrées sont
reliées par un inverseur (les entrées prennent des états complémentaires).

 Chronogramme
 Table de vérité

La sortie prend l'état de l'entrée D après l'impulsion d'horloge.


bascule D
compléter D et Q
Bascule T

Bascule T (Toggle) : obtenue en appliquant les mêmes valeurs aux


deux entrées d'une bascule JK
Les registres

Registre à décalage

bascules D interconnectées de façon à ce que l'état de la bascule de rang i


soit transmis à la bascule de rang i+1 (où i-1) quand un signal d'horloge est
appliqué à l'ensemble des bascules.

 2 types d'entrées :
parallèle, comme dans un registre de mémorisation
Série:
o l'information est présentée
séquentiellement bit après bit à la
1ère bascule.

o à chaque coup d'horloge, un


nouveau bit est présenté et ceux
déjà chargés sont décalés d'un
rang.
Les registres

L'information stockée
dans un registre à
décalage peut être lue de
la même manière, en
série ou en parallèle.

Certains registres sont


capables de décaler à
droite ou à gauche :
registres à décalage
universels.
Les registres
Registre de mémorisation
association de n bascules D pour mémoriser n bits

 les entrées présentes sur E0, E1, E2, E3 sont mémorisées en


synchronisation avec le signal H

 elles peuvent être lues sur les sorties Q0, Q1, Q2,Q3 en coïncidence avec
le signal de validation R
Les registres

Si E=1 écriture du mot ABCD, si L=1 lecture du mot QAQBQCQD. Ce mode


est un mode "asynchrone" (sans entrée horloge)
Les registres
Entrée série - sortie parallèle

pour transformer un codage temporel (succession des bits dans le temps en


codage spatial (information stockée dans une mémoire statique

on peut utiliser aussi la sortie série, avec éventuellement des fréquences


d'horloge différentes en entrée et en sortie
•le registre sert alors de mémoire tampon (buffer)
Les registres
Entrée parallèle - sortie série
Les registres
Entrée parallèle - sortie série

Exemple d'une entrée parallèle+série et sortie série


si X=0, l'entrée série est inhibée et l'entrée parallèle est validée
Les registres
entrée parallèle - sortie série

Exemple d'une entrée parallèle+série et sortie série


si X=1, l'entrée parallèle est inhibée et l'entrée série est validée
permet de transformer un codage spatial en codage temporel
Rappel : entrées prioritaires asynchrones des
bascules :
Les registres  CLEAR ou RESET : Q est forcé à 0
 SET ou PRESET : Q est forcé à 1
• La commande d'initialisation ne doit pas être
entrée parallèle - sortie parallèle activée pendant le fonctionnement normal
(synchrone) du circuit

la commande X permet de


sélectionner le mode de
chargement

X=0 Pr=Cr=1 fonctionnement normal des


bascules
inhibition des entrées parallèles
Les registres
entrée parallèle - sortie parallèle

la commande X permet de


sélectionner le mode de
chargement

 X=0 Pr=Cr=1 fonctionnement normal des bascules


inhibition des entrées parallèles
 X=1
Ei=1 (Pr=0, Cr=1) Qi =1
Les registres
entrée parallèle - sortie parallèle

la commande X permet de


sélectionner le mode de
chargement

 X=0 Pr=Cr=1 fonctionnement normal des bascules


inhibition des entrées parallèles
 X=1
Ei=1 (Pr=0, Cr=1) Qi=1
Ei=0 (Pr=1, Cr=0) Qi=1 Qi=Ei
Les registres
registre à décalage à droite et à gauche

mode de fonctionnement commandé par les entrées S 0 et S1


Les registres
registre à décalage à droite et à gauche
Les registres (exemples)
Dans ce schéma, les N bascules sont chargées en parallèle et lues en parallèle.

Exemple (en technologie TTL) : 74 ALS 273 (registre 8 bits)


Les registres (exemples)
Les registres à décalage sont constitués d'une association de N bascules D en
série :

L'information est décalée d'un bit à chaque coup d'horloge.

Exemples (en technologie TTL) 74 ALS 164 : registre à décalage 8 bits à


entrée série et lecture parallèle.
74 ALS 165 : registre à décalage 8 bits à entrée parallèle et lecture série.
Les compteurs

o Définition
 Un compteur est un circuit dont la valeur des sorties est
directement liée au nombre d'impulsions appliquées sur son entrée
d'horloge
 Le plus souvent, énumération du code binaire naturel
o Deux catégories de compteurs
 compteurs asynchrones
 compteurs synchrones
o Un système séquentiel est synchrone (sur fronts) <=> les changements d'état
du système (hors initialisation) sont conditionnés par les fronts actifs du
signal d'horloge • Exemple : les registres sont des circuits synchrones
o Sinon, il est asynchrone
43
Compteur asynchrone

Un compteur asynchrone est un système logique composé de


bascules dans lesquels les impulsions que l’on applique à
l’entrée doivent traverser la première bascule avant de pouvoir
commander la seconde et ainsi de suite jusqu’à la dernière
bascule.
Avec n bascules on obtient 2n combinaisons alors un compteur
n
modulo 2 .

44
Compteur asynchrone
1. Compteur modulo 16

45
Compteur asynchrone
1. Compteur modulo 16

QA

QB

QC

QD

46
Compteur asynchrone
1. Compteur modulo 16

47
Compteur asynchrone
Compteur modulo 10 : (Avec front descendant)
23< 10 < 24 donc il nous faut 4 bascules pour la réalisation de ce
compteur modulo 10

48
Compteur asynchrone
Compteur modulo 10 : (Avec front descendant)

La mise à zéro des bascules est obtenue :


 Soit par impulsion sur le RAZ.
 Soit lorsque le compteur devient égal à 9 49
Compteur asynchrone
Décompteur asynchrone modulo 8 : (Avec front montant)

Valeurs QC QB QA
0 0 0 0
7 1 1 1
6 1 1 0
5 1 0 1
4 1 0 0
3 0 1 1
2 0 1 0
1 0 0 1
0 0 0 0
7 1 1 1
6 1 1 0

50
Compteur asynchrone
Décompteur asynchrone modulo 8 : (Avec front montant)

51

You might also like