Professional Documents
Culture Documents
● RAM:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity RAM_PIC10F200 is
Port ( Clock : in STD_LOGIC;
Reset : in STD_LOGIC;
Address : in STD_LOGIC_VECTOR(6 downto 0);
DataIn : in STD_LOGIC_VECTOR(7 downto 0);
DataOut : out STD_LOGIC_VECTOR(7 downto 0));
end RAM_PIC10F200;
end Behavioral;
● UAL:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity UAL_PIC10F200 is
Port ( Operand1, Operand2 : in STD_LOGIC_VECTOR(7 downto 0);
Instruction : in STD_LOGIC_VECTOR(5 downto 0);
Result : out STD_LOGIC_VECTOR(7 downto 0));
end UAL_PIC10F200;
end Behavioral;
● Séquenceur/décodeur:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SequencerDecoder_PIC10F200 is
Port ( CLK : in STD_LOGIC;
Reset : in STD_LOGIC;
Instruction : out STD_LOGIC_VECTOR(5 downto 0));
end SequencerDecoder_PIC10F200;
end Behavioral;