You are on page 1of 38

3 分鐘了解光刻機

2019-01-03由 光電匯 發表于資訊

2018 年 5 月,中芯國際(SMIC)訂購了一套極紫外光刻(EUV)設備,該設備來自荷蘭晶片設備製造
商 ASML,價值 1.2 億美元。

長江存儲的首台光刻機同樣來自 ASML,為 193nm 浸潤式光刻機,售價 7200 萬美元,用於 14 nm-20 nm


工藝。

arrow_forward_ios 閱讀文章
% buffered00:00
00:23
01:31

11 月 29 日,中國科學院光電技術研究所研製的「超分辨光刻裝備」通過驗收,新華網發布新聞並受到
各媒體轉載,備受業界關注,同時引發了討論。

近乎同期,ASML 供應商廠房發生火災, 2019 年初 ASML 光刻機出貨恐受影響。

光刻機伴隨著 2018 年,同時,貴、重要、技術難攻、ASML 是圍繞著他的關鍵詞。作為貫穿 2018 年的


關注熱點,多次引發討論。

光刻機原理

光刻機根據用途的不同,可以分為用於生產晶片、用於封裝和用於 LED 製造。

按照光源和發展前後,依次可分為紫外光源(UV)、深紫外光源(DUV)、極紫外光源(EUV),光源的
波長影響光刻機的工藝。光刻機可分為接觸式光刻、直寫式光刻、投影式光刻。

接近或接觸式光刻通過無限靠近,複製掩模板上的圖案;直寫式光刻是將光束聚焦為一點,通過運動工
件台或鏡頭掃描實現任意圖形加工。投影式光刻光刻因其高效率、無損傷的優點,是集成電路主流光刻
技術。

實際上,我們可以將投影式光刻想像為膠片攝影。膠片攝影是通過按下快門,光線通過鏡頭投射到膠捲
上並曝光。之後通過「洗照片」,即將膠捲在顯影液中浸泡,得到圖像。

光刻機光刻的工作原理也是類似,如下圖所示,光源通過掩膜版照射到附有一層光刻膠薄膜的基片表面,
引起曝光區域的光刻膠發生化學反應,再通過顯影技術溶解去除曝光區域或未曝光區域的光刻膠(前者
稱正性光刻膠,後者稱負性光刻膠),使掩膜版上的圖形被複製到光刻膠薄膜上,最後通過刻蝕技術將
圖形轉移到基片上。
光刻機發展

據美國數據分析機構 TIN 在 2018 年 2 月數據,2017 年 ASML 在全球半導體光刻設備廠中以 85%的市占


率穩居龍頭,在其後是日本廠商尼康(Nikon )的 10.3%、佳能 ( Canon ) 的 4.3%,ASML 連續 16 年穩居市
場第一。

前文介紹了光刻機分為紫外光源(UV)、深紫外光源(DUV)、極紫外光源(EUV)。按照發展軌跡,最
早的光刻機光源即為汞燈產生的紫外光源(UV)。之後行業領域內採用準分子雷射的深紫外光源
(DUV),將波長進一步縮小到 ArF 的 193 nm。由於遇到了技術發展障礙,ArF 加浸入技術成為主流。

浸入技術是指讓鏡頭和矽片之間的空間浸泡於液體之中。由於液體的折射率大於 1,使得雷射的實際波
長會大幅度縮小。目前主流採用的純淨水的折射率為 1.44,所以 ArF 加浸入技術實際等效的波長為 193
nm/1.44=134 nm。從而實現更高的解析度。由於 157 nm 波長的光線不能穿透純淨水,無法和浸入技術結
合。因此,準分子雷射光源只發展到了 ArF。
資料來源:華創證券

其後,為了提供波長更短的光源,極紫外光源(EUV)為業界採用。目前主要採用的辦法是將二氧化碳
雷射照射在錫等靶材上,激發出 13.5 nm 的光子,作為光刻機光源。目前僅有荷蘭 ASML 一家可提供可
供量產用的 EUV 光刻機,因此 ASML 對於 EUV 光刻機的供貨重要性不言而喻,同時一台 EUV 光刻機也
是價值不菲。今年上半年,中芯國際耗資 1.2 億購得 ASML 最先進的 7 nm 節點 EUV 光刻機,蘋果的
A12 處理器和華為麒麟 980 晶片即為台積電採用該設備製造。
國內光刻機產業進展

在光刻機領域,荷蘭 ASML 可謂遙遙領先。對於光刻技術,我國也非常重視。自 20 世紀 90 年代起,長


春光機所開始專注於 EUV/X 射線成像技術研究,著重開展了 EUV 光源、超光滑拋光技術、EUV 多層膜
及相關 EUV 成像技術研究,形成了極紫外光學的應用技術基礎。2017 年,「極紫外(EUV)光刻關鍵
技術研究」項目在中國科學院長春光學精密機械與物理研究所通過驗收。

而近期廣為傳播的中國科學院光電技術研究所研製的「超分辨光刻裝備」則可應用在小批量、小視場
(幾平方毫米)、工藝層少且套刻精度低、低成品率、小基片尺寸(4 英寸以下)且產率低(每小時幾
片)的一些特殊納米器件加工。但是在看到其線寬解析度優勢的同時,同樣需要看到與主流商用的 ArF
浸沒式投影光刻機相比,其在視場、成品率、套刻精度及產率上的不同,工業之路仍有較長一段路要走。

我國上海微電子裝備(集團)股份有限公司(簡稱 SMEE)是中國國內技術最領先的光刻機研製生產單位。根
據其官網顯示,目前產品有 600 系列光刻機主要用於 IC 前道製造;500 系列光刻機主要用於 IC 後道先
進封裝;300 系列光刻機主要用於 LED、MEMS、Power Devices 製造;200 系列光刻機主要用於 TFT 曝
光。

從技術進展層面上來說,ASML 新出的 EUV 光刻機可用於試產 7 nm 製程,SMEE 已量產的光刻機中性


能最好的是能用來加工 90 nm 晶片的 SSA600/20 光刻機。

參考來源:電子發燒友、與非網、SMEE 官網、知乎、軍鷹資訊、百度百科

封面圖:網絡
從頭了解光刻機
2018-12-10由 EDA365 電子論壇 發表于科技

光刻是集成電路最重要的加工工藝,他的作用,如同金工車間中車床的作用。在整個晶片製造工藝中,
幾乎每個工藝的實施,都離不開光刻的技術。光刻也是製造晶片的最關鍵技術,他占晶片製造成本的
35%以上。在如今的科技與社會發展中,光刻技術的增長,直接關係到大型計算機的運作等高科技領域。

arrow_forward_ios 閱讀文章
% buffered00:00
00:41
01:31

光刻技術與我們的生活息息相關,我們用的手機,電腦等各種各樣的電子產品,裡面的晶片製作離不開
光科技束。如今的世界是一個信息社會,各種各樣的信息流在世界流動。而光刻技術是保證製造承載信
息的載體。在社會上擁有不可替代的作用。
光刻技術的原理

光刻就是把晶片製作所需要的線路與功能區做出來。利用光刻機發出的光通過具有圖形的光罩對塗有光
刻膠的薄片曝光,光刻膠見光後會發生性質變化,從而使光罩上得圖形複印到薄片上,從而使薄片具有
電子線路圖的作用。這就是光刻的作用,類似照相機照相。照相機拍攝的照片是印在底片上,而光刻刻
的不是照片,而是電路圖和其他電子元件。

光刻技術是一種精密的微細加工技術。常規光刻技術是採用波長為 2000~4500 埃的紫外光作為圖像信


息載體,以光致抗光刻技術蝕劑為中間(圖像記錄)媒介實現圖形的變換、轉移和處理,最終把圖像信
息傳遞到晶片(主要指矽片)或介質層上的一種工藝。

在廣義上,光刻包括光複印和刻蝕工藝兩個主要方面:

1、光複印工藝:經曝光系統將預製在掩模版上的器件或電路圖形按所要求的位置,精確傳遞到預塗在
晶片表面或介質層上的光致抗蝕劑薄層上。

2、刻蝕工藝:利用化學或物理方法,將抗蝕劑薄層未掩蔽的晶片表面或介質層除去,從而在晶片表面
或介質層上獲得與抗蝕劑薄層圖形完全一致的圖形。集成電路各功能層是立體重疊的,因而光刻工藝總
是多次反覆進行。例如,大規模集成電路要經過約 10 次光刻才能完成各層圖形的全部傳遞。

光刻技術在狹義上,光刻工藝僅指光複印工藝。
光刻技術的發展

1947 年,貝爾實驗室發明第一隻點接觸電晶體。從此光刻技術開始了發展。

1959 年,世界上第一架電晶體計算機誕生,提出光刻工藝,仙童半導體研製世界第一個適用單結構矽
晶片。

1960 年代,仙童提出 CMOS IC 製造工藝,第一台 IC 計算機 IBM360,並且建立了世界上第一台 2 英寸集


成電路生產線,美國 GCA 公司開發出光學圖形發生器和分布重複精縮機。

1970 年代,GCA 開發出第一台分布重複投影曝光機,集成電路圖形線寬從 1.5μm 縮小到 0.5μm 節點。

1980 年代,美國 SVGL 公司開發出第一代步進掃描投影曝光機,集成電路圖形線寬從 0.5μm 縮小到


0.35μm 節點。

1990 年代,n1995 年,Cano 著手 300mm 晶圓曝光機,推出 EX3L 和 5L 步進機; ASML 推出


FPA2500,193nm 波長步進掃描曝光機。光學光刻解析度到達 70nm 的「極限」。
2000 年以來,在光學光刻技術努力突破解析度「極限」的同時,NGL 正在研究,包括極紫外線光刻技
術,電子束光刻技術,X 射線光刻技術,納米壓印技術等。

光學光刻技術

光學光刻是通過廣德照射用投影方法將掩模上的大規模集成電路器件的結構圖形畫在塗有光刻膠的矽片
上,通過光的照射,光刻膠的成分發生化學反應,從而生成電路圖。限制成品所能獲得的最小尺寸與光
刻系統能獲得的解析度直接相關,而減小照射光源的波長是提高解析度的最有效途徑。因為這個原因,
開發新型短波長光源光刻機一直是各個國家的研究熱點。

除此之外,根據光的干涉特性,利用各種波前技術優化工藝參數也是提高解析度的重要手段。這些技術
是運用電磁理論結合光刻實際對曝光成像進行深入的分析所取得的突破。其中有移相掩膜、離軸照明技
術、鄰近效應校正等。運用這些技術,可在目前的技術水平上獲得更高解析度的光刻圖形。

20 世紀 70—80 年代,光刻設備主要採用普通光源和汞燈作為曝光光源,其特徵尺寸在微米級以上。90
年代以來,為了適應 IC 集成度逐步提高的要求,相繼出現了 g 譜線、h 譜線、I 譜線光源以及 KrF、ArF
等準分子雷射光源。目前光學光刻技術的發展方向主要表現為縮短曝光光源波長、提高數值孔徑和改進
曝光方式。
移相掩模

光刻解析度取決於照明系統的部分相干性、掩模圖形空間頻率和襯比及成象系統的數值孔徑等。相移掩
模技術的應用有可能用傳統的光刻技術和 i 線光刻機在最佳照明下刻劃出尺寸為傳統方法之半的圖形,
而且具有更大的焦深和曝光量範圍。相移掩模方法有可能克服線/間隔圖形傳統光刻方法的局限性。

隨著移相掩模技術的發展,湧現出眾多的種類, 大體上可分為交替式移相掩膜技術、衰減式移相掩模技術;
邊緣增強型相移掩模, 包括亞解析度相移掩模和自對準相移掩模;無鉻全透明移相掩模及複合移相方式(
交替移相+ 全透明移相+ 衰減移相+ 二元鉻掩模) 幾類。尤其以交替型和全透明移相掩模對解析度改善最
顯著, 為實現亞波長光刻創造了有利條件。

全透明移相掩模的特點是利用大於某寬度的透明移相器圖形邊緣光相位突然發生 180 度變化, 在移相器


邊緣兩側衍射場的干涉效應產生一個形如「刀刃」光強分布, 並在移相器所有邊界線上形成光強為零的
暗區, 具有微細線條一分為二的分裂效果, 使成像解析度提高近 1 倍。

光學曝光技術的潛力, 無論從理論還是實踐上看都令人驚嘆, 不能不刮目相看。其中利用控制光學曝光過


程中的光位相參數, 產生光的干涉效應,部分抵消了限制光學系統解析度的衍射效應的波前面工程為代表
的解析度增強技術起到重要作用, 包括: 移相掩模技術、光學鄰近效應校正技術、離軸照明技術、光瞳
空間濾波技術、駐波效應校正技術、離焦迭加增強曝光技術、表面成像技術及多級膠結構工藝技術。在
實用化方面取得最引人注目進展的要數移相掩模技術、光學鄰近效應校正技術和離軸照明技術, 尤其浸
沒透鏡曝光技術上的突破和兩次曝光技術的應用, 為解析度增強技術的應用更創造了有利條件。
電子束光刻

電子束光刻技術是微型技術加工發展的關鍵技術,他在納米製造領域中起著不可替代的作用。電子束光
刻主要是刻畫微小的電路圖,電路通常是以納米微單位的。電子束光刻技術不需要掩膜,直接將會聚的
電子束斑打在表面塗有光刻膠的襯底上。

電子束光刻技術要應用於納米尺度微小結構的加工和集成電路的光刻,必須解決幾個關鍵的技術問題:
電子束高精度掃描成像曝光效率低;電子在抗蝕劑和基片中的散射和背散射現象造成的鄰近效應;在實
現納米尺度加工中電子抗蝕劑和電子束曝光及顯影、刻蝕等工藝技術問題。

實踐證明,電子束鄰近效應校正技術、電子束曝光與光學曝光系統的匹配和混合光刻技術及抗蝕劑曝光
工藝優化技術的應用,是一種提高電子束光刻系統實際光刻分辨能力非常有效的辦法。電子束光刻最主
要的就是金屬化剝離,第一步是在光刻膠表面掃描到自己需要的圖形。第二部是將曝光的圖形進行顯影,
去除未曝光的部分,第三部在形成的圖形上沉澱金屬,第四部將光刻膠去除,在金屬剝離的過程中,關
鍵在於光刻工藝的膠型控制。最好使用厚膠,這樣有利於膠劑的滲透,形成清晰的形貌。

聚焦粒子束光刻

聚焦離子束(Focused Ion beam, FIB)的系統是利用電透鏡將離子束聚焦成非常小尺寸的顯微切割儀器,她


的原理與電子束光刻相近,不過是有電子變成離子。目前商業用途系統的離子束為液態金屬離子源,金
屬材質為鎵,因為鎵元素具有熔點低、低蒸氣壓、及良好的抗氧化力;典型的離子束顯微鏡包括液相金
屬離子源、電透鏡、掃描電極、二次粒子偵測器、5-6 軸向移動的試片基座、真空系統、抗振動和磁場
的裝置、電子控制面板、和計算機等硬設備,外加電場於液相金屬離子源 可使液態鎵形成細小尖端,
再加上負電場(Extractor) 牽引尖端的鎵,而導出鎵離子束,在一般工作電壓下,尖端電流密度約為 1 埃
10-8 Amp/cm2,以電透鏡聚焦,經過一連串變化孔徑 (Automatic Variable Aperture, AVA)可決定離子束的
大小,再經過二次聚焦至試片表面,利用物理碰撞來達到切割之目的。

在成像方面,聚焦離子束顯微鏡和掃描電子顯微鏡的原理比較相近,其中離子束顯微鏡的試片表面受鎵
離子掃描撞擊而激發出的二次電子和二次離子是影像的來源,影像的解析度決定於離子束的大小、帶電
離子的加速電壓、二次離子訊號的強度、試片接地的狀況、與儀器抗振動和磁場的狀況,目前商用機型
的影像解析度最高已達 4nm,雖然其解析度不及掃描式電子顯微鏡和穿透式電子顯微鏡,但是對於定點
結構的分析,它沒有試片製備的問題,在工作時間上較為經濟。

聚焦離子束投影曝光除了前面已經提到的曝光靈敏度極高和沒有鄰近效應之外還包括焦深大於曝光深度
可以控制。離子源發射的離子束具有非常好的平行性,離子束投影透鏡的數值孔徑只有 0.001,其焦深
可達 100μm,也就是說,矽片表面任何起伏在 100μm 之內,離子束的分辨力基本不變。而光學曝光的
焦深只有 1~2μm 為。她的主要作用就是在電路上進行修補 ,和生產線製成異常分析或者進行光阻切
割。

EUV 光刻技術

在微電子技術的發展歷程中,人們一直在研究開發新的 IC 製造技術來縮小線寬和增大晶片的容量。我
們也普遍的把軟 X 射線投影光刻稱作極紫外投影光刻。在光刻技術領域我們的科學家們對極紫外投影
光刻 EUV 技術的研究最為深入也取得了突破性的進展,使極紫外投影光刻技術最有希望被普遍使用到
以後的集成電路生產當中。它支持 22nm 以及更小線寬的集成電路生產使用。
EUV 是目前距實用化最近的一種深亞微米的光刻技術。波長為 157nm 的準分子雷射光刻技術也將近期
投入應用。如果採用波長為 13nm 的 EUV,則可得到 0.1um 的細條。

在 1985 年左右已經有前輩們就 EUV 技術進行了理論上的探討並做了許多相關的實驗。近十年之後微電


子行業的發展受到重重阻礙才致人們有了憂患意識。並且從微電子技術的發展過程能判斷出,若不早日
推出極紫外光刻技術來對當前的晶片製造方法做出全面的改進,將使整個晶片工業處在岌岌可危的地步。

EUV 系統主要由四部分構成:極端紫外光源;反射投影系統;光刻模板(mask);能夠用於極端紫外
的光刻塗層(photo-resist)。

極端紫外光刻技術所使用的光刻機的對準套刻精度要達到 10nm,其研發和製造原理實際上和傳統的光
學光刻在原理上十分相似。對光刻機的研究重點是要求定位要極其快速精密以及逐場調平調焦技術,因
為光刻機在工作時拼接圖形和步進式掃描曝光的次數很多。不僅如此入射對準光波信號的採集以及處理
問題還需要解決。

EUV 技術當前狀況

EUV 技術的進展還是比較緩慢的,而且將消耗大量的資金。儘管目前很少廠商將這項技術應用到生產
中,但是極紫外光刻技術卻一直是近些年來的研究熱點,所有廠商對這項技術也都充滿了期盼,希望這
項技術能有更大的進步,能夠早日投入大規模使用。
各家廠商都清楚,半導體工藝嚮往下刻,使用 EUV 技術是必須的。波長越短,頻率越高,光的能量正
比於頻率,反比於波長。但是因為頻率過高,傳統的光溶膠直接就被打穿了。現在,半導體工藝的發展
已經被許多物理學科從各個方面制約了。

在 45nm 工藝的蝕刻方面,EUV 技術已經展現出一些特點所以現在 EVU 技術要突破,從外部支持來講,


要換光溶膠,但是合適的一直沒找到[3]。而從 EUV 技術自身來講,同時儘可能的想辦法降低輸出能量。

目前 EUV 光刻技術存在的問題

1、造價太高,高達 6500 萬美元,比 193nm ArF 浸沒式光刻機貴;

2、未找到合適的光源;

3、沒有無缺陷的掩模;

4、未研發出合適的光刻膠;

5、人力資源缺乏;

6、能用於 22nm 工藝早期開發工作。

EUV 光刻技術前景
在摩爾定律的規律下,以及在如今科學技術快速發展的資訊時代,新一代的光刻技術就應該被選擇和研
究,在當前微電子行業最為人關注,而在這些高新技術當中,極紫外光刻與其他技術相比又有明顯的優
勢。極紫外光刻的解析度至少能達到 30nm 以下,且更容易收到各集成電路生產廠商的青睞,因為極紫外
光刻是傳統光刻技術的拓展,同時集成電路的設計人員也更喜歡選擇這種全面符合設計規則的光刻技術。
極紫外光刻技術掩模的製造難度不高,具有一定的產量優勢。

EUV 光刻技術設備製造成本十分高昂,包括掩模和工藝在內的諸多方面花費資金都很大。同時極紫外
光刻光學系統的設計和製造也極其複雜,存在許多尚未解決的技術問題,但對這些難關的解決方案正在
研究當中,一旦將這些難題解決,極紫外光刻技術在大規模集成電路生產應用過程中就不會有原理性的
技術難關了。

X 射線光刻技術

1895 年,德國物理學家倫琴首先發現了 X 射線,也因此獲得了諾貝爾物理學獎。X 射線是一種與其他


粒子一樣具有波粒二象性的電磁波,可以是重原子能級躍遷或著是加速電子與電磁場耦合輻射的產物。
X 射線的波長極短,1972 年 X 射線被最早提出用於光刻技術上,X 射線在用於光刻時的波長通常在 0.7
到 0.12nm 之間,它極強的穿透性決定了它在厚材料上也能定義出高解析度的圖形。

X 射線光刻基礎工藝

X 射線波長極短,使得其不會發生嚴重的衍射現象。我們在使用 X 射線進行曝光時對波長的選擇是受到
一定因素限制的,在曝光過程中,光刻膠會吸收 X 射線光子,而產生射程隨 X 射線波長變化而相繼改
變的光電子,這些光電子會降低光刻解析度,X 射線的波長越短,光電子的射程越遠,對光刻越不利。
因此增加 X 射線的波長有助於提高光刻解析度。然而長波長的 X 射線會加寬圖形的線寬,考慮多種因
素的影響,通常只能折中選擇 X 射線的波長。

今年來的研究發現,當圖形的線寬小到一定程度時(一般為 0.01μm 以下),被波導效應影響,最終得


到的圖形線寬要小於實際掩模圖形,因此 X 光刻解析度也受到掩模版與晶圓間距大小的影響。

除此之外,還需要大量的實驗研究來解決 X 射線光刻圖形微細加工時對圖形質量造成影響的諸多因素。
射線光刻掩模

在後光學光刻的技術中,其最主要且最困難的技術就是掩模製造技術,其中 1:1 的光刻非常困難,是


妨礙技術發展的難題之一。所以說,我們認為掩模開發是對於其應用於工業發展的重要環節,也是決定
成敗的關鍵。在過去的發展中,科學家對其已經得到了巨大的發展,也有一些新型材料的發現以及應用,
有一些已經在實驗室中得以實踐,但對於工業發展還是沒有什麼重大的成就。

X 射線掩模的基本結構包括薄膜、吸收體、框架、襯底,其中薄膜襯基材料一般使用 Si、SiC、金剛石。
吸收體主要使用金、鎢等材料,其結構圖如圖所示:

對於掩模的性能要求如下:
1、要能夠使 X 射線以及其他光線的有效透過,且保障其有足夠的機械強度,具有高的 X 射線的吸收性,
且要足夠厚。

2、保障其高寬比的量,且其要有高度的解析度以及反差。

3、對於其掩模的尺寸要保障其精度,要沒有缺陷或者缺陷較少。

對於襯基像 Si3N4 膜常常使用低壓 CVD,而常常使用蒸發濺射電鍍等方法製造吸收體。為提高 X 射線


掩模質量需要正確選擇材料、優化工藝。

X 射線光刻技術不僅擁有高解析度,並且有高出產率的優點。通過目前對 X 射線光刻技術應用現狀來看,
要將投入量產,使其在大規模或超大規模 IC 電路的生產中發揮更重要的作用,突破高精度圖形掩模技
術難關已經如同箭在弦上。

納米壓印光刻技術

納米壓印技術是美國普林斯頓大學華裔科學家周郁在 20 世紀 1995 年首先提出的。這項技術具有生產效


率高、成本低、工藝過程簡單等優點, 已被證實是納米尺寸大面積結構複製最有前途的下一代光刻技術
之一。目前該技術能實現解析度達 5 nm 以下的水平。納米壓印技術主要包括熱壓印、紫外壓印以及微
接觸印刷。

納米壓印技術是加工聚合物結構最常用的方法, 它採用高解析度電子束等方法將結構複雜的納米結構圖
案制在印章上, 然後用預先圖案化的印章使聚合物材料變形而在聚合物上形成結構圖案。

1、熱壓印技術

納米熱壓印技術是在微納米尺度獲得並行複製結構的一種成本低而速度快的方法。該技術在高溫條件下
可以將印章上的結構按需複製到大的表面上, 被廣泛用於微納結構加工。整個熱壓印過程必須在氣壓小
於 1Pa 的真空環境下進行, 以避免由於空氣氣泡的存在造成壓印圖案畸變,熱壓印印章選用 SiC 材料製造,
這是由於 SiC 非常堅硬, 減小了壓印過程中斷裂或變形的可能性。

此外 SiC 化學性質穩定, 與大多數化學藥品不起反應, 因此便於壓印結束後用不同的化學藥品對印章進行


清洗。在製作印章的過程中, 先在 SiC 表面鍍上一層具有高選比( 38&1) 的鉻薄膜, 作為後序工藝反應離
子刻蝕的刻蝕掩模, 隨後在鉻薄膜上均勻塗覆 ZEP 抗蝕劑, 再用電子束光刻在 ZEP 抗蝕劑上光刻出納米
圖案。為了打破 SiC 的化學鍵, 必須在 SiC 上加高電壓。最後在 350V 的直流電壓下, 用反應離子刻蝕在
SiC 表面得到具有光滑的刻蝕表面和垂直面型的納米圖案。
整個熱壓印過程可以分為三個步驟:

( 1) 聚合物被加熱到它的玻璃化溫度以上。這樣可減少在壓印過程中聚合物的粘性, 增加流動性,在一定
壓力下, 就能迅速發生形變。但溫度太高也沒必要, 因為這樣會增加升溫和降溫的時間, 進而影響生產效
率, 而對模壓結構卻沒有明顯改善, 甚至會使聚合物彎曲而導致模具受損。同時為了保證在整個壓印過程
中聚合物保持相同的粘性, 必須通過加熱器控制加熱溫度不變。

(2) 在印章上施加機械壓力, 約為 500 ~1000KPa[ 9] 。在印章和聚合物間加大壓力可填充模具中的空腔。

(3) 壓印過程結束後, 整個疊層被冷卻到聚合物玻璃化溫度以下, 以使圖案固化, 提供足夠大的機械強度,


便於脫模。然後用反應離子刻蝕將殘餘的聚合物( PM�MA) 去掉, 模板上的納米圖案完整地轉移到矽基
底表面的聚合物上, 再結合刻蝕技術把圖形轉移到矽基底上。

2、紫外壓印光刻技術

紫外壓印工藝是將單體塗覆的襯底和透明印章裝載到對準機中, 在真空環境下被固定在各自的卡盤上。
當襯底和印章的光學對準完成後, 開始接觸壓印。透過印章的紫外曝光促使壓印區域的聚合物發生聚合
和固化成型。
與熱壓印技術相比, 紫外壓印對環境要求更低, 僅在室溫和低壓力下就可進行,從而使用該技術生產能大
大縮短生產周期, 同時減小印章磨損。由於工藝過程的需要, 製作紫外壓印印章要求使用能被紫外線穿過
的材料。

以往紫外壓印工藝中印章是用 PDMS 材料塗覆在石英襯底上製作而成。PDMS 是一種楊式模數很小的彈


性體, 用它製作的軟印章能實現高解析度。然而在隨後的試驗中發現由於 PDMS 本身的物理軟性, 在壓
印過程中在外界低壓力下也很容易發生形變, 近來, 法國國家納米結構實驗室提出使用一種 3 層結構的軟
性印章, 以減小紫外壓印印章的形變。

該印章使用 2mm 厚的石英襯底, 中間一層是厚度為 5mm 的 PDMS 緩衝層, 頂層是由 PMMA 構成。具體
製作印章步驟是先將 PMMA 均勻塗覆在被離子激活的 PDMS 材料上, 在 PMMA 上鍍上一層 30nm 厚的鍺
薄膜作為後續工藝中的刻蝕掩模, 再在鍺薄膜上塗覆對電子束靈敏度高的抗蝕劑, 隨後用電子束光刻及反
應離子刻蝕就可在印章頂層 PMMA 上得到高縱橫比的圖案, 最後將殘餘鍺薄膜移去即可。使用該方法可
以在保持高解析度情況下大大提高印章的堅硬度, 減小印章壓印形變。

光刻機:精密儀器的典範
2018-05-02由 光明網 發表于 3C

面對四月份美國對中興晶片禁運事件,我們體會到被別人拿住軟肋、受制於人的痛楚,而生產高性能晶
片必須的關鍵設備就是光刻機,今天我們就來說一說光刻機的那些事兒。

光刻機(Mask Aligner),又名掩模對準曝光機、曝光系統、光刻系統等,其中掩模對準光刻法是比較
常用的光刻機,本文搜集整理的資料和例證主要以掩模對準光刻機為主。
arrow_forward_ios 閱讀文章
% buffered00:00
00:40
01:31

光刻機的分類

高端的投影式光刻機可分為步進投影和掃描投影光刻機兩種,解析度通常在十幾納米至幾微米之間,高
端光刻機號稱是世界上最精密的儀器,高端光刻機堪稱現代光學工業之花,其製造難度大,目前全球只
有少數幾家公司能夠製造。

國外品牌的光刻機主要以荷蘭 ASML(光刻機鏡頭來自德國)、日本 Nikon 和日本 Canon 三大品牌為主。


國內的光刻機主要是上海微電子裝備股份有限公司 SMEE 研製的具有自主智慧財產權的投影式中端光刻
機,目前該公司的光刻機已經初步形成產品系列,開始在海內外銷售。

生產和研發用的低端光刻機為接近、接觸式光刻機,解析度通常在數微米以上,主要是德國、美國和中
國的產品品牌。

光刻機性能指標

光刻機的主要性能指標是支持基片的尺寸範圍、解析度、對準精度、曝光方式、光源波長、光強均勻性、
生產效率等「硬性」指標。

1)解析度是指光刻加工工藝可以達到的最細線條精度。光刻的解析度主要受到光源衍射極限的限制,
也就是說受我們所說的阿貝極限(光學顯微鏡的解析度極限約是可見光波長的一半)的限制。推而廣之,
光刻機的解析度也就受到光刻光學系統、光刻膠和光刻工藝等方面的限制。

2)對準精度是在多層曝光時層間圖案的定位精度。
掩膜對準光刻機光刻流程

一般的光刻工藝要經歷矽片表面清洗、烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、
刻蝕等工序。最初的工序是用光來製作一個掩模版,然後在矽片表面均勻塗抹光刻膠,將掩模版上的圖
形或者電路結構轉移複製到矽片上,然後通過光學刻蝕的方法在矽片上刻蝕出已經「複製」到矽片上的
內容。

曝光系統

曝光系統是光刻機的核心部件之一,為了儘量減小衍射極限的限制,曝光系統大量採用紫外、深紫外和
極紫外光做光源,比如汞燈、準分子雷射器。曝光系統主要實現平滑衍射效應、實現均勻照明、濾光和
冷光處理、實現強光照明和光強調節等功能。曝光方式分為接觸接近式、投影式和直寫式。但總體來說,
曝光系統所採用的光源必須滿足如下的要求:

1)適當的波長

波長越短,光刻的刀鋒越鋒利,可以用於刻蝕的特徵尺寸就越小,刻蝕過程對精度的控制更好。

2)有足夠的能量

能量越大,每一次刻蝕中所花費的曝光時間就越短。

3)能量必須均勻地分布在曝光區

曝光區域的光的均勻度或者平行度越高,矽片上的刻蝕痕跡的深度和寬度更加趨於一致,刻蝕精度更高、
更易於控制。
對準系統

對準系統是光刻機另一個核心的部件,製造高精度的對準系統需要具有近乎完美的精密機械工藝,這也
是全球的光刻機技術難點,許多美國品牌和德國品牌的光刻機具有特殊專利的機械工藝設計,比如可以
有效避免軸承機械摩擦誤差的全氣動軸承設計專利技術。

當然,顯微光學系統和 CCD 探測器是光刻機對準系統的另一個難題。根據操作的簡便性和精度的高低,


光刻機的對準方式可以分為手動、半自動、全自動三種。

篳路藍縷以啟山林

「一萬年太久,只爭朝夕」,為了縮短我國與國際先進光刻技術的差距,打破國際高端光刻機市場的壟
斷和限制,我國的 「02 專項」(《極大規模集成電路製造技術及成套工藝》項目)的規劃中就已經確
定了研製高端光刻機的戰略目標,將極紫外光刻關鍵技術列入「32-22nm 裝備技術前瞻性研究」的重要
攻關任務,長春光機所、成都光電所、上海光機所、微電子所、北京理工大學、哈爾濱工業大學、華中
科技大學等研究單位一起進行攻關。

向著「人類最精密機器」 清華又邁出一步

2016-05-16由 觀察者網 發表
作為集成電路產業的核心裝備,有人稱光刻機為「人類最精密複雜的機器」。

日前,清華大學召開了「光刻機雙工件台系統樣機研發」項目驗收會,專家組對項目任務完成情況予以
高度評價,並一致同意該項目通過驗收。

工件台系統是光刻機的重要子系統,工件台系統的運行速度、加速度、系統穩定性和系統的定位建立時
間對光刻機的生產精度和效率起著至關重要的作用。本次「光刻機雙工件台系統樣機研發」項目驗收,
標誌中國在雙工件台系統上取得技術突破,但這僅僅是實現光刻機國產化萬里長征的一部分,距離打破
ASML 的技術壟斷還有很長的路要走。

arrow_forward_ios 閱讀文章
% buffered00:00
01:06
01:31

這次會議由國家科技重大專項「極大規模集成電路製造裝備及成套工藝」(核高基 02 專項)實施管理
辦公室組織召開,清華大學副校長薛其坤院士出席。

中外光刻機的巨大差距

光刻機被業界譽為集成電路產業皇冠上的明珠,研發的技術門檻和資金門檻非常高。也正是因此,能生
產高端光刻機的廠商非常少,到最先進的 14nm 光刻機就只剩下 ASML,日本佳能和尼康已經基本放棄
第六代 EUV 光刻機的研發。

目前,光刻機領域的龍頭老大是荷蘭 ASML,並已經占據了高達 80%的市場份額,壟斷了高端光刻機市


場——最先進的 EUV 光刻機售價曾高達 1 億美元一台,且全球僅僅 ASML 能夠生產。Intel、台積電、三
星都是它的股東,重金供養 ASML,並且有技術人員駐廠,Intel、三星的 14nm 光刻機都是買自 ASML,
格羅方德、聯電以及中芯國際等晶圓廠的光刻機主要也是來自 ASML。

相比之下,國內光刻機廠商則顯得非常寒酸,處於技術領先的上海微電子裝備有限公司已量產的光刻機
中性能最好的是 90nm 光刻機,製程上的差距就很大……國內晶圓廠所需的高端光刻機完全依賴進口。

這不僅使國內晶圓廠要耗費巨資購買設備,對產業發展和自主技術的成長也帶來很大不利影響——
ASML 在向國內晶圓廠出售光刻機時有保留條款,那就是禁止用 ASML 出售給國內的光刻機給國內自主
CPU 做代工——只要中芯國際、華力微等晶圓廠採購的 ASML 光刻機,雖然不影響給 ARM 晶片做代工,
但卻不可能給龍芯、申威等自主 CPU 做代工、商業化量產。即便是用於科研和國防領域的小批量生產,
也存在一定風險——採用陶瓷加固封裝、專供軍用的龍芯 3A1500 和在黨政軍市場使用的龍芯 3A2000,
只能是小批量生產,而且在宣傳上也只能含糊其辭的說明是境內流片……這很大程度上影響了自主技術
和中國集成電路產業的發展。

光刻機工作原理和組成

光刻機通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經物鏡補償各種光學
誤差,將線路圖成比例縮小後映射到矽片上,不同光刻機的成像比例不同,有 5:1,也有 4:1。然後使用
化學方法顯影,得到刻在矽片上的電路圖(即晶片)。

一般的光刻工藝要經歷矽片表面清洗烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、
雷射刻蝕等工序。經過一次光刻的晶片可以繼續塗膠、曝光。越複雜的晶片,線路圖的層數越多,也需
要更精密的曝光控制過程。現在最先進的晶片有 30 多層。
上圖是一張 ASML 光刻機的簡易工作原理圖。下面,簡單介紹一下圖中各設備的作用。

測量台、曝光台:承載矽片的工作檯,也就是本次所說的雙工作檯。一般的光刻機需要先測量,再曝光,
只需一個工作檯,而 ASML 有個專利,有兩個工作檯,實現測量與曝光同時進行。而本次「光刻機雙
工件台系統樣機研發」項目則是在技術上突破 ASML 對雙工件台系統的技術壟斷。

雷射器:也就是光源,光刻機核心設備之一,之前已經介紹過了。

光束矯正器:矯正光束入射方向,讓雷射束儘量平行。

能量控制器:控制最終照射到矽片上的能量,曝光不足或過足都會嚴重影響成像質量。

光束形狀設置:設置光束為圓型、環型等不同形狀,不同的光束狀態有不同的光學特性。

遮光器:在不需要曝光的時候,阻止光束照射到矽片。

能量探測器:檢測光束最終入射能量是否符合曝光要求,並反饋給能量控制器進行調整。

掩模版:一塊在內部刻著線路設計圖的玻璃板,貴的要數十萬美元。

掩膜台:承載掩模版運動的設備,運動控制精度是 nm 級的。

物鏡:物鏡由 20 多塊鏡片組成,主要作用是把掩膜版上的電路圖按比例縮小,再被雷射映射的矽片上,
並且物鏡還要補償各種光學誤差。技術難度就在於物鏡的設計難度大,精度的要求高。

矽片:用矽晶製成的圓片。矽片有多種尺寸,尺寸越大,產率越高。題外話,由於矽片是圓的,所以需
要在矽片上剪一個缺口來確認矽片的坐標系,根據缺口的形狀不同分為兩種,分別叫 flat、notch。
內部封閉框架、減振器:將工作檯與外部環境隔離,保持水平,減少外界振動干擾,並維持穩定的溫度、
壓力。

光刻機雙工件台系統樣機的意義

雙工件台

過去,光刻機只有一個工作檯,所有流程都在一個工作檯上完成。雙工件台系統的出現,使得光刻機能
夠在不改變初始速度和加速度的條件下,當一個工作檯在進行曝光工作的同時,另外一個工作檯可以同
時進行曝光之前的預對準工作,使得光刻機的生產效率提高大約 35%——ASML 的 TWINSCAN
NXE3300B 型光刻機,解析度小於 22nm,生產效率可以達到 125 片/小時。

雖然看起來僅僅是加一個工作檯,但技術難度卻不容小覷——對換台的速度和精度有非常高的要求,如
果換台速度慢,則影響光刻機工作效率;如果換台精度不夠,則可能因此而影響了後續掃描光刻等步驟
的正常開展。

特別是對浸沒式光刻機而言,由於物鏡和矽片之間增加一層特殊的液體,如何使液體在換台時依舊停留
在物鏡和矽片之間,不因換台發生流動,則是一個不小的技術難題。另外,還有避免污染的問題。

現今技術成熟的雙工件台系統主要是導軌式,驅動方式主要分為氣浮驅動和磁懸浮驅動。目前,ASML
公司已成功研發了磁懸浮工件台系統,使得系統能夠忽略摩擦係數和阻尼係數,其加工速度和精度是機
械式和氣浮式工件台所無法比擬的。不僅如此,ASML 公司基於磁懸浮工件台的基礎,研發了無導軌式
的平面編碼磁懸浮工件台系統,通過平面編碼器對工作檯進行精確定位,進一步提升了精度。

誠然,由於 α 光刻樣機採用雙工件台系統的具體技術細節還未披露,筆者也無從了解 α 光刻樣機採用


雙工件台系統的定位精度、工件台和掩膜台之間的同步誤差等數據,以及是氣浮驅動和磁懸浮驅動,更
無從了解 α 光刻樣機採用雙工件台系統是否能達到 ASML 公司的技術水平。不過,α 光刻樣機採用雙
工件台系統已獲得專利授權 122 項,而且專家組認為,該雙工件台系統的關鍵技術指標已達到國際同類
光刻機雙工件台水平。
光刻機的種類和歷代改進

光刻機用途廣泛,除了高端大氣上檔次的前道光刻機之外,還有用於 LED 製造領域投影光刻機和用於


晶片封裝的後道光刻機,雖然在前道光刻機上國內廠商和 ASML 差距如同鴻溝,但後道光刻機和封裝
光刻機國內廠商不僅都能製造,還占據了不低的市場份額。

因此,筆者在這裡只介紹技術含金量最高的前道光刻機。前道光刻機經歷了六代改進:

第一代是接觸式光刻機。光刻機是掩模直接貼在矽片上曝光的,類似與投影,會造成較大的污染。

第二代是接近式光刻機。對接觸式光刻機進行了改良,掩模和矽片之間留了點空隙,但成像不好。

第三代是全矽片掃描投影式光刻機。光刻機改良了掃描投影模式,並加入了物鏡,進行光學矯正。

第四代是反射掃描攝影式光刻機。

第五代是步進式掃描投影式光刻機。顧名思義,就是採用了步進式掃描投影。

第六代就是 EUV。EUV 還使用反射鏡取代了投射鏡,還使用了極紫外光源,EU 這倆字母就是極紫外的


縮寫,波長是 13.5nm。因為用波長極短,很容易被任何東西吸收,包括空氣,所以腔體內是真空系統。
ASML 研發 EUV 花了十來年時間,數百億美元,可知其技術難度。EUV 光刻機的售價曾為 1 億美元一
台。

驗收現場

光源、物鏡目前還無法完全擺脫進口依賴

光源是光刻機的核心部件之一。在光刻機改進中,所使用的光源也不斷改進發展:

第一代是 436nm g-line。


第二代是 365nm i-line。

第三代是 248nm KrF。

第四代是 193nm ArF。

最新的是 13.5nm EUV。

目前,在集成電路產業使用的中高端光刻機採用的是 193nmArF 光源和 13.5nmEUV 光源。

193nmArF 也被稱為申紫外光源。使用 193nmArF 光源的干法光刻機,其光刻工藝節點可達 45nm,採用


浸沒式光刻、光學鄰近效應矯正等技術後,其極限光刻工藝節點可達 28nm。

浸沒式光刻是指在物鏡和矽片之間增加一層特殊的液體,由於液體的折射率比空氣的折射率高,因此成
像精度更高。因此,也就有了浸沒式光刻的叫法。

而當工藝尺寸縮小到 22nm 時,則必須採用輔助的兩次圖形曝光技術。然而使用兩次圖形曝光,會帶來


兩大問題:一個是光刻加掩模的成本迅速上升,另一個是工藝的循環周期延長。因而,在 22nm 的工藝節
點,光刻機處於 EUV 與 ArF 兩種光源共存的狀態。

對於使用液浸式光刻+兩次圖形曝光的 ArF 光刻機,工藝節點的極限是 10nm,之後將很難持續。EUV 光


刻機,則有可能使工藝製程繼續延伸到 5nm。

中國在雷射技術上頗有成就,國內有的單位用汞燈做光源,還由單位研發出了獨一無二的固態深紫外光
源,但目前,固態深紫外光源還並未用於光刻機製造,在光源上還無法徹底擺脫進口。在物鏡方面,雖
然國防科大精密工程創新團隊自主研製的磁流變和離子束兩種超精拋光裝備,實現了光學零件加工的納
米精度。但浸沒式光刻物鏡異常複雜,涵蓋了光學、機械、計算機、電子學等多個學科領域最前沿,二
十餘枚鏡片的初始結構設計難度極大——不僅要控制物鏡波像差,更要全面控制物鏡系統的偏振像差。
因此,在現階段國內物鏡也無法完全替代進口產品。

據了解,光源和物鏡同屬核高基 02 專項重點公關項目之一,相信不久以後會有好消息。

結語

本次「光刻機雙工件台系統樣機研發」項目僅僅是核高基 02 專項的一部分,而且很有可能是第一個通
過核高基 02 專項驗收的項目。相對於中科院光電技術研究所研製的紫外納米壓印光刻機,本次的技術
突破——「光刻機雙工件台系統」則是用於 65nm 前道光刻機的一項關鍵技術。雖然在技術上而言,
65nm 光刻機與 ASML 的差距依然巨大,但卻是中國光刻機實現國產化替代萬里長征的第一步。

一文看懂光刻機
2018-04-02由 半導體行業觀察 發表于資訊

來源:內容來自「華創證券」,謝謝。
半導體晶片生產主要分為 IC 設計、 IC 製造、 IC 封測三大環節。 IC 設計主要根據晶片的設計目的進行
邏輯設計和規則制定,並根據設計圖製作掩模以供後續光刻步驟使用。 IC 製造實現晶片電路圖從掩模
上轉移至矽片上,並實現預定的晶片功能,包括光刻、刻蝕、離子注入、薄膜沉積、化學機械研磨等步
驟。 IC 封測完成對晶片的封裝和性能、功能測試,是產品交付前的最後工序。

arrow_forward_ios 閱讀文章
% buffered00:00
00:43
01:31

晶片製造核心工藝主要設備全景圖

光刻是半導體晶片生產流程中最複雜、最關鍵的工藝步驟,耗時長、成本高。半導體晶片生產的難點和
關鍵點在於將電路圖從掩模上轉移至矽片上,這一過程通過光刻來實現, 光刻的工藝水平直接決定晶
片的製程水平和性能水平。晶片在生產中需要進行 20-30 次的光刻,耗時占到 IC 生產環節的 50%左右,
占晶片生產成本的 1/3。

光刻工藝流程詳解
光刻的原理是在矽片表面覆蓋一層具有高度光敏感性光刻膠,再用光線(一般是紫外光、深紫外光、極
紫外光)透過掩模照射在矽片表面,被光線照射到的光刻膠會發生反應。此後用特定溶劑洗去被照射/
未被照射的光刻膠, 就實現了電路圖從掩模到矽片的轉移。

光刻完成後對沒有光刻膠保護的矽片部分進行刻蝕,最後洗去剩餘光刻膠, 就實現了半導體器件在矽
片表面的構建過程。

光刻分為正性光刻和負性光刻兩種基本工藝,區別在於兩者使用的光刻膠的類型不同。負性光刻使用的
光刻膠在曝光後會因為交聯而變得不可溶解,並會硬化,不會被溶劑洗掉,從而該部分矽片不會在後續
流程中被腐蝕掉,負性光刻光刻膠上的圖形與掩模版上圖形相反。
在矽片表面構建半導體器件的過程

正性光刻與負性光刻相反,曝光部分的光刻膠會被破壞從而被溶劑洗掉,該部分的矽片沒有光刻膠保護
會被腐蝕掉,正性光刻光刻膠上的圖形與掩模版上圖形相同。

正性光刻與負性光刻對比

1)氣相成底膜

矽片在清洗、烘培後首先通過浸泡、噴霧或化學氣相沉積(CVD)等工藝用六甲基二胺烷成底膜,底膜
使矽片表面疏離水分子,同時增強對光刻膠的結合力。底膜的本質是作為矽片和光刻膠的連接劑,與這
些材料具有化學相容性。
2)旋轉塗膠

旋轉塗膠步驟

形成底膜後,要在矽片表面均勻覆蓋光刻膠。此時矽片被放置在真空吸盤上,吸盤底部與轉動電機相連。
當矽片靜止或旋轉的非常緩慢時,光刻膠被分滴在矽片上。隨後加速矽片旋轉到一定的轉速,光刻膠藉
助離心作用伸展到整個矽片表面,並持續旋轉甩去多餘的光刻膠,在矽片上得到均勻的光刻膠膠膜覆蓋
層,旋轉一直到溶劑揮發,光刻膠膜幾乎乾燥後停止。

塗膠設備

3)軟烘

塗完光刻膠後,需對矽片進行軟烘,除去光刻膠中殘餘的溶劑,提高光刻膠的粘附性和均勻性。未經軟
烘的光刻膠易發粘並受顆粒污染,粘附力會不足,還會因溶劑含量過高導致顯影時存在溶解差異,難以
區分曝光和未曝光的光刻膠。
4)曝光

曝光過程是在矽片表面和石英掩模對準並聚焦後,使用紫外光照射,未受掩模遮擋部分的光刻膠發生曝
光反應,實現電路圖從掩模到矽片上的轉移。

5)顯影

光刻原理圖

使用化學顯影液溶解由曝光造成的光刻膠可溶解區域, 使可見圖形出現在矽片上,並區分需要刻蝕的
區域和受光刻膠保護的區域。顯影完成後通過旋轉甩掉多餘顯影液,並用高純水清洗後甩干。

顯影過程示意圖

6)堅膜

顯影后的熱烘叫做堅膜烘培,溫度比軟烘更高,目的是蒸發掉剩餘的溶劑使光刻膠變硬,提高光刻膠對
矽片表面的粘附性,這一步對光刻膠的穩固,對後續的刻蝕等過程非常關鍵。
7)檢測

對矽片的顯影結果進行檢測,合格的矽片進入後續的刻蝕等流程,不合格的矽片在清洗後進入最初流程。

8)刻蝕

刻蝕是通過化學或物理的方法有選擇地從矽片表面除去不需要材料的過程,通過刻蝕能在矽片上構建預
想的電子器件。

干法(物理)、濕法(化學)刻蝕原理示意圖

刻蝕分為干法刻蝕和濕法刻蝕兩種。干法刻蝕是將矽片表面暴露在惰性氣體中,通過氣體產生的等離子
體轟擊光刻膠開出的窗口,與矽片發生反應去掉暴露的表面材料,是亞微米尺寸下刻蝕器件的最主要方
法。濕法刻蝕使用液態化學劑(酸、鹼、有機溶劑等)用化學方式去

除矽片表面的材料,一般只用於尺寸較大的情況。

9)去膠

刻蝕完成後,通過特定溶劑,洗去矽片表面殘餘的光刻膠。

光刻機: 半導體製造業皇冠上的明珠
光刻機根據應用工序不同,可以分為用於生產晶片的光刻機,以及用於封裝的光刻機,其中封裝光刻機
對於光刻精度和控制精度的要求都比製造用光刻機低很多,價值量也相對較低,本文主要討論用於晶片
製造領域的光刻機。

光刻機是晶片製造中光刻環節的核心設備, 技術含量、價值含量極高。 光刻機涉及系統集成、精密光


學、精密運動、精密物料傳輸、高精度微環境控制等多項先進技術,是所有半導體製造設備中技術含量
最高的設備,因此也具備極高的單台價值量,目前世界上最先進的 ASML EUV 光刻機單價達到近一億
歐元,可滿足 7nm 製程晶片的生產。

光刻機工作原理:光刻機通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經
物鏡補償各種光學誤差,將線路圖成比例縮小後映射到矽片上,然後使用化學方法顯影,得到刻在矽片
上的電路圖。 不同光刻機的成像比例不同,有 5:1,也有 4:1。 光刻機內部結構圖如圖 9 所示。
光刻機工作原理圖

光刻機的內部組件如下:

雷射器:光源,光刻機核心設備之一。

光束矯正器:矯正光束入射方向,讓雷射束儘量平行。

能量控制器:控制最終照射到矽片上的能量,曝光不足或過足都會嚴重影響成像質量。

光束形狀設置:設置光束為圓型、環型等不同形狀,不同的光束狀態有不同的光學特性。

遮光器:在不需要曝光的時候,阻止光束照射到矽片。

能量探測器:檢測光束最終入射能量是否符合曝光要求,並反饋給能量控制器進行調整。

掩模版:一塊在內部刻著線路設計圖的玻璃板,貴的要數十萬美元。

掩膜台:承載掩模版運動的設備,運動控制精度達到納米級。

物鏡:物鏡由 20 多塊鏡片組成,主要作用是把掩膜版上的電路圖按比例縮小,再被雷射映射的矽片上,
並且物鏡還要補償各種光學誤差。技術難度就在於物鏡的設計難度大,精度的要求高。

量台、曝光台: 承載矽片的工作檯, 一般的光刻機需要先測量,再曝光,只需一個工作檯,ASML 的


雙工作檯光刻機則可以實現一片矽片曝光同時另一片矽片進行測量和對準工作,能有效提升工作效率。

內部封閉框架、減振器:將工作檯與外部環境隔離,保持水平,減少外界振動干擾,並維持穩定的溫度、
壓力。

光刻機的發展,本質上是為了滿足更高性能、更低成本晶片的生產需求。
半導體晶片具有不同的製程,即不同的技術節點,經常看到的 45nm、 28nm、 10nm 等字樣即是對不同
製程的描述,那麼不同的製程該如何理解,不同製程的晶片又有何差異呢?

這要從集成電路的最基本單元——電晶體說起,用半導體製造電晶體是利用其特殊的導電能力來傳遞 0
或 1 的數位訊號。

電晶體的內部結構圖如下圖所示,在柵區不通電的情況下,源區信號很難穿過不導電的 P 型襯底到達
漏區,即表示電路關閉(數位訊號 0),如果在柵區和襯底間加上電壓,襯底中的電荷就會在異性相吸
的作用下在絕緣氧化層下大量聚集,形成一條細窄的導電區,使得源區和漏區導通,電流就可以順利從
源區傳遞到漏區(信號 1),這就是電晶體最基本的工作原理。 而柵極下方兩個 N—阱間的距離,即導
電溝道的長度,被定義為電晶體的尺寸。

電晶體的內部結構

在現代電晶體中,電子的速度是有限的,且一般以飽和速度運行,因此信息傳遞的速度就由導電溝道的
長度來決定, 溝道越短,信息傳遞速度越快

晶片的製程可以近似理解為內部電晶體導電溝道的長度,製程小的晶片具有兩大優勢:

 處理速度快。小製程晶片內部電晶體導電溝道短,信號傳遞速度快,單位時間內晶片能處理更多
的信息,時鐘頻率更高。單位面積性能提升,成本降低。更小的電晶體尺寸意味著單位面積晶片
可以製造更多的電晶體,晶片集成度得到提升,即增加了晶片的功能,又使單位晶片的成本得到
降低。光刻工藝水平決定了電晶體尺寸的大小,因此晶片製程的不斷縮小必然伴隨著光刻機產品
的不斷升級和創新,從本質上說,正是半導體產業對更高性能、更低成本晶片的不斷追求推動了
光刻機設備的不斷創新與發展。

 光刻機是延續摩爾定律的關鍵。摩爾定律提出, 當價格不變時,集成電路上可容納的元器件的
數目,約每隔 18-24 個月便會增加一倍,性能也將提升一倍。半導體行業最初三十年的發展能夠
基本滿足摩爾定律, 關鍵就在於光刻機能不斷實現更小的解析度水平。近十年來摩爾定律的時
間間隔已經延長至 3-4 年,原因就在於光刻機的發展低於行業的預期

光刻機發展史:光源改進+工藝創新推動光刻機更
新換代
光刻機的最小解析度、生產效率、良率均在不斷發展。 光刻機的最小解析度由公示 R=kλ/NA,其中 R
代表可分辨的最小尺寸,對於光刻技術來說, R 越小越好; k 是工藝常數; λ 是光刻機所用光源的波
長; NA 代表物鏡數值孔徑,與光傳播介質的折射率相關,折射率越大, NA 越大。光刻機製程工藝水
平的發展均遵循以上公式。此外,光刻機的內部構造和工作模式也在發展,不斷提升晶片的生產效率和
良率。

根據所使用的光源的改進,光刻機經歷了 5 代產品的發展,每次光源的改進都顯著提升了光刻機所能實
現的最小工藝節點。此外雙工作檯、沉浸式光刻等新型光刻技術的創新與發展也在不斷提升光刻機的工
藝製程水平,以及生產的效率和良率。

按所用光源,光刻機經歷了五代產品的發展

最初的兩代光刻機採用汞燈產生的 436nm g-line 和 365nm i-line 作為光刻光源,可以滿足 0.8-0.35 微米製


程晶片的生產。最早的光刻機採用接觸式光刻,即掩模貼在矽片上進行光刻,容易產生污染,且掩模壽
命較短。此後的接近式光刻機對接觸式光刻機進行了改良, 通過氣墊在掩模和矽片間產生細小空隙,
掩模與矽片不再直接接觸,但受氣墊影響,成像的精度不高。

第三代光刻機採用 248nm 的 KrF(氟化氪)準分子雷射作為光源,將最小工藝節點提升至 350-180nm 水


平,在光刻工藝上也採用了掃描投影式光刻,即現在光刻機通用的,光源通過掩模, 經光學鏡頭調整
和補償後, 以掃描的方式在矽片上實現曝光。

第四代 ArF 光刻機:最具代表性的光刻機產品。第四代光刻機的光源採用了 193nm 的 ArF(氟化氬)準


分子雷射,將最小製程一舉提升至 65nm 的水平。第四代光刻機是目前使用最廣的光刻機,也是最具有
代表性的一代光刻機。由於能夠取代 ArF 實現更低製程的光刻機遲遲無法研發成功,光刻機生產商在
ArF 光刻機上進行了大量的工藝創新,來滿足更小製程和更高效率的生產需要。

創新一:實現步進式掃描投影。 此前的掃描投影式光刻機在光刻時矽片處於靜止狀態,通過掩模的移
動實現矽片不同區域的曝光。 1986 年 ASML 首先推出步進式掃描投影光刻機,實現了光刻過程中,掩
模和矽片的同步移動, 並且採用了縮小投影鏡頭,縮小比例達到 5: 1, 有效提升了掩模的使用效率和
曝光精度,將晶片的製程和生產效率提升了一個台階。
步進式投影示意圖

創新二:雙工作檯光刻機。矽片在進入光刻流程前要先進行測量和對準,過去光刻機只有一個工作檯,
測量、對準、光刻等所有流程都在這一個工作檯上完成。 2001 年 ASML 推出了雙工作檯系統
(TWINSCAN system),雙工作檯系統使得光刻機能夠在不改變初始速度和加速度的條件下,當一個工
作檯在進行曝光工作的同時,另外一個工作檯可以同時進行曝光之前的預對準工作,使得光刻機的生產
效率提升大約 35%。

雖然從結果上來看,僅僅是增加了一個工作檯,但其中的技術難度卻不容小覷,雙工作檯系統對於換台
的速度和精度有極高的要求, 如果換台速度慢,則影響光刻機工作效率;如果換台精度不夠, 則可能
影響後續掃描光刻等步驟的正常開展。

雙工作檯光刻機系統樣機

創新三: 浸沒式光刻系統。到了 45nm 製程節點時, ArF 光刻機也遇到了解析度不足的問題,此時業內


對下一代光刻機的發展提出了兩種路線圖。一是開發波長更低的 157nmF2 準分子雷射做為光源, 二是
由 2002 年台積電林本堅提出的浸沒式光刻。此前的光刻機都是乾式機台,曝光顯影都是在無塵室中,
以空氣為媒介進行。由於最小解析度公式中的 NA 與折射率成正相關,如果用折射率大於 1 的水做為媒
介進行光刻,最小解析度將得到提升,這就是浸沒式光刻系統的原理。
ASML 率先推出浸沒式光刻機,奠定自身市場地位。林本堅提出浸沒式光刻設想後, ASML 開始與台積
電合作開發浸沒式光刻機,並在 2007 年成功推出第一台浸沒式光刻機 TWINSCANXT:1900i,該設備採
用折射率達到 1.44 的去離子水做為媒介,實現了 45nm 的製程工藝,並一舉壟斷市場。當時的另兩大光
刻巨頭尼康、佳能主推的 157nm 光源乾式光刻機被市場拋棄,不僅損失了巨大的人力物力,也在產品
線上顯著落後於 ASML,這也是尼康、佳能由盛轉衰,ASML 一家獨大的重要轉折點。

浸沒式光刻機原理

通過浸沒式光刻和雙重光刻等工藝,第四代 ArF 光刻機最高可以實現 22nm 製程的晶片生產,但是在摩


爾定律的推動下,半導體產業對於晶片製程的需求已經發展到 14nm、 10nm、甚至 7nm, ArF 光刻機已
無法滿足這一需求,半導體產業將希望寄予第五代 EUV 光刻機。

第五代 EUV 光刻機,千呼萬喚始出來。 1-4 代光刻機使用的光源都屬於深紫外光, 第五代 EUV 光刻機


使用的則是波長 13.5nm 的極紫外光。

早在上世紀九十年代,極紫外光刻機的概念就已經被提出, ASML 也從 1999 年開始 EUV 光刻機的研發


工作,原計劃在 2004 年推出產品。但直到 2010 年 ASML 才研發出第一台 EUV 原型機, 2016 年才實現
下遊客戶的供貨,比預計時間晚了十幾年。三星、台積電、英特爾共同入股 ASML 推動 EUV 光刻機研
發。

EUV 光刻機面市時間表的不斷延後主要有兩大方面的原因,一是所需的光源功率遲遲無法達到 250 瓦


的工作功率需求,二是光學透鏡、反射鏡系統對於光學精度的要求極高,生產難度極大。這兩大原因使
得 ASML 及其合作夥伴難以支撐龐大的研發費用。 2012 年 ASML 黨的三大客戶三星、台積電、英特爾
共同向 ASML 投資 52.59 億歐元,用於支持 EUV 光刻機的研發。此後 ASML 收購了全球領先的準分子
雷射器供應商 Cymer,並以 10 億歐元現金入股光學系統供應商卡爾蔡司,加速 EUV 光源和光學系統的
研發進程,這兩次併購也是 EUV 光刻機能研發成功的重要原因。

現在的半導體產業邁進了一個新時代。
ASMLTWINSCAN NXE:3350B 型號 EUV 光刻機

You might also like