Professional Documents
Culture Documents
ﺑﻨﺎﺀ ﻧﻈﺎﻡ ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼﺏ ﺍﳌﺮﺣﻠﺔ ﺍﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎﻝ ﺑﺮﳎﺔ FPGA
ﺇﻋﺪﺍﺩ ﺍﳌﻬﻨﺪﺱ
ﺇﺷﺮﺍﻑ
2011ﻡ
1432ﻫـ
University of Aleppo
Faculty of Electrical & Electronic Engineering
Automatic Control & Automation Department
Prepared By:
Eng. Walid Balid
Supervised By:
ﻡ2011
ﻫـ1432
5
@
@
@7�‘�œ@�ä�Ó�Å@�Â�fl@�Ô�€�g@�o€�å„�c@�b�‡�€@Ô�„�g@Ô�i�â
@
@ıaáÄÄÄÄÄÄÄÄÄÄÄÄÄÁ�a
DEDICATION
ﲤﺮ ﺷﱴ اﳊﻮادث ﺑﺎﻹﻧﺴﺎن ﻓﻴﻨﺴﺎﻫﺎ وﻻ ﺗﱰك ﰲ ﻧﻔﺴﻪ أﺛﺮاً ﻳﺬﻛﺮ ،ﻋﻠﻰ أن ﻟﺒﻌﺾ ﻫﺬﻩ اﳊﻮادث أﺛﺮاً ﻻ ﳝﺤﻰ ،إذ ﲤﺮ اﻷﻳﺎم واﻟﺸﻬﻮر واﻟﺴﻨﻮن
واﻟﺪﻫﻮر وذاك اﻷﺛﺮ ٍ
ﺑﺎق ﰲ ﻧﻔﺴﻪ ﻳﺆﺛﺮ ﻓﻴﻪ أﻋﻤﻖ اﻷﺛﺮ وأﺷﺪﻩ.
ذﱄ ﰲ ﳏﺒﺘﻚ ،راﺟﻴﺎً ﻣﻨﻚ اﻟﻘﺒﻮل ،وﺗﻌﻄﻴﻒ ﻗﻠﺐ ﺳﻴﺪﻧﺎ اﻟﺮﺳﻮل .ε أﻗﻒ ﺑﻌﺠ ٍﺰ واﻓﺘﻘﺎ ٍر واﻧﻄﺮ ٍاح ﻋﻠﻰ ﺑﺎﺑﻚ ،ﻣﻮﻻي ،اﻟﺬي ﻳﻄﺮﻗﻪ ّ
ﻓﺄﻧﺎرت ﻗﻨﺪﻳﻞ ﳏﺒﺘﻪ ﻓﻴﻚ ﻟﻚ...
ْ وﺻﻒ ﻟﺸﻜﺮك ...ﺳﺒﺤﺎﻧﻚ ،ﺳﺒﻘﺖ ﳏﺒﺘﻚ ﻟِﻌُﺒَـْﻴ ِﺪ َك ٍ ﻛﻞ ﻟﺴﺎ ُن اﻟﻘﻠﺐ ﻋﺠﺰاً ﻋﻦ ﺑﻌﺾ ﺳﺒﺤﺎﻧﻚ ،ﱠ
رﺟﺎﺋﻲ ،أن ﺗﻘﺒﻞ ﻣﺎ ﻫﻮ ﻣﻨﻚ إﻟﻴﻚ ،وﻣﺎ أﻇﻬﺮﺗﻪ ﻣﻦ ﲝﺮ ﺟﻮدك وﻓﻀﻠﻚ ﻣﻨﱠﺔً ﻋﻠﻰ ﻋﺒﺪك.
رﰊ
ﻣﻮﻻي ّ
إﱃ إﻧﺴﺎن ﻋﲔ اﻟﻮﺟﻮد وأﺻﻞ ﻛﻞ ﻣﻮﺟﻮد ...إﱃ ﻣﻦ ﻫﻮاﻩ أﺣﺐ إﱄ ﻣﻦ أﻫﻠﻲ وﻧﻔﺴﻲ وﻛﻠّﻲ ﺑﺄﲨﻌﻲ.
ﺣﱯ رﺳﻮﱄ
ّ
ﻣﻘﻠﺘﺎي وﻣﻬﺠﺘﺎي ...ﴰﺴﻲ وﺑﺪري ...ﻳﺎ ﻣﻦ ﺑﻢ ﺗﻘﺮ ﻋﻴﲏ ...وﲟﺎء رﻋﺎﻳﺘﻬﻢ أﻳﻨﻊ ﲦﺮي ...ﻳﺎ ﻣﻦ ﻧﺼﺒﻮا إﱃ اﻟﺘﻌﺐ ﺟﺴﺮاً ...وﺧﺎﺿﻮا
ﲟﺮﻛﺐ اﻟﺒﺬل ﲝﺮاً ...وﻫﺠﺮوا ﻣﻦ أﺟﻠﻲ اﻟﺮﻗﺎد ﻳﻮﻣﺎً ﻓﺪﻫﺮاً ...ﺑﺎﳊﻨﺎن ﲪﻠﻮﱐ ...وﺑﺎﳊﺐ ﺳﺤﺮوﱐ ...وﺑﺎﻟﻌﻄﻒ ﻏﻤﺮوﱐ ...داووا ﺑﱰﻳﺎق
ودﻫﻢ ﺳﻘﻤﻲ ...وأﺳﻌﻔﻮا ﺑﺒﻠﺴﻢ ﺣﻨ ﱢﻮﻫﻢ ﺟﺮاﺣﻲ ...ﰲ ﻣﻄﻠﻊ ﻓﺠﺮ ﻛﻞ ﻳﻮم أدﻋﻮا رﰊ ...أن ﳛﻔﻈﻬﻢ ﱄ وﻳﺪﳝﻬﻢ ذﺧﺮي.
أﰊ و ّأﻣﻲ
إﱃ اﻟﻮرود اﻟﱵ ﺟﻌﻠﺖ ﻣﻦ ﻗﻔﺎري روﺿﺎً ﻣﺰﻫﺮاً ...إﱃ اﻟﻌﺼﺎﻓﲑ اﻟﱵ ﺑﺪﻟﺖ وﺣﺸﱵ أﻧﺴﺎً ﺧﺎﻟﺼﺎً...
إﺧﻮﰐ
إﱃ اﻟﱵ أﳍﺒﺖ ﻧﻔﺴﻲ وأﻛﺴﺒﺘﻬﺎ ﺷﺎﻋﺮﻳﺔً ﻋﺬﺑﺔً ﻓﻔﺎﺿﺖ ﺑﺄﻋﺬب اﻟﻜﻼم وأﺑﺪﻋﺖ ﰲ ﺻﻨﻮف اﻟﻐﺰل وﺿﺮوب اﻟﻨﺜﺮ ﻣﺎ ﻗﺪر ﳍﺎ أن ﺗﺒﺪع ...إﱃ
ﻣﻦ ﺻﲑت ﻣﻦ رﻣﺎل ﻓﻘﺪي ﻛﻮﻛﺒﺎً درﻳﺎً ﻻﻣﻌﺎً ...إﱃ ﻣﻦ ﻋﺮﻓﺘﲏ إﱃ رﺣﻴﻖ ﺗﻠﻚ اﻟﻜﺄس اﻟﻌﺬرﻳﺔ اﻟﺒﻴﻀﺎء ...إﱃ ﻣﻦ ﻗﺼﻘﺼﺖ ﺟﻨﺎﺣﺎي
وأﻋﺎرﺗﲏ دو�ﺎ ﺟﻨﺎﺣﲔ ﻣﺘﻜﺴﺮﻳﻦ ﳛﺘﺠﺰاﱐ أﺑﺪ اﻟﺪﻫﺮ ﰲ ﻣﻌﻤﻮرة ذﻛﺮاﻫﺎ.
ِرﺋْ ُﻢ ﻗﻠﱯ
ﱃ ﻣﻦ ﻻﻗﺘﻬﺎ روﺣﻲ ﰲ ﻣﻌﺮاﺟﻬﺎ وﻗﺖ ﺻﻔﺎﺋﻬﺎ ﻗﺒﻞ أن ﺗﺮاﻫﺎ ﻋﻴﲏ أو ﳚﺘﻤﻊ ﻬﺑﺎ ﻧﺎﺳﻮﰐ ،ﻓﻼزﻣﺘﻬﺎ وأﺣﺒﺘﻬﺎ وﺳﻜﻨﺖ إﻟﻴﻬﺎ ...ﻋﻠﲏ ﻳﻮﻣﺎً أن
أراﻫﺎ ﰲ ﻋﺎﱂ اﻷﺟﺴﺎد ﻓﺄﻋﺮﻓﻬﺎ وﺗﻌﺮﻓﲏ ...إن ﲨﺎل ﺗﻠﻚ اﻟﺮوح آﺳﺮ ﺑﺴﻄﻮة ﺳﺤﺮﻩ ،ﺟﺎرح ﺑﻌﺬوﺑﺘﻪ ،ﳚﻌﻠﲏ أرى ﰲ ﻛﻞ ﺷﻲء ﻣﻌﻨﺎً آﺧﺮ
ﳛﺎر ﻓﻴﻪ ﻟﱯ ،وﻳﺴﻜﺮ ﻣﻦ ﻣﻌﺎﻧﻴﻪ ﻗﻠﱯ.
ﻋﻨﻘﺎء ﻣﻐﺮب
إﱃ ﻣﺮﱘ اﻟﻄﻬﺮ واﻟﻨﻘﺎء واﻟﺼﻔﺎء واﻻﺻﻄﻔﺎء ...إﱃ ﻣﻦ ُﲰﱢﻴﺖ ﻣﻦ ﻗﺒﻞ ﻛﻮﱐ وﲰﻴﺘﻬﺎ ﻣﻦ ﻗﺒﻞ ﻛﻮ�ﺎ ﻣﺮﳝﺎ ...إﱃ ﻣﻦ أﻧﺘﻈﺮﻫﺎ ﻟﺘﻜﻮن ﺑﻨﺖ
اﻟﻌﻨﻘﺎء ﻔﺎرغ اﻟﺼﱪ وﻗﺪ ﻧﺬرﻬﺗﺎ ﷲ أﺑﺪ اﻟﺪﻫﺮ.
اﺑﻨﱵ ﻣﺮﱘ
◊‹‡@ãÄÄÄÄÄÄÄÄÄÄÿí@ÚÄÄÄÄÄÄÄÄÄ
ACKNOWLEDGEMENT
أﻗﻒ ﻋﻠﻰ ﻣﻨﱪ روﺣﻲ ،وأﻧﺎدي ﻣﻦ ﳏﺮاب ﻗﻠﱯ ،وأدﻋﻮ رﰊ ﻟﻴﺠﺰي ﻋﲏ ﺧﲑ اﳉﺰاء ،ﻛﻞ ﻣﻦ ﻋﻠﻤﲏ ﺣﺮﻓﺎً ،أو أﻋﺎﻧﲏ ﻃَﺮﻓﺎً ،أو ﻗ ّﺪر ﱄ
ﻇﺮﻓﺎً...
أﺗﻘﺪم ﺑﺪاﻳﺔً ﲞﺎﻟﺺ اﻟﺸﻜﺮ واﻻﻣﺘﻨﺎن واﻟﻌﺮﻓﺎن ﻷﺳﺎﺗﺬﰐ اﻷﻓﺎﺿﻞ أﻋﻀﺎء اﳍﻴﺌﺔ اﻟﺘﺪرﻳﺴﻴﺔ ﰲ ﻗﺴﻢ اﻟﺘﺤﻜﻢ واﻷﲤﺘﺔ اﻟﺬﻳﻦ ﺟﻌﻠﻮا ﻣﻦ ﺧﻬﺗﻢ
أﺳﺘﺎذي اﻟﻔﺎﺿﻞ اﻟﺪﻛﺘﻮر اﳌﻬﻨﺪس ﻋﻤﺎد اﻟﺮوح اﻟﺬي ﺗﻔﻀﻞ ﻣﺸﻜﻮراً ﺑﺎﻹﺷﺮاف ﻋﻠﻰ ﻫﺬا اﻟﺒﺤﺚ وﻛﺎن ﻣﺆﻧﺴﺎً ﻟﻨﺎ وﻣﻌﻴﻨﺎً وﺳﺎر ﻣﻌﻨﺎ ﰲ ﻫﺬا
وﻛﻴﻒ ﱄ أن أﻧﺴﻰ أﺧﻮة ﱄ أﺣﺒﻬﻢ وأﺷﻜﺮ ﻓﻀﻠﻬﻢ ...ﻗﺪ ﺗﻌﻠﻤﺖ ﰲ ﻣﺪرﺳﺘﻬﻢ أﲜﺪﻳﺎت اﻟﻌﻠﻮم ،ﻓﺴﻄﻌﺖ ﰲ ﲰﺎﺋﻲ اﻟﻨﺠﻮم...
أﺧﻮﰐ وأﺳﺎﺗﺬﰐ ﰲ ﺷﺮﻛﺔ اﻷواﺋﻞ ﻟﻠﻬﻨﺪﺳﺔ اﻹﻟﻜﱰوﻧﻴﺔ :اﳌﻬﻨﺪس ﻳﺎﺳﺮ ﻋﺜﻤﺎن – اﳌﻬﻨﺪس ﺻﺎﱀ ﺣﺎج إﲰﺎﻋﻴﻞ...
ﺗﻤﻬﻴﺪ ):(Preface
ﻳﺘﺴﻢ اﻟﻘﺮن اﻟﻮاﺣﺪ واﻟﻌﺸﺮون ﺑﺘﺴﺎرع ﰲ وﺗﲑة اﻟﺘﻄﻮر اﻟﺘﻜﻨﻮﻟﻮﺟﻲ ،ﺣﻴﺚ ﻳﺸﻬﺪ اﻟﻌﺎﱂ اﻟﻴﻮم ﺗﻔﺠﺮاً ﻣﻌﺮﻓﻴﺎً وﺗﻄﻮراً ﻋﺎﺻﻔﺎً ﰲ ﳎﺎﻻت اﻟﻌﻠﻮم
اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ واﻟﺘﻘﻨﻴﺔ ،إﱃ ﺣﺪ ﺟﻌﻠﺖ اﻟﺒﻌﺾ ﻳﻄﻠﻘﻮن ﻋﻠﻴﻪ ﻋﺼﺮ اﻟﺜﻮرة اﻟﻌﻠﻤﻴﺔ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ،إذ أﻧﻪ ﻓﺎق ﻛﻞ ﺗﺼﻮراﺗﻨﺎ ﰲ أﺑﻌﺎدﻩ وﺗﺄﺛﲑﻩ،
وﺑﺎت ﻣﻦ اﻟﺼﻌﺐ ﺟﺪاً ﻣﻮاﻛﺒﺔ اﻟﺘﻄﻮرات اﳌﺘﻼﺣﻘﺔ ﰲ ﻋﺎﱂ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﺘﻄﻮرة وﺗﻘﻨﻴﺎت اﳌﻌﻠﻮﻣﺎت واﻻﺗﺼﺎﻻت ،إذ أﺻﺒﺢ اﳌﺪى اﻟﺰﻣﲏ
اﻟﻔﺎﺻﻞ ﺑﲔ اﻻﺑﺘﻜﺎرات واﻻﺧﱰاﻋﺎت ،ﺑﻌﻀﻬﺎ اﻟﺒﻌﺾ ،وﻄﺒﻴﻘﺎﻬﺗﺎ اﻟﻌﻤﻠﻴﺔ ،ﻳﺴﺒﻖ ﺑﺰوغ ﻓﺠﺮ ﻳﻮﻣﻨﺎ ﻫﺬا.
ﺣﱴ أواﺧﺮ اﻟﺜﻤﺎﻧﻴﻨﻴﺎت ﻣﻦ اﻟﻘﺮن اﻟﻌﺸﺮﻳﻦ ﻛﺎﻧﺖ اﳌﺸﻜﻠﺔ اﻟﺮﺋﻴﺴﻴﺔ اﻟﱵ ﺗﻌﱰض ﺗﻄﻮر اﻟﺒﺤﺚ اﻟﻌﻠﻤﻲ ،ﻫﻲ اﻟﺘﻐﻠﺐ ﻋﻠﻰ ﻧﻘﺺ اﻟﺒﻴﺎﻧﺎت
واﻠﻮﻣﺎت اﳌﺘﺼﻠﺔ ﺑﺎﺠﻤﻟﺎل اﳌﻌﲏ .ﻣﻊ ﺗﻄﻮر ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﻌﻠﻮﻣﺎت واﻻﺗﺼﺎﻻت ﰲ أواﺧﺮ اﻟﺘﺴﻌﻴﻨﻴﺎت ،واﻧﺘﺸﺎر ﺷﺒﻜﺔ اﻹﻧﱰﻧﺖ اﻟﱵ ﺟﻌﻠﺖ
اﻟﻌﺎﱂ ﻳﺒﺪو ﻛﺄﻧﻪ ﻗﺮﻳﺔٌ ﺻﻐﲑة ،ﱂ ﺗﻌﺪ اﳌﺸﻜﻠﺔ ﻣﺮﺗﺒﻄﺔ ﺑﺎﳊﺼﻮل ﻋﻠﻰ اﻟﺒﻴﺎﻧﺎت أو اﳌﻌﻠﻮﻣﺎت ﻧﻔﺴﻬﺎ ،وإﳕﺎ أﺻﺒﺤﺖ ﻣﺮﺗﺒﻄﺔ ﰲ اﻟﻄﺮق
واﻻﺳﱰاﺗﻴﺠﻴﺎت اﳌﺜﻠﻰ ﻻﻧﺘﻘﺎء اﳌﻌﻠﻮﻣﺎت اﳌﺘﺪﻓﻘﺔ وﺗﻮﻇﻴﻔﻬﺎ ﰲ ﺧﺪﻣﺔ اﻟﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ اﻟﻌﻠﻤﻲ ،ﺣﻴﺚ أﺻﺒﺤﺖ ﻋﻤﻠﻴﺔ اﻻﺳﺘﻔﺎدة ﻣﻦ
اﳌﻌﻠﻮﻣﺎت أﻫﻢ وأﺻﻌﺐ ﻣﻦ اﳊﺼﻮل ﻋﻠﻰ اﳌﻌﻠﻮﻣﺎت ﻧﻔﺴﻬﺎ.
ﺑﻌﺒﺎرة أﺧﺮى ﳝﻜﻨﻨﺎ اﻟﻘﻮل :إن ﻋﻤﻠﻴﺔ ﺑﻨﺎء ﻗﺎﻋﺪة اﳌﻌﺮﻓﺔ ﻏﺪت ﻛﺜﺮ أﳘﻴﺔ وﺿﺮورة ﻣﻦ اﻗﺘﻨﺎء ﻗﻮاﻋﺪ اﻟﺒﻴﺎﻧﺎت واﳌﻌﻠﻮﻣﺎت ذاﻬﺗﺎ ،ﻓﻠﻢ ﺗﻌﺪ
اﳌﻬﺎرات اﻟﻔﺮدﻳﺔ ﻣﺮﻛﺰ اﻻﻫﺘﻤﺎم ﰲ ﺑﻨﺎء اﻟﻘﻮة اﻟﺒﺸﺮﻳﺔ ،وإﳕﺎ اﻧﺼﺐ اﻻﻫﺘﻤﺎم ﻋﻠﻰ اﻟﻘﺪرة ﰲ إﻧﺘﺎج اﳌﻌﺮﻓﺔ اﳌﺮﺗﺒﻄﺔ ﺑﺎﻻﺑﺘﻜﺎر واﻹﺑﺪاع.
ﻣﺆﺧﺮاً ،ﺗﺘﺠﻪ اﻟﺪول اﻟﺼﻨﺎﻋﻴﺔ اﳌﺘﻘﺪﻣﺔ إﱃ ﺗﻄﺒﻴﻖ ﻧﻈﺮﻳﺔ اﻟﺘﻘﺪم اﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﻟﺘﻨﻤﻮي ،وذﻟﻚ ﻣﻦ ﺧﻼل ﲣﺼﻴﺺ اﻟﻨﺴﺒﺔ اﻷﻛﱪ ﻣﻦ اﻹﻧﻔﺎق
ﻋﻠﻰ اﻟﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ ،ﻻﺳﻴﻤﺎ ﰲ اﻟﺘﺨﺼﺼﺎت اﻟﻌﻠﻤﻴﺔ واﳍﻨﺪﺳﻴﺔ ،ﻟﺘﺄﺳﺲ ﺑﺬﻟﻚ ﳉﻴﻞ ﻣﺘﺠﺪد اﳌﻌﺮﻓﺔ واﻹﻣﻜﺎﻧﺎت واﳌﻮاﻫﺐ ،ﻗﺎد ٍر ﻋﻠﻰ
ﲪﻞ أﻋﺒﺎء ﻣﺘﻄﻠﺒﺎت اﻟﺜﻮرة اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ.
وأﻣﺎ ﻋﻦ ﻤﻟﺘﻤﻊ اﻟﻌﺮﰊ ورﻏﻢ اﻹﻣﻜﺎﻧﻴﺎت اﳌﺎﻟﻴﺔ اﳌﺘﺎﺣﺔ ،ﻓﻠﻴﺲ ﻫﻨﺎك دوﻟﺔ ﻋﺮﺑﻴﺔ واﺣﺪة ﺗﻘﻊ ﺿﻤﻦ اﻟﺪول اﻷرﺑﻌﲔ اﻷول ﰲ اﻟﻌﺎﱂ ﺣﺴﺐ
ﻟﻘﺎﺋﻤﺔ اﻟﱵ ﻧﺸﺮﻬﺗﺎ ﻣﺆﺳﺴﺔ ) (Battelleﻟﻌﺎم .[1]2008وﺣﺴﺐ ﺗﻘﺮﻳﺮ اﳌﻌﺮﻓﺔ اﻟﻌﺮﰊ ﻟﻠﻌﺎم ،[2]2009ﺑﺮﻋﺎﻳﺔ ﺑﺮﻧﺎﻣﺞ اﻷﻣﻢ اﳌﺘﺤﺪة اﻹﳕﺎﺋﻲ
واﳌﻜﺘﺐ اﻹﻗﻠﻴﻤﻲ ﻟﻠﺪول اﻟﻌﺮﺑﻴﺔ ،ﻓﺈﻧﻪ أُورد ﰲ ﻣﻮﺟﺰ "راﻫﻦ اﳌﻌﺮﻓﺔ ﰲ اﳌﻨﻄﻘﺔ اﻟﻌﺮﺑﻴﺔ" ﻣﺎ ﻳﻠﻲ" :ﻳﺘﻌﺬر ﲢﻘﻴﻖ اﻟﻄﻤﻮح ﻹﻗﺎﻣﺔ اﻗﺘﺼﺎد وﳎﺘﻤﻊ
اﳌﻌﺮﻓﺔ ،ﺑﺴﺒﺐ وﺟﻮد اﻟﺘﻘﺼﲑ اﻟﻜﻤﻲ ﰲ ﻧﺸﺮ اﻟﺘﻌﻠﻴﻢ ،ﻛﻤﺎ أﻧﻨﺎ ﺷﺒﻪ ﻏﺎﺋﺒﲔ ﻋﻦ ﺳﺎﺣﺔ اﻟﻨﺸﺮ اﻟﻌﻠﻤﻲ اﻟﻌﺎﳌﻲ ﺑﻨﺴﺒﺔ ﺣﻀﻮر 1.1%ﻣﻦ اﻟﻨﺸﺮ
ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت | Table of Contents
اﻟﻌﺎﳌﻲ ﺣﺴﺐ ﻧﺸﺮة أﻛﺎدﳝﻴﺔ اﻟﻌﺎﱂ اﻟﺜﺎﻟﺚ ﻟﻠﻌﻠﻮم ،TWASوإن ﻋﺎﺋﺪات اﻹﻧﻔﺎق ﰲ اﻟﻮﻃﻦ اﻟﻌﺮﰊ ﻋﻠﻰ اﻟﺒﺤﺚ اﻟﻌﻠﻤﻲ ﻫﻲ اﻷدﱏ ﻋﺎﳌﻴﺎً،
وإن ﻣﺆﺳﺴﺎت اﻟﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ ﺿﻌﻴﻔﺔ اﻻرﺗﺒﺎط ﺑﺎﻟﺪورة اﻹﻧﺘﺎﺟﻴﺔ ،وإن اﳌﺮدود اﻟﺘﻨﻤﻮي ﻟﻠﺒﺤﺚ اﻟﻌﻠﻤﻲ اﻟﻌﺮﰊ ﺿﻌﻴﻒ ﺟﺪاً ،ﺣﻴﺚ أن
ﻧﺎﺗﺞ ﺑﺮاءات اﻻﺧﱰاع ﰲ اﻟﻔﱰة ﺑﲔ 2002-2006ﳓﻮ 32ﺑﺮاءة اﺧﱰاع ﺳﻨﻮﻳﺎً و 5000ورﻗﺔ ﻋﻠﻤﻴﺔ ﲝﺜﻴﺔ ﻣﻨﺸﻮرة ﻓﻘﻂ ،وﻟﻌﻞ اﻟﻨﻘﻄﺔ
اﻷﺿﻌﻒ ﰲ اﻷداء اﳌﻌﺮﰲ اﻟﻌﺮﰊ ،ﺗﺘﻌﻠﻖ ﺑﺘﻮﻓﲑ اﻟﺒﻴﺌﺎت اﳌﻼﺋﻤﺔ ﻟﺒﻨﺎء ﳎﺘﻤﻊ اﳌﻌﺮﻓﺔ "...اﻧﺘﻬﻰ.
ﺗﺸﲑ اﻟﺪراﺳﺎت إﱃ أﻧﻪ ﻣﻦ أﻫﻢ اﳌﺸﻜﻼت اﳌﺮﺗﺒﻄﺔ ﺑﺎﻟﻮاﻗﻊ اﳊﺎﱄ اﻟﻌﺮﰊ ﻣﺎﻳﻠﻲ:
• ﻋﺪم ﻣﻼﺋﻤﺔ اﳌﺴﺘﻮى اﳌﻌﺮﰲ ﳋﺮﳚﻲ اﻟﺘﻌﻠﻴﻢ اﻟﻌﺎﱄ ﳌﺘﻄﻠﺒﺎت اﻟﺘﻄﻮر اﻻﻗﺘﺼﺎدي واﻟﺘﻜﻨﻮﻟﻮﺟﻲ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ اﻟﻔﻴﻀﺎن اﻟﻌﺪدي
ﻟﻠﺨﺮﳚﲔ؛ وﺗﺮﺗﺒﻂ ﻫﺬﻩ اﻟﻈﺎﻫﺮة ﺑﻘﺼﻮر ﻣﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻢ ووﺳﺎﺋﻠﻪ وﻃﺮاﺋﻘﻪ وﻣﻮاردﻩ.
• ﻏﻴﺎب اﻟﻌﻼﻗﺔ ﺑﲔ ﻣﺆﺳﺴﺎت اﻟﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ )اﻟﺼﻨﺎﻋﺔ( واﳌﻨﻈﻮﻣﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ )اﳉﺎﻣﻌﺔ(.
إن ﻫﺬﻩ اﳌﺸﻜﻼت ﺗﻈﻬﺮ ﺟﻠﻴﺎً ﰲ واﻗﻊ ﺳﻮق اﻟﻌﻤﻞ اﻟﻌﺮﰊ ﻣﻦ ﺧﻼل ﻏﻴﺎب اﻟﻜﻮادر اﳍﻨﺪﺳﻴﺔ اﳌﺆﻫﻠﺔ ﳌﻮاﻛﺒﺔ اﻟﺘﻄﻮرات اﳌﺘﻼﺣﻘﺔ ،واﻟﺘﻌﺎﻣﻞ
ﻣﻌﻬﺎ ﺑﻜﻔﺎءة وﻣﺮوﻧﺔ ،وﻳﺘﻢ اﻟﺘﻌﻮﻳﺾ ﻋﻦ ﻫﺬا اﻟﻨﻘﺺ ﺑﺎﺳﺘﻘﺪام اﻟﻜﻮادر اﻷﺟﻨﺒﻴﺔ ،واﺳﺘﲑاد اﻟﺘﻄﺒﻴﻘﺎت اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ،وﺻﺮف اﳌﺒﺎﻟﻎ اﻟﻄﺎﺋﻠﺔ
ﱵ ﳝﻜﻦ أن ﺗﻮﻇﻒ ﻟﺒﻨﺎء ﺑﻨﻴﺔ ﲢﺘﻴﺔ ﻣﺴﺘﻘﺒﻠﻴﺔ ﺠﻤﻟﺘﻤﻊ ﻫﻨﺪﺳﻲ ﻣﻌﺮﰲ.
وﻣﻦ ﻫﻨﺎ ﳚﺐ إﻋﺎدة اﻟﻨﻈﺮ ﰲ ﲨﻴﻊ اﳌﺸﺮوﻋﺎت اﻟﻘﺎﺋﻤﺔ ﻟﺘﻄﻮﻳﺮ اﻟﺘﻌﻠﻴﻢ ﲟﺨﺘﻠﻒ أﺷﻜﺎﻟﻪ؛ ﳌﻮاﻛﺒﺔ اﳌﻌﺎﻳﲑ اﻟﻌﺎﳌﻴﺔ ﰲ ﳎﺎل ﺟﻮدة اﻟﺘﻌﻠﻴﻢ،
واﻻﻧﻄﻼق ﻣﻦ ﻣﻔﻬﻮم ﺟﺪﻳﺪ ﻟﻠﺘﻌﻠﻴﻢ واﻟﺘﺪرﻳﺐ ،ﻳﻮاﻛﺐ اﻟﺘﻄﻮر اﳌﻌﺮﰲ اﻟﻌﺎﳌﻲ ،وﻫﻲ ﻣﻦ أﻫﻢ اﻟﺘﺤﺪﻳﺎت اﻟﱵ ﺗﻮاﺟﻪ اﻟﺘﻄﻮر اﳌﻌﺮﰲ اﻟﻌﺮﰊ،
وﳑﺎ ﻻ ﺷﻚ ﻓﻴﻪ ،أن ﺟﻮﻫﺮ اﻟﺼﺮاع اﻟﻌﺎﳌﻲ اﻟﻴﻮم ،ﻫﻮ ﺳﺒﺎق ﰲ ﺗﻄﻮﻳﺮ اﻟﺘﻌﻠﻴﻢ ،وأن ﺣﻘﻴﻘﺔ اﻟﺘﻨﺎﻓﺲ اﻟﺬي ﳚﺮى ﰲ اﻟﻌﺎﱂ اﻟﻴﻮم ،ﻫﻮ ﺗﻨﺎﻓﺲ
ﻋﻠﻰ اﳌﻌﺮﻓﺔ ،وﺑﺸﻜﻞ ﺧﺎص اﳌﻌﺮﻓﺔ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ واﻟﺘﻘﺪم اﻟﻌﻤﻠﻲ.
إن ﻣﻦ أﻫﻢ وأﴰﻞ اﻟﻔﺮوع اﳌﻌﺮﻓﻴﺔ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳍﻨﺪﺳﻴﺔ اﻟﱵ ﺗﺘﺴﺎ اﺠﻤﻟﺘﻤﻌﺎت اﳌﺘﻘﺪﻣﺔ وﳐﺎﺑﺮ اﻷﲝﺎث ﰲ اﳉﺎﻣﻌﺎت إﱃ ﺗﻄﻮﻳﺮﻫﺎ ،وﺗﺸﻐﻞ
ﻴﺎﺗﻨﺎ اﻟﻴﻮﻣﻴﺔ ﺑﺘﻄﺒﻴﻘﺎﻬﺗﺎ اﳌﺘﻌﺪدة دون أن ﻧﺪرك ذﻟﻚ ،ﻫﻲ ﻣﺎ ﻳﻄﻠﻖ ﻋﻠﻴﻪ ﺑـ .Embedded Systems
ﰲ اﳊﻘﻴﻘﺔ ﺗﺘﻌﺪد اﻟﱰﲨﺎت اﻟﻌﺮﺑﻴﺔ ﳌﺼﻄﻠﺢ اﻟـ) ،Embedded Systems (ESsﻓﻴﻄﻠﻖ ﻋﻠﻴﻬﺎ " :اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ" " ،واﻷﻧﻈﻤﺔ اﳌﻀﻤﻨﺔ"،
"واﻷﻧﻈﻤﺔ اﳌﻄﻤﻮرة" ،إﱃ ﻣﺎ ﻫﻨﺎﻟﻚ ﻣﻦ ﺗﺮﲨﺎت أﺧﺮى ،ﻏﲑ أ�ﺎ ﲨﻴﻌﺎً ﻻ ﺗﻘﺎرب اﳌﻌﲎ اﳊﻘﻴﻘﻲ ،وﻛﻴﻒ ﻻ؟! وﻻ ﻳﻮﺟﺪ إﱃ اﻵن ﺗﻌﺮﻳﻒ
ﻣﻌﺘﻤﺪ ﺑﺎﻟﻠﻐﺔ اﻹﻧﻜﻠﻴﺰﻳﺔ ﻟﻠـ ،ESsإذ أ�ﺎ ﺗﻌﺮف وﻓﻘﺎً ﻟﻠﺘﻄﺒﻴﻖ اﻟﺬي ﺗﺸﻐﻠﻪ ،وﻫﻨﺎك آﻻف اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﻗﺒﻠﻬﺎ اﻟﻨﺎﺑﺾ ﻫﻮ ﻧﻈﺎم ﻣﺪﻣﺞ.
اﻻﻧﻄﻼﻗﺔ اﻷوﱃ ﻧﻈﺮﻳﺎً ﻛﺎﻧﺖ ﻣﻊ ﻇﻬﻮر أول ﺣﺎﺳﺐ ﻣﺼﻐﺮ ) (12 bit PDP-8 Minicomputerﰲ ﻋﺎم ،[3]1965ﺣﻴﺚ أﻃﻠﻖ ﻋﻠﻴﻪ
ﻣﺼﻄﻠﺢ اﻟـ ،Embedded Computerوﺗﻼﻩ ﻇﻬﻮر أول ﻣﻌﺎﰿ ﻣﺼﻐﺮ ) (Intel.4004, 4-bitﰲ ﻋﺎم ،1971إﻻ أن اﳌﻔﻬﻮم ﻛﺎن
ﺑﻌﻴﺪاً ﺟﺪاً ﻋﻦ اﳌﻀﻤﻮن اﻟﺬي ﳛﻤﻠﻪ اﳌﺼﻄﻠﺢ ،ﺣﱴ ﻋﺎم 1977وﻇﻬﻮر أول ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ) ،(Intel.8048وﻋﺎم 1979وﻇﻬﻮر أول
ﻣﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ ) ،(Bell Labs' DSP-1ﰒ ﻛﺎﻧﺖ اﻟﺜﻮرة اﻷوﱃ ﻟﻈﻬﻮر ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﳊﻘﻠﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (FPGAsﰲ ﻋﺎم
،1984واﻟﱵ ﻫﻲ ﳏﻮرﻧﺎ اﻷﺳﺎﺳﻲ ﰲ ﻫﺬﻩ اﻟﺪراﺳﺔ .ﰲ ﻋﺎم 1988ﻇﻬﺮ ﻣﺼﻄﻠﺢ ESsاﻟﻌﺪد اﻷول ﺠﻤﻟﻠﺔ " Embedded Systems
.[4]"Programming
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages II
I اﶈﺘﻮى | Index
ﺳﺎﺑﻘﺎً ،ﻛﺎن اﺳﺘﺨﺪام اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ) (ES'sﻣﻘﺘﺼﺮاً ﻋﻠﻰ اﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﺴﻜﺮﻳﺔ وأﲝﺎث اﻟﻔﻀﺎء ،واﻟﻴﻮم ﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﰲ ﲨﻴﻊ
اﳌﻴﺎدﻳﻦ اﳍﻨﺪﺳﻴﺔ ،ﻣﺜﻞ :اﻷﺟﻬﺰة اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ اﳌﻨﺰﻟﻴﺔ ،أﺟﻬﺰة اﻻﺗﺼﺎﻻت ،اﻷﲤﺘﺔ اﻟﺼﻨﺎﻋﻴﺔ ،ﺻﻨﺎﻋﺔ اﻟﺴﻴﺎرات ،أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ
اﻟﺮﻗﻤﻲ ،اﻟﺮوﺑﻮﺗﺎت ،اﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﺴﻜﺮﻳﺔ وأﲝﺎث اﻟﻔﻀﺎء ،واﻟﻌﺪﻳﺪ ﳑﺎ ﻻ ﻳﻨﺘﻬﻲ ذﻛﺮﻩ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ،إذ أ�ﺎ ﻏﺪت ﻧﻮا ًة ﻟـ 99.99%ﻣﻦ
اﻟﺘﻄﺒﻴﻘﺎت واﻷﺟﻬﺰة اﻹﻟﻜﱰوﻧﻴﺔ ،وﻫﺬا ﻣﺎ ﳚﻌﻠﻬﺎ ﳏﻮراً أﺳﺎﺳﻴﺎً ﻟﻠﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ.
ﻣﺆﺧﺮاً ،ﺗﻌﺘﱪ دراﺳﺔ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ) (ES'sﻣﻦ أﻫﻢ اﳌﻘﺮرات اﻟﺪراﺳﻴﺔ ﰲ اﻟﻜﻠﻴﺎت اﳍﻨﺪﺳﻴﺔ ﻋﺎﳌﻴﺎً ،ﺣﻴﺚ ﺗﻌﻄﻰ اﻻﻫﺘﻤﺎم اﻷﻛﱪ
ﰲ ﻣﺮاﺣﻞ ﻣﺒﻜﺮة ،وﻳُﺆ ﱠﺳﺲ ﳍﺎ ﻣﻦ اﻟﺴﻨﺔ اﻟﺪراﺳﻴﺔ اﻷوﱃ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﻋﺪة ﻣﻘﺮرات ﺿﺮورﻳﺔ -ﺳﻨﺄﰐ ﻋﻠﻰ ذﻛﺮﻫﺎ ﰲ دراﺳﺘﻨﺎ ﻫﺬﻩ –
وﺗﻮﻇﻒ ﻣﻌﻈﻢ اﻷﲝﺎث اﳉﺎﻣﻌﻴﺔ ﰲ ﺗﻄﻮﻳﺮ اﻟﺼﻨﺎﻋﺔ وإﳚﺎد اﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ.
إﺿﺎﻓﺔً إﱃ أن ﻋﻤﻠﻴﺔ رﺑﻂ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ )اﳉﺎﻣﻌﺎت واﳌﻌﺎﻫﺪ( ﺑﺎﳌﺆﺳﺴﺎت واﻟﺸﺮﻛﺎت اﻟﺼﻨﺎﻋﻴﺔ ﺗﺸﻜﻞ دوراً ﻫﺎﻣﺎً ﰲ ﺗﻄﻮﻳﺮ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ
اﳌﺴﺘﻘﺒﻠﻴﺔ ،ﻓﻬﻲ أﻳﻀﺎً ﺑﺎﻟﺪرﺟﺔ اﻷوﱃ ﺗﺴﺎﻫﻢ ﰲ ﺗﻌﺰﻳﺰ ِﺧﱪات اﻟﻄﻼب ،وﺑﻨﺎء ﻣﻌﺮﻓﺔ ﻣﺘﺠﺪدة ﻣﻦ ﺧﻼل رﺑﻂ اﳌﻔﻬﻮم اﻟﺘﺠﺮﻳﺪي
) (Conceptual Understandingﻟﻠﻔﻜﺮة اﻟﻨﻈﺮﻳﺔ ﺑﺎﻟﺘﻄﺒﻴﻖ اﻟﻌﻤﻠﻲ اﻟﻮاﻗﻌﻲ.
إن ﻋﻤﻠﻴﺔ اﻟﺮﺑﻂ اﳌﺬﻛﻮرة ﺗﺘﻢ ﻣﻦ ﺧﻼل اﳌﺨﺘﱪات اﳉﺎﻣﻌﻴﺔ؛ ﻟﺬﻟﻚ ﺗﻌﺘﱪ اﳌﺨﺘﱪات اﳉﺎﻣﻌﻴﺔ أﻫﻢ ﻋﻨﺎﺻﺮ وأدوات اﻟﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ ،وﳜﺼﺺ
ﻮﱃ أﳘﻴﺔ ﺑﺎﻟﻐﺔ ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﻣﺎ ذاك إﻻ ﻷ�ﺎ ﺗﺸﻜﻞ ﻋﻨﺼﺮاً أﺳﺎﺳﻴﺎً ﰲ إﺛﺮاء
ﳍﺎ اﳉﺎﻧﺐ اﻷﻛﱪ ﻣﻦ اﻹﻧﻔﺎق اﳌﺎدي واﳌﻌﻨﻮي ،وﺗُ َ
اﳌﺎدة اﻟﻌﻠﻤﻴﺔ وﺗﻄﺒﻴﻘﻬﺎ ﺑﺸﻜﻞ ﻋﻤﻠﻲ ،وﲤﻨﺢ اﻟﻄﺎﻟﺐ ﻣﻬﺎرة ﻋﻠﻤﻴﺔ ﻋﻤﻠﻴﺔ ،وﺗﻮﻓﺮ ﻟﻪ ﺣﺎﻟﺔ ﻣﻦ اﻻﻧﺘﻤﺎء اﳊﻘﻴﻘﻲ إﱃ اﺧﺘﺼﺎﺻﻪ ،وﺗﺴﺎﻋﺪﻩ ﰲ
اﻟﺘﻔﺎﻋﻞ ﻣﻊ اﳌﺎدة اﻟﻨﻈﺮﻳﺔ ،ﺣﱴ إن ﺑﻌﺾ اﳉﺎﻣﻌﺎت اﻟﻌﻠﻤﻴﺔ واﳍﻨﺪﺳﻴﺔ ﺟﻌﻠﺖ اﻟﻨﺼﻴﺐ اﻷﻛﱪ ﻣﻦ اﻟﺴﺎﻋﺎت اﻟﺘﺪرﻳﺴﻴﺔ ﳐﺼﺼﺎً ﻟﺴﺎﻋﺎت
اﻟﻌﻤﻞ اﳌﺨﱪي ،وﻻ ﻋﺠﺐ إذ اﳌﺨﺘﱪ ﺑﺎب إﱃ اﻹﺑﺪاع ﰲ اﻟﺒﺤﺚ اﻟﻌﻠﻤﻲ ،وﻣﻴﺪان ﻳﻘﺮب اﻟﻄﺎﻟﺐ إﱃ اﳊﻴﺎة اﻟﻮاﻗﻌﻴﺔ اﻟﱵ ﺳﻴﻜﻮن ﻋﻨﺼﺮاً
ﻓﺎﻋﻼً ﻓﻴﻬﺎ ﺑﻌﺪ اﻟﺘﺨﺮج.
ﻳﺆﻛﺪ David Kolbﺻﺎﺣﺐ اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ) (Constructivismﻋﻠﻰ أن ﻋﻤﻠﻴﺔ ﺑﻨﺎء اﳌﻌﺮﻓﺔ اﳌﺘﺠﺪدة ﺗﺘﻢ ﻣﻦ ﺧﻼل إﻋﻄﺎء اﻟﻄﺎﻟﺐ
دوراً أﺳﺎﺳﻴﺎً ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﺟﻌﻠﻪ ﳏﻮراً ﻣﺮﻛﺰﻳﺎً ﳍﺎ ) ،(Student-based Learningﻋﻮﺿﺎً ﻋﻦ ﻛﻮﻧﻪ ٍ
ﻣﺘﻠﻖ ﻟﻠﻤﻌﺮﻓﺔ ﻣﻦ اﶈﺎﺿﺮ
ﺮﺳ ٍﻞ ﻳﺼﺐ ) ،(Teacher-based Learningوذﻟﻚ ﻣﻦ ﺧﻼل اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ ،اﻟﱵ أﻋﺎدت ﺻﻴﺎﻏﺔ دور اﳌﻌﻠﻢ ﻣﻦ ﻣ ِ
ُ
ﻣﻌﺮﻓﺘﻪ ﰲ أذﻫﺎن ﻃﻼﺑﻪ ،إﱃ ﻣﻮﺟﻪ ﻟﻠﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﻳﺴﺘﻌﻤﻞ ذاﺗﻪ وﺧﱪﺗﻪ ﺑﻜﻔﺎءة وﻓﺎﻋﻠﻴﺔ ﻣﻦ أﺟﻞ ﺗﻮﺟﻴﻪ ﻃﻼﺑﻪ ﰲ ﺑﻨﺎء ﻣﻌﺮﻓﺘﻬﻢ .ﻓﻬﻮ
ﻳﺴﻬﻞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ وﻻ ِ
ﳛﺪﺛُﻬﺎ ،ﻳﺪﻳﺮ اﳌﻮﻗﻒ اﻟﺘﻌﻠﻴﻤﻲ دون أن ﻳﻨﺸﺌﻪ ،ﻳﻮﺟﻪ وﻳﺮﺷﺪ ﻟﻠﻤﻌﺮﻓﺔ دون أن ﻳﻠ ﱢﻘﻨﻬﺎ أو ﳛ ﱢﻔﻈﻬﺎ اﻷذﻫﺎ َن .ﻫﺬا ﻣﺎ
ﺗﺆﻛﺪ ﻋﻠﻴﻪ أﻳﻀﺎً اﻟﻨﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ ﻋﻠﻢ اﻟﻨﻔﺲ اﻟﱰﺑﻮي ) (Educational Psychologyوﻋﻠﻢ أﺻﻮل اﻟﺘﺪرﻳﺲ ).(Pedagogy
III ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت | Table of Contents
،(Psychologyﰒ ﻳﺴﺘﻌﺮض أﳘﻴﺔ اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ ،وﻳﺘﻔﺮع ﻟﻴﻨﺎﻗﺶ ﻧﻈﺮﻳﺔ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ )،(Constructivist Learning
وﻣﺮﻛﺰﻳﺔ اﻟﻄﺎﻟﺐ ) (Student-centric Approachﻛﺄﺳﺎس ﰲ ﺑﻨﺎء ﻣﻌﺮﻓﺘﻪ ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﻳﺮﻛﺰ ﻋﻠﻰ دور اﻟﺘﻌﻠﱡﻢ اﻟﺘﺠﺮﻳﱯ
) (Experiential Learningﻛﺒﺪﻳﻞ ﻟﻠﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﺪي ) (Conceptual Learningﰲ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ) Laboratory
ﺼﻞ ﰲ اﺳﱰاﺗﻴﺠﻴﺔ اﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ اﳌﺸﺎرﻳﻊ ) ،(PjBL: Project-based Learningواﻟﺘﻌﻠﻢ ﻋﻦ ﻃﺮﻳﻖ ﺣﻞ
،(Educationوﻳﻔ ﱢ
اﳌﺸﻜﻼت ) ،(PrBL: Problem-based Learningواﻟﺘﻌﻠﻢ اﻟﺘﻌﺎوﱐ.
ﻳﻘﱰح اﻟﺒﺤﺚ ﻣﻨﻬﺠﻴﺔ ﺑﻨﺎﺋﻴﺔ – ﺗﺴﺘﻨﺪ إﱃ اﳌﻮﺿﻮﻋﺎت اﻟﱰﺑﻮﻳﺔ اﳌﺬﻛﻮرة – ﻛﺄﺳﺎس ﰲ ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﳐﱪي ﰲ ﳎﺎل ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ
اﳌﺪﳎﺔ ،وﻳﻘﺪم اﻟﻨﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻠﻴﺔ ﻟﺪراﺳﺔ ﻣﻘﺎرﻧﺔ ﺗﻄﺒﻴﻘﻴﺔ ﻟﻠﻤﻨﻬﺠﻴﺔ اﳌﻘﱰﺣﺔ ،ﰒ ﻳﺘﺒﲎ ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ ﻛﺄﺳﺎس ﰲ ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﳐﱪي
ﺗﻔﺎﻋﻠﻲ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ اﳌﺼﻔﻮﻓﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام اﻟﻠﻐﺔ اﳌﺮﺋﻴﺔ – LabVIEWاﻟﺬي ﺗﺸﲑ
اﻷﲝﺎث إﱃ أﻧﻪ ﻣﻨﻄﻠﻖ ﻟﻠﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم.
إن ﻋﻤﻠﻴﺔ ﺗﺄﺳﻴﺲ ﺟﻴﻞ ﻣﺘﺠﺪد اﳌﻌﺮﻓﺔ واﻹﻣﻜﺎﻧﺎت واﳌﻮاﻫﺐ ﻣﻦ اﻟﻜﻮادر اﳍﻨﺪﺳﻴﺔ اﳌﺆﻫﻠﺔ ﳊﻤﻞ أﻋﺒﺎء ﻣﺘﻄﻠﺒﺎت اﻟﺜﻮرة اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ،
واﻻرﺗﻘﺎء ﲜﻮدة اﻟﺘﻌﻠﻴﻢ إﱃ اﳌﺴﺘﻮى اﳌﻄﻠﻮب ،ﻫﻮ ﻣﻦ أﻫﻢ اﻷﻫﺪاف اﻟﱵ ﻳﺘﻮﺟﺐ ﻋﻠﻴﻨﺎ أن ﳓﻤﻠﻬﺎ وﻧﻜﺮس اﻷﲝﺎث اﳌﺴﺘﻘﺒﻠﻴﺔ ﳍﺎ .ﻛﻴﻒ
ﻻ؟! واﻟﻔﺠﻮة اﳌﻌﺮﻓﻴﺔ ﰲ دول اﻟﻌﺎﱂ اﻟﺜﺎﻟﺚ ﺗﺰداد ﺑﺎزدﻳﺎد اﳌﻨﺠﺰات اﻟﻌﻠﻤﻴﺔ واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ﰲ اﻟﻐﺮب .ﻛﻴﻒ ﻻ؟! وﻧﺎﻗﻮس اﳋﻄﺮ ﻗﺮع ﺑﺎﻷﻣﺲ
ﻣﻌﻠﻨﺎً ﻋﻦ ﺧﺮوج اﳉﺎﻣﻌﺎت اﻟﻌﺮﺑﻴﺔ ﺗﺼﻨﻴﻔﺎً ﻣﻦ ﺑﲔ أﻓﻀﻞ 500ﺟﺎﻣﻌﺔ ﰲ اﻟﻌﺎﱂ .ﻓﺄي ﺷﻲء ﺑﻌﺪ ذﻟﻚ أﻫﻢ ﻟﻨﺒﺤﺚ ﰲ ﻣﻮﺿﻮﻋﺎﺗﻪ!؟ وأي
ﲝﺚ ﻛﺎﺋﻦ ﳝﻜﻦ أن ﺗﻘﻮم ﻟﻪ ﻗﻴﺎﻣﺔ أو ﻳﻜﻮن ﻟﻪ ﻫﺪف إذا ﱂ ﻳﻜﻦ ﻣﺮﺗﺒﻄﺎً ﲟﺘﻄﻠﺒﺎت اﻟﺘﻄﻮر اﻟﺪاﺧﻠﻲ!؟
وﻫﻜﺬا ،ﻓﺈﻧﻪ ﳑﺎ ﻻ ﻳﺸﻚ ﻓﻴﻪ اﺛﻨﺎن ،أن ﺟﺎﻣﻌﺎﺗﻨﺎ وﳐﺘﱪاﺗﻨﺎ اﻟﻌﻠﻤﻴﺔ ﺗﻔﺘﻘﺮ إﱃ اﳌﻨﺎﻫﺞ اﻟﺘﺪرﻳﺴﻴﺔ -اﻟﻨﻈﺮﻳﺔ ﻣﻨﻬﺎ واﻟﻌﻤﻠﻴﺔ -اﳌﻮاﻛﺒﺔ ﳍﺬﻩ
اﻷﻧﻈﻤﺔ اﳌﺘﻄﻮرة ،واﻟﱵ ﺗﺸﻜﻞ اﻟﻨﻮاة اﻷﺳﺎﺳﻴﺔ ﻟـ 99.9%ﻣﻦ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺮﻗﻤﻴﺔ اﳊﺪﻳﺜﺔ ﰲ اﻟﻮﻗﺖ اﳊﺎﱄ ،وﻫﺬا اﻻﻓﺘﻘﺎر ﲜﻮﻫﺮﻩ ﻳﺸﻜﻞ
اﳊﺎﻓﺰ اﻷﺳﺎﺳﻲ ﳍﺬا اﻟﺒﺤﺚ ،وذﻟﻚ ﻣﻦ ﺧﻼل اﻟﻨﻘﺎط اﻟﺘﺎﻟﻴﺔ:
-ﺑﻨﺎء ﻣﻨﻬﺞ ﺗﻌﻠﻴﻤﻲ ﳐﱪي ﻣﺘﻜﺎﻣﻞ ،ﻳﺴﺘﻨﺪ إﱃ ﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ ﰲ ﻃﺮﻳﻘﺔ إﺧﺮاﺟﻪ وﺗﻘﺪﳝﻪ ،ﻳﻬﺪف إﱃ ﺟﻌﻞ دراﺳﺔ وﺑﺮﳎﺔ ﻫﺬﻩ
اﻟﻨﻈﻢ اﳌﺘﻘﺪﻣﺔ ﰲ ﻣﺘﻨﺎول ﲨﻴﻊ اﻟﻄﻼب ﰲ اﻻﺧﺘﺼﺎﺻﺎت اﳍﻨﺪﺳﻴﺔ ،ﲝﻴﺚ ﳝ ّﻜﻨﻬﻢ ﻣﻦ اﻟﺘﻌﺮف إﱃ ﻫﺬﻩ اﻟﻨﻈﻢ وﺑﺮﳎﺘﻬﺎ واﺳﺘﺜﻤﺎرﻫﺎ ﰲ
دﻋﻢ ﻣﺘﻄﻠﺒﺎت اﻟﺼﻨﺎﻋﺔ اﶈﻠﻴﺔ.
-اﻟﺘﻐﻠﺐ ﻋﻠﻰ اﻟﺘﻌﻘﻴﺪات اﻟﱪﳎﻴﺔ ﳍﺬﻩ اﻟﻨﻈﻢ ﻋﻨﺪ اﺳﺘﺨﺪام ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،وذﻟﻚ ﻣﻦ ﺧﻼل ارﺗﺒﺎط اﳌﻨﻬﺞ ﺑﻠﻐﺎت ﺑﺮﳎﻴﺔ
ﻣﺮﺋﻴﺔ -ﻋﻮﺿﺎً ﻋﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ -ﺗﻮﻓﺮ ﺳﻬﻮﻟﺔ وﺳﺮﻋﺔ ﰲ ﺗﻌﻠﻢ ﺑﻨﺎء وﺗﻄﻮﻳﺮ ﻫﺬﻩ اﻷﻧﻈﻤﺔ.
-وﺿﻊ دراﺳﺔ ّ
ﻣﻮﺛﻘﺔ ﻟﻸﲝﺎث اﻟﻘﺎدﻣﺔ ﻋﻦ اﺳﺘﺜﻤﺎر اﺳﱰاﺗﻴﺠﻴﺎت ﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ وﺗﻄﺒﻴﻘﺎﻬﺗﺎ ﰲ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي.
-اﻟﺘﻄﻠﻊ إﱃ �ﻀﺔ ﻋﻠﻤﻴﺔ ﻣﻌﺮﻓﻴﺔ ﺗﻌﻴﺪﻧﺎ إﱃ ﺣﻴﺚ ﳚﺐ أن ﻧﻜﻮن.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages IV
I اﶈﺘﻮى | Index
اﳌﺮﺣﻠﺔ اﻷوﱃ :اﻧﻄﻠﻘﺖ ﺑﺒﺤﺚ ﻣﻜﺘﱯ ﳎﻤﻞ ﻋﻦ اﳌﻨﺎﻫﺞ واﳌﺨﺘﱪات واﻷدوات واﻟﻮﺳﺎﺋﻞ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﱵ ﺗﺴﺘﺨﺪم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ) ،(FPGAsواﳌﻌﺘﻤﺪة ﻟﺪى اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤ ﰲ ﻫﺬا اﺠﻤﻟﺎل.
اﳌﺮﺣﻠﺔ اﻟﺜﺎﻧﻴﺔ :ﰎ ﻓﻴﻬﺎ اﻟﺒﺤﺚ ﻋﻦ اﻟﺸﺮﻛﺎت اﳌﺨﺘﺼﺔ ﺑﺘﺼﻨﻴﻊ اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ،ودراﺳﺔ ﺣﺠﻢ ﻧﺸﺎﻃﻬﺎ ،ودورﻫﺎ ﰲ
ﻟﺴﻮق ،واﻷدوات اﻟﱪﳎﻴﺔ اﻟﱵ ﺗﻮﻓﺮﻫﺎ ،وﻣﻘﺪار اﻟﺪﻋﻢ اﻟﻔﲏ ،وذﻟﻚ ﻬﺑﺪف اﺧﺘﻴﺎر اﻟﺸﺮﻛﺔ اﻟﱵ ﲤﻠﻚ اﳌﻘﻮﻣﺎت اﻷﻛﱪ .وﻣﻦ ﻫﺬﻩ
اﻟﺪراﺳﺔ ،أﺟﺮي ﲝﺚ ﻋﻦ ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ ﻟﺪى اﻟﺸﺮﻛﺔ اﻟﱵ وﻗﻊ ﻻﺧﺘﻴﺎر ﻋﻠﻴﻬﺎ ،وذﻟﻚ ﻬﺑﺪف ﲢﺪﻳﺪ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﻷﻧﺴﺐ ﻟﻠﺪراﺳﺔ،
وإﺟﺮاء ﻋﻤﻠﻴﺔ اﻟﺸﺮاء.
ﻣﺪﻋﻤﺔ ﺑﺎﻷﻣﺜﻠﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ،ﺑﲔ أﺳﺎﻟﻴﺐ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﻟﱵ ﺗﺴﺘﺨﺪم ﻣﺼﻔﻮﻓﺎت
اﳌﺮﺣﻠﺔ اﻟﺜﺎﻟﺜﺔ :ﰎ ﻓﻴﻬﺎ إﺟﺮاء ﻣﻘﺎرﻧﺔ ﻣﻮﺿﻮﻋﻴﺔّ ،
اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ،وذﻟﻚ ﺑﺪف ﲢﺪﻳﺪ ﺻﻌﻮﺑﺎت اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻫﺬﻩ اﻷﺳﺎﻟﻴﺐ وﲢﺪﻳﺪ اﳍﺪف ﻣﻦ اﺳﺘﺨﺪام ﻟﻐﺎت
اﳉﻴﻞ اﻟﻘﺎدم اﳌﺮﺋﻴﺔ ،وﺗﺄﻣﲔ اﻷدوات واﳌﺮاﺟﻊ اﻟﻼزﻣﺔ ﻟﻠﺨﻮض ﻓﻴﻬﺎ.
اﳌﺮﺣﻠﺔ اﻟﺮاﺑﻌﺔ :ﴰﻠﺖ دراﺳﺔ ﺷﺎﻣﻠﺔ ﻟﻠﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ ،LabVIEWوأﻳﻀﺎً ﺻﺤﺐ دراﺳﺔ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ اﺳﺘﻄﻼع ﻋﺎم ﻋﻦ اﻷﲝﺎث
اﳌﻨﺸﻮرة ﰲ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وأﺳﺲ ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ .ﻫﺬا اﻻﺳﺘﻄﻼع اﻷﺧﲑ ﻓﺘﺢ أﻓﻘﺎً واﺳﻌﺎً – ﱂ ﻳﻜﻦ – أﻣﺎم ﻣﻮﺿﻮﻋﺎت
اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ وﻧﻈﺮﻳﺎﺗﻪ واﺳﱰاﺗﻴﺠﻴﺎﺗﻪ وﻧﺘﺎﺋﺠﻪ ،واﻟﺬي ﺑﺪورﻩ اﺳﺘﻠﺰم ﲝﺜﺎً ﻣﻌﻤﻘﺎً ﰲ ﺗﻔﺼﻴﻼﺗﻪ ،ﺣﻴﺚ أن دراﺳﺔ اﻟﺘﻔﺼﻴﻼت اﻷﺳﺎﺳﻴﺔ
اﺳﺘﻠﺰﻣﺖ إﻋﺎدة ﺟﺪوﻟﺔ اﳌﺨﻄﻂ اﻟﺰﻣﲏ ﺑﺈدراج ﻣﺮاﺣﻞ ﺟﺪﻳﺪة ﰲ اﻟﺒﺤﺚ ،ﻫﻲ اﳌﺮﺣﻠﺔ اﳋﺎﻣﺴﺔ واﻟﺴﺎدﺳﺔ واﻟﺴﺎﺑﻌﺔ.
اﳌﺮﺣﻠﺔ اﳋﺎﻣﺴﺔ :أﺿﻴﻔﺖ ﳌﺎ اﺳﺘﻠﺰﻣﻪ اﻟﺒﺤﺚ ﰲ اﳌﺮﺣﻠﺔ اﻟﺮاﺑﻌﺔ ،وﺗﻄﺮﻗﺖ إﱃ :أﲝﺎث ﰲ ﻋﻠﻢ اﻟﻨﻔﺲ اﻟﱰﺑﻮي ،وﻋﻠﻢ أﺻﻮل اﻟﺘﻌﻠﻴﻢ،
وﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻢ اﻟﺴﻠﻮﻛﻲ ،واﻟﺒﻨﺎﺋﻲ ،واﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ اﻟﺘﺠﺮﻳﱯ ،واﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ وﻓﺮوﻋﻬﺎ .ﺑﻌﺪ اﻻﺳﺘﻐﺮاق ﰲ ﺗﻔﺼﻴﻼت ﻫﺬﻩ اﳌﺮﺣﻠﺔ،
ﻇﻬﺮ ﺟﻠﻴﺎً ﺿﺮورة إﻋﺎدة اﻟﻨﻈﺮ ﰲ ﻫﻴﻜﻠﻴﺔ ﺑﻨﺎء اﻟﺘﺠﺎرب.
اﳌﺮﺣﻠﺔ اﻟﺴﺎدﺳﺔ :ﺧﻼل ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﰎ إﻋﺪاد دﻟﻴﻞ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ ﻟﻠﻮﺣﺔ ﺗﻄﻮﻳﺮ ﳐﱪﻳﻪ ﳐﺼﺼﺔ ﻷﻏﺮاض ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﱵ
ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة – ﻛﺎﻧﺖ ﻧﻔﺬت ﻣﺸﺮوﻋﺎً ﻟﻠﺴﻨﺔ اﻷوﱃ ﻣﺎﺟﺴﺘﲑ .اﺳﺘﻨﺪ دﻟﻴﻞ اﻟﺘﺠﺎرب إﱃ اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ
اﳊﺪﻳﺚ واﻟﱵ ﴰﻠﺖ :ﻣﺮﻛﺰﻳﺔ اﻟﻄﺎﻟﺐ ﰲ اﻟﺘﻌﻠﻢ ) (Student-centricواﳌﻨﻬﺠﻴﺎت اﻟﺘﻔﺎﻋﻠﻴﺔ ﺑﲔ اﳌﺴﺘﺨﺪم واﳊﺎﺳﺐ ) HCI:
.(learner
ﺑﻨﻔﺲ اﻟﻮﻗﺖ اﻟﺬي ﰎ ﻓﻴﻪ إﻋﺪاد دﻟﻴﻞ اﻟﺘﺠﺎرب ،ﻛﺎﻧﺖ اﻟﺘﺠﺎرب ﺗﺪرس ﳐﱪﻳﺎً – ﻟﻄﻼب اﻟﺴﻨﺔ اﻟﺮاﺑﻌﺔ – ﻗﺴﻢ اﻟﺘﺤﻜﻢ واﻷﲤﺘﺔ –
وﲡﻤﻊ اﻟﻨﺘﺎﺋﺞ وﲢﻠﻞ إﺣﺼﺎﺋﻴﺎً .اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﻦ اﻷﻃﺮوﺣﺔ ﻳﺄﰐ ﻬﺑﺬﻩ اﻟﺪراﺳﺔ ﻣﻔﺼﻠﺔً.
V ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت | Table of Contents
اﳌﺮﺣﻠﺔ اﻟﺴﺎﺑﻌﺔ :اﺳﺘﻐﺮﻗﺖ ﰲ ﻛﺘﺎﺑﺔ أوراق ﲝﺜﻴﺔ ﺿﻤﺖ ﻧﺘﺎﺋﺞ اﻟﺪراﺳﺔ اﳌﻨﻔﺬة ﰲ اﳌﺮﺣﻠﺔ اﻟﺴﺎدﺳﺔ – ﰎ ﻧﺸﺮﻫﺎ ﻻﺣﻘﺎً ﰲ ﻣﺆﲤﺮ اﳉﻤﻌﻴﺔ
اﻷﻣﺮﻳﻜﻴﺔ اﻟﺪوﱄ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ) (ASEEﰲ ﻫﻨﻐﺎرﻳﺎ ﻟﻌﺎم .2009وﻣﺆﲤﺮ اﳉﻤﻌﻴﺔ اﻷﻣﺮﻳﻜﻴﺔ اﻟﺪوﱄ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ) (ASEEﰲ
اﺳﱰاﻟﻴﺎ ﻟﻌﺎم ،2010إﺿﺎﻓﺔً إﱃ ﳎﻠﺔ ﲝﻮث ﺟﺎﻣﻌﺔ ﺣﻠﺐ .2009-2010
اﳌﺮﺣﻠﺔ اﻟﺜﺎﻣﻨﺔ :ﰎ ﻓﻴﻬﺎ ﺗﺼﻤﻴﻢ ﳕﻮذج ﺗﻌﻠﻤﻲ ﻫﻨﺪﺳﻲ ﳐﱪي ﻳﺴﺘﻨﺪ إﱃ أﺳﺲ ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ واﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ،
وارﺗﻜﺰ ﻋﻠﻰ ﻧﺘﺎﺋﺞ اﻟﺒﺤﺚ ﰲ اﳌﺮﺣﻠﺔ اﻟﺴﺎدﺳﺔ واﻟﺴﺎﺑﻌﺔ؛ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﻳﻔﺼﻞ ﰲ ﻫﺬا اﻟﻨﻤﻮذج.
اﳌﺮﺣﻠﺔ اﻟﺘﺎﺳﻌﺔ :ﺗﻀﻤﻨﺖ ﺑﻨﺎء ﲡﺎرب ﻋﻤﻠﻴﺔ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ Spartan-3Eﺑﺎﺳﺘﺨﺪام ﺑﻴﺌﺔ اﻟﺘﻄﻮﻳﺮ اﳌﺮﺋﻴﺔ LabVIEW-
،FPGAﺣﻴﺚ ﰎ اﻟﺒﻨﺎء اﻟﱪﳎﻲ ﻷﻛﺜﺮ ﻣﻦ 30ﺗﻄﺒﻴﻖ ﳐﺘﻠﻒ )1-Wire ،SPI-Flash ،PS2 ،VGA ،RS232 ،LCD ،I/O
،3Eﲤﻜﻦ اﻟﻄﻼب ﻣﻦ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت ﺟﺪﻳﺪة وﺑﺮﳎﺘﻬﺎ ) 7.Segments, Ladder-Net., Analog Sensors, DC Motor
.(.... ،Controller, RS485, I2C EEPROM, RTC, IR remote, Stepper Moto control
اﳌﺮﺣﻠﺔ اﻟﻌﺎﺷﺮة :ﰎ ﻓﻴﻬﺎ ﺗﺼﻤﻴﻢ دﻟﻴﻞ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ ﻟﺒﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﰎ ﺑﻨﺎؤﻫﺎ ﰲ اﳌﺮﺣﻠﺔ اﻟﺜﺎﻣﻨﺔ وﻓﻘﺎً ﻟﻸﺳﺲ اﳌﺪروﺳﺔ
واﻟﻨﻤﻮذج اﳌﺨﱪي اﳌﺼﻤﻢ ﰲ اﳌﺮﺣﻠﺔ اﻟﺜﺎﻣﻨﺔ .ﻛﺬﻟﻚ ﺗﺴﺠﻴﻞ ﻣﺘﻌﺪد اﻟﻮﺳﺎﺋﻂ ﳌﺮاﺣﻞ ﺑﻨﺎء اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ.
اﳌﺮﺣﻠﺔ اﳊﺎدﻳﺔ ﻋﺸﺮة :ﲨﻊ ﻫﺬﻩ اﻟﺪراﺳﺔ ﻣﻔﺼﻠﺔ ﻋﻠﻰ ﺷﻜﻞ أﻃﺮوﺣﺔ وﻫﻲ اﳌﺮﺣﻠﺔ اﻷﺧﲑة ﻣﻦ اﻟﺒﺤﺚ.
إن اﻟﻘﺴﻢ اﻟﺜﺎﱐ ﻣﻦ ﻫﺬا اﻟﺒﺤﺚ ﻳﺘﻌﻠﻖ ﲟﻮﺿﻮﻋﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ) ،(Engineering Educationوﻫﻮ ﳎﺎل ﺟﺪﻳﺪ ﻛﻠﻴﺎً وﻗﺪ ﺑﺪأ ﰲ
ﻋﺎم 2004ﰲ اﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة وﻣﺎﺗﺰال اﻟﺪراﺳﺎت ﻓﻴﻪ ﰲ ﻣﺮاﺣﻠﻬﺎ اﻷوﱃ ،أﺿﻒ إﱃ ذﻟﻚ اﻟﺘﻔﺮع اﻟﻜﺒﲑ ﺟﺪاً ﰲ ﻣﻮﺿﻮﻋﺎﺗﻪ ،ﻛﻤﺎ أن
اﳌﺮاﺟﻊ اﻟﺒﺤﺜﻴﺔ ﺗﺘﺤﺪث ﻋﻦ اﳌﻮﺿﻮﻋﺎت ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺑﻴﺪاﻏﻮﺟﻴﺔ ﲡﺮﻳﺪﻳﺔ ﻧﻮﻋﻴﺔ ﻻ ﺗﻘﺎرب اﻟﺘﻄﺒﻴﻖ ،وﻫﺬا ﺑﺪورﻩ اﺣﺘﺎج إﱃ وﻗﺖ ﻛﺒﲑ ﺣﱴ
ﺗﻈﻬﺮ اﳌﻌﺎﱂ اﳊﻘﻴﻘﻴﺔ وﻣﻨﻬﺠﻴﺔ رﺑﻄﻬﺎ وﲢﻮﻳﻠﻬﺎ إﱃ ﻣﻔﻬﻮم ﻛﻤﻲ ﺗﻄﺒﻴﻘﻲ.
إن اﻟﻌﻤﻞ ﻋﻠﻰ أﲝﺎث ﺗﻌﻠﻴﻤﻴﺔ ﻟﻠﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﺘﻘﺪﻣﺔ ﻳﺴﺘﻠﺰم ﲝﺜﺎً ﻣﻌﻤﻘﺎً ﻋﻠﻰ ﻋﺪة ﳏﺎور ،وﺑﻨﻔﺲ اﻟﻮﻗﺖ ﻳﺴﺘﻠﺰم ﺟﻬﺪاً ﻛﺒﲑاً ﰲ ﺑﻨﺎء اﻵﻟﻴﺎت
واﻟﺘﻮاﺻﻞ ﻣﻊ اﻟﻄﻼب وﺗﻄﺒﻴﻖ ﻫﺬﻩ اﻵﻟﻴﺎت ،وﻣﻦ ﰒ ﲨﻊ اﻟﻨﺘﺎﺋﺞ وﲢﻠﻴﻠﻬﺎ وﺗﺼﻨﻴﻔﻬﺎ وﺗﻌﺪﻳﻞ اﻵﻟﻴﺎت وﻓﻘﺎً ﳍﺎ .إن ﻣﺜﻞ ﻫﺬﻩ اﻷﲝﺎث ﳛﺘﺎج
إﱃ ﻓﺮﻳﻖ ﲝﺚ ﻣﺆﻟﻒ ﻣﻦ ﺛﻼث أﺷﺨﺎص ﻋﻠﻰ اﻷﻗﻞ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages VI
I اﶈﺘﻮى | Index
-ﻳﻘﺪم اﻟﻔﺼﻞ اﻷول ﻣﺪﺧﻼً إﱃ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ) ،ESsوﺗﻄﺒﻴﻘﺎﻬﺗﺎ اﻟﻌﺎﻣﺔ ،وﻓﺮوﻋﻬﺎ اﻟﺮﺋﻴﺴﺔ ،ﺣﻴﺚ ﻳﺴﺮد أﻧﻮاﻋﻬﺎ ﻣﻌﺮﻓﺎً وﻣﺼﻨﻔﺎً
ﻛﻞ ﻧﻮع ﻣﻨﻬﺎ ،ﻣﻠﺤﻘﺎً اﻟﺘﻌﺮﻳﻒ واﻟﺘﺼﻨﻴﻒ ﲟﺎ ﻳﺸﺘﻤﻞ ﻋﻠﻴﻪ اﻟﻨﻮع ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ،وﲟﺎ ﳝﻠﻚ ﻣﻦ اﶈﺎﺳﻦ واﳌﻴﺰات ،ﰒ ﻳﺄﰐ ﻋﻠﻰ
ذﻛﺮ اﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ودورﻫﺎ ﰲ اﻟﺼﻨﺎﻋﺔ ،وﻳﻔﺼﻞ ﰲ اﻷﲝﺎث واﻟﺪراﺳﺎت اﻟﻌﻠﻤﻴﺔ اﻟﱵ ﺗﺘﺤﺪث ﻋﻦ أﳘﻴﺘﻬﺎ ودورﻫﺎ ﰲ اﻟﺘﻌﻠﻴﻢ
اﳍﻨﺪﺳﻲ واﳌﺨﱪي ،وﳜﺘﻢ ﺑﺬﻛﺮ اﻟﺘﺤﺪﻳﺎت اﻻﻗﺘﺼﺎدﻳﺔ ﻟﻠﺤﻠﻮل اﳌﺴﺘﻘﺒﻠﻴﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ.
-ﻳﺘﻨﺎول اﻟﻔﺼﻞ اﻟﺜﺎﱐ ﺗﻔﺼﻴﻼً ﰲ ﻓﺮع ﻣﻦ ﻓﺮوع اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﻫﻮ :ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً – وﻫﻮ أﺻﻞ ﰲ
دراﺳﺘﻨﺎ – ﺣﻴﺚ ﻳﺄﰐ ﻋﻠﻰ ذﻛﺮ آﺧﺮ اﻷﲝﺎث اﻟﻘﺎﺋﻤﺔ ،وﻳﺘﺤﺪث ﻋﻦ أﳘﻴﺘﻬﺎ ودورﻫﺎ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ واﳌﺨﱪي ،ﰒ ﻳﻨﺘﻘﻞ
ﻟﻔﺼﻞ ﰲ ﺑﻨﺎﻫﺎ اﻟﺪاﺧﻠﻴﺔ ،وﻣﺒﺪأ ﻋﻤﻠﻬﺎ ،وﻋﺎﺋﻼﻬﺗﺎ ،واﻟﺸﺮﻛﺎت اﳌﻌﻨﻴﺔ ﺑﺘﺼﻨﻴﻌﻬﺎ وﺗﻄﻮﻳﺮﻫﺎ ،وﻣﺮاﺣﻞ ﺗﺼﻤﻴﻤﻬﺎ وﺑﺮﳎﺘﻬﺎ ،ﰒ ﻳﺘﻌﻤﻖ
ﰲ ﺷﺮاﺋﺢ ﺷﺮﻛﺔ Xilinxاﻟﱵ اﻋﺘﻤﺪت ﰲ اﻟﺪراﺳﺔ.
-ﻳﺘﺤﺪث اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ ﻋﻦ اﻟﻠﻐﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،ﻓﺪم ﶈﺔ ﻋﺎﻣﺔ ﻋﻨﻬﺎ ،وﻋﻦ ﺗﺼﻨﻴﻔﺎﻬﺗﺎ ،وﻋﻦ أدواﻬﺗﺎ،
وﻳﺪ ﱢﻋﻤﻬﺎ ﺑﺄﻣﺜﻠﺔ .ﰒ ﻳﺒﺤﺚ ﰲ أﳘﻴﺔ اﻟﻠﻐﺎت اﳌﺮﺋﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ ﻛﺄداة اﻟﻌﺼﺮ ﰲ ﺑﺮﳎﺔ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﳐﺘﻠﻒ أﻧﻮاﻋﻬﺎ،
وﻳﻘﺪم ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﳌﺮﺋﻴﺔ واﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻣﱪزاً دور اﻟﻠﻐﺎت اﳌﺮﺋﻴﺔ ﰲ ﺗﺴﺮﻳﻊ ﻣﺮاﺣﻞ اﻟﺘﺼﻤﻴﻢ واﻟﺘﻄﻮﻳﺮ .ﰒ ﻳﺴﺘﻌﺮض اﻟﺒﻴﺌﺔ
اﻟﱪﳎﻴﺔ اﳌﺮﺋﻴﺔ LabVIEWاﻟﱵ اﻋﺘﻤﺪت ﰲ اﻟﺪراﺳﺔ.
-ﻳﺒﺤﺚ اﻟﻔﺼﻞ اﻟﺮاﺑﻊ ﰲ ﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ – واﻟﱵ ﺳﻮف ﺗﺴﺘﺨﺪم ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﻛﻤﻨﻬﺠﻴﺔ ﰲ ﺑﻨﺎء اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ
اﳌﺨﱪﻳﺔ – وﻳﺘﻌﻤﻖ ﰲ ﳕﻮذج Kolbوﻧﻈﺮﻳﺘﻪ اﻟﺒﻨﺎﺋﻴﺔ ﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،وﻳﻘﺪم ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﺘﻌﻠﻴﻢ اﻟﻜﻼﺳﻴﻜﻲ واﻟﺘﻌﻠﻴﻢ اﻟﺒﻨﺎﺋﻲ
اﻟﺬي ﻳﺸﻤﻞ اﻟﺘﻌﻠﻢ ﻣﻦ ﺧﻼل اﳌﺸﺎرﻳﻊ وﺣﻞ اﳌﺸﻜﻼت ،وﻳﺘﻔﺮع ﻟﻴﻨﺎﻗﺶ ﺗﻄﺒﻴﻖ ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ ﰲ ﻣﻮﺿﻮﻋﺎت اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ ،ﰒ
ﻳﻔﺼﻞ ﰲ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي وﺗﺼﻨﻴﻔﺎﺗﻪ واﺳﱰاﺗﻴﺠﻴﺎﺗﻪ.
-ﻳﻘﺪم اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﶈﺔ ﻣﻮﺟﺰة ﻋﻦ اﻟﻄﺮق اﻹﺣﺼﺎﺋﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻷﲝﺎث اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﰒ ﻳﻌﺮض ﺗﺼﻤﻴﻤﺎً ﳌﺨﺘﱪ اﻷﻧﻈﻤﺔ
اﳌﺪﳎﺔ اﻟﺬي اﺳﺘﻨﺪت إﻟﻴﻪ ﻫﺬﻩ اﻟﺪراﺳﺔ ﰲ ﺟﺎﻧﺒﻬﺎ اﻟﺘﻄﺒﻴﻘﻲ ،ﰒ ﻳﻨﺎﻗﺶ ﺳﺒﺐ ﺿﻌﻒ ﻧﺘﺎﺋﺞ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﻳﻘﺪم ﳕﻮذﺟﺎً
ﻫﺠﻴﻨﺎً ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ،ﰒ ﻳﺒﺤﺚ ﰲ ﺗﻄﺒﻴﻖ ﻧﻈﺮﻳﺔ اﻟﺘﺤﻜﻢ ﻛﺄﺳﺎس ﰲ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ اﻟﺘﺠﺮﻳﱯ ،وﻳﻌﺮض ﻧﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ
اﻟﱵ ﺗﺆﻛﺪ إﻟﻐﺎء ﻣﺒﺪأ اﻟﻔﺮض اﻟﺒﺎﻃﻞ ﰲ اﻟﺪراﺳﺔ اﳊﺎﺻﻠﺔ ،ﰒ ﳜﺘﻢ ﺑﺘﺼﻤﻴﻢ ﳕﻮذج ﺗﻌﻠﻴﻤﻲ ﺑﻨﺎﺋﻲ ﺷﺎﻣﻞ وﻳﺸﺮح ﻋﻨﺎﺻﺮﻩ وﻃﺮﻳﻘﺔ
ﺗﻄﺒﻴﻘﻪ.
-ﻳﻌﺮض اﻟﻔﺼﻞ اﻟﺴﺎدس اﻟﺪراﺳﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻟﻠﺒﺤﺚ ﻣﻦ ﺧﻼل اﳍﻴﻜﻠﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻟﻠﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ اﻟﱵ ﺗﺴﺘﻨﺪ ﳌﺎ اﻋﺘﻤﺪ ﰲ ﺟﺰﻳﺌﺎت
اﻟﺪراﺳﺔ ﰲ اﻟﻔﺼﻮل اﻟﺴﺎﺑﻘﺔ ،وﻳﻘﺪم أﻳﻀﺎً اﻟﻮﻇﺎﺋﻒ واﳌﻴﺰات اﻟﻌﺎﻣﺔ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﻟﱵ اﻋﺘﻤﺪت ﰲ اﻟﺪراﺳﺔ ،وﻃﺮﻳﻘﺔ اﻟﺮﺑﻂ ﺑﲔ
اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ وﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،ﰒ ﻳﺴﺘﻌﺮض ﺗﺼﻤﻴﻤﺎً ﻟﻠﻮﺣﺔ ﺗﻮﺳﻌﺔ إﺿﺎﻓﻴﺔ ﺗﺮﺑﻂ إﱃ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،وﲡﺎرب أﺧﺮى ﰎ ﺗﺼﻤﻴﻤﻬﺎ
VII ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Table of Contents | ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت
ﰒ ﻳﻘﺪم ﳕﻮذﺟﺎً ﻣﻔﺼﻼً ﻟﺒﻨﺎء دﻟﻴﻞ ﳐﱪي،ﺑﺪف اﺳﺘﺜﻤﺎر اﻟﻨﻈﺎم ﰲ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت ﻋﻤﻠﻴﺔ ﰲ ﳎﺎل أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ اﻟﺮﻗﻤﻲ
ٍ وﳜﺘﻢ ﺑﺘﺼﻤﻴﻢ ﳐﺘﱪ ﻋﻦ ﺑﻌﺪ،ﻟﻠﺘﺠﺎرب
.ًﻟﻨﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻟﺘﻨﻘﻴﺔ ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎ
اﳌﺨﻄﻄﺎت، اﺳﺘﻄﻼع ﻟﻠﺮأي، آﻓﺎق اﻟﺒﺤﺚ اﳌﺴﺘﻘﺒﻠﻲ، ﺗﺘﻀﻤﻦ اﳌﻼﺣﻖ اﳌﻘﱰﺣﺎت واﻟﺘﻮﺻﻴﺎت اﻟﻼزﻣﺔ ﻟﺒﻨﺎء ﳐﺘﱪ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ-
. اﺳﺌﻠﺔ اﻻﺧﺘﺒﺎرات اﻟﱵ ﰎ إﺟﺮاؤﻫﺎ،اﻟﺘﺼﻤﻴﻤﻴﺔ ﻟﻠﻮﺣﺔ اﻟﺘﻮﺳﻌﺔ واﻟﻮﺣﺪات اﻹﺿﺎﻓﻴﺔ
أود اﻹﺷﺎرة إﱃ أن ﻫﺬﻩ اﻷﻃﺮوﺣﺔ ﰎ وﺿﻌﻬﺎ ﻟﺘﻜﻮن ﻣﺮﺟﻌﺎً ﲝﺜﻴﺎً وﻣﺪﺧﻼً ﻋﻠﻤﻴﺎً إﱃ ﻣﻮﺿﻮﻋﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﻃﺮاﺋﻖ ﺗﻘﺪﳝﻬﺎ،ًوأﺧﲑا
وﻗﺪ ﲡﺎوزت ﰲ، ﻓﺈن ﺑﲔ دﻓﺘﻴﻬﺎ ﻣﺌﺎت اﻟﺼﻔﺤﺎت واﳌﺮاﺟﻊ اﻟﺒﺤﺜﻴﺔ اﳌﺴﻨﺪة، ﻟﺬا.وﺗﻌﻠﻴﻤﻬﺎ وﻓﻘﺎً ﻻﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺚ وﻧﻈﺮﻳﺎﺗﻪ
ﻟﻴﻮﻓﺮ ذﻟﻚ ﻋﻠﻰ، إﻻ أن اﳍﺪف اﳌﻘﺼﻮد ﻣﻨﻬﺎ ﺗﺘﻄﻠﺐ ذﻟﻚ،( ﺻﻔﺤﺔ200) ﺻﻔﺤﺔ( ﻣﺎ ﻳﻔﱰض ﻋﺎد ًة ﰲ رﺳﺎﺋﻞ اﳌﺎﺟﺴﺘﲑ400) ﺗﻌﺪادﻫﺎ
.اﻟﺒﺎﺣﺜﲔ آﻻف اﻷﻣﻴﺎل وﻋﺸﺮات اﳌﺮاﻛﺐ اﳌﺘﻜﺴﺮة ﻋﻨﺪ ﺷﻄﺂن اﳊﲑة
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages VIII
(Table of Contents) ﻓﻬﺮس اﻟﻤﺤﺘﻮﻳﺎت
اﻟﺼﻔﺎت اﳋﺎﺻﺔ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ )46 ................ :(DSP Applications Special Features 6-4-15-1
دراﺳﺔ ﺑﺪاﺋﻞ ﳌﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ )49 ................................ :(Considering DSP Alternatives 7-4-15-1
اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ذات اﻟﺘﻄﺒﻴﻘﺎت اﳋﺎﺻﺔ )51 .. ................................ ................................:(ASIC 5-15-1
اﻟﺒﻨﻴﺔ اﻟﻔﻴﺰﻳﺎﺋﻴﺔ ﻟﻠﺪارات اﳌﺘﻜﺎﻣﻠﺔ )51 .......... ................................ :(ICs Physical Architecture 1-5-15-1
أﻧﻮاع اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت )52 ........... ................................ :(Types of ASIC 2-5-15-1
ﻣﺮاﺣﻞ ﺗﺼﻤﻴﻢ ﺷﺮاﺋﺢ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت )59 ..................... :(ASIC Design Process 3-5-15-1
اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﳍﺠﻴﻨﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت )60 ........... ................................ :(Mixed-signals ASIC 6-15-1
اﳌﻨﺘﺠﺎت اﻟﻘﻴﺎﺳﻴﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت 61 .....................:(Application-specific standard products) ASSPs 7-15-1
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ "62 ........................ ................................ :(System-on-Chip) "SoCs 8-15-1
ﺣﻠﻮل ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ )62 ... ................................ :(SOCs Design Solutions 1-8-15-1
اﻟﻌﻨﺎﺻﺮ اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﻣﻨﻬﺎ ﺗﺘﻜﻮن ﺷﺮاﺋﺢ اﻟـ63 . ................................ :(SoCs Components) SoCs 2-8-15-1
ﻣﺮاﺣﻞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ )63 ....... ................................ :(SoCs Design Stages 3-8-15-1
ﺗﻄﺒﻴﻘﺎت ﺷﺎﺋﻌﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ )64 ............................ :(SoCs Common Application 4-8-15-1
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ اﻟﺸﺮاﺋﺢ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ "65 ....................... :(Programmable System-on-Chip) "PSoCs 9-15-1
اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﺸﺮاﺋﺢ اﻟـ67 .......... ................................ ................................ :PSoCs 1-9-15-1
اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ ﻟﺸﺮاﺋﺢ اﻟـ69 ........... ................................ ................................ :PSoCs 2-9-15-1
ﻣﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ 70 ......................... :(Asynchronous Array of simple Processors) AsAP 10-15-1
اﳉﻴﻞ اﻷول ﳌﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ )70 . ................................ :(The AsAP 1st Generation 1-15-10-1
اﳉﻴﻞ اﻟﺜﺎﱐ ﳌﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ )71 ............................. :(The AsAP 2nd Generation 2-10-15-1
اﳉﻴﻞ اﻟﺜﺎﻟﺚ ﳌﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ )71 ............................. :(The AsAP 3rd Generation 3-10-15-1
اﻷﻏﻠﻔﺔ ﻣﺘﻌﺪدة اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﳌﺘﻜﺎﻣﻠﺔ "72 . ................................ :(Multi-Chip-Package) "MCP 11-15-1
اﻟﻮﺣﺪة اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﻌﺪد اﻟﺸﺮاﺋﺢ "73 ... ................................ :(Multi-Chip-Module) "MCM 1-11-15-1
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﰲ ﻏﻼف "73 .............. ................................ :(System-in-Package) "SiP 2-11-15-1
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﻏﻼف "75 ........... ................................ :(System-on-Package) "SoP 3-11-15-1
اﻟﺸﺮاﺋﺢ اﳌﺪﳎﺔ ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ 77 ... ................................ :177-179(Chip-on-Board) CoB 4-11-15-1
ﺣﻘﺎﺋﻖ وﲢﺪﻳﺎت اﻗﺘﺼﺎدﻳﺔ ﺣﻮل اﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳌﺴﺘﻘﺒﻠﻴﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ80 ....................... (THE E.SS, CHALLENGES) : 16-1
اﳋﻼﺻﺔ )88 ............................... ................................ ................................ :(CONCLUSION 17-1
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages II
I اﶈﺘﻮى | Index
ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﲢﻠﻴﻞ اﻹﺷﺎرات اﳌﺮﺋﻴﺔ )91 .......... ................................ :(FPGAs for Video Analysis 3-3-2
ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ أﻧﻈﻤﺔ اﻟﺘﺸﻔﲑ ﻋﺎﻟﻴﺔ اﻷداء )92 ................. :(FPGAs for HighThroughput Cryptography 4-3-2
ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ أﻧﻈﻤﺔ ﲪﺎﻳﺔ اﻟﺸﺒﻜﺎت )92 ................... :(FPGAs for High-Throughput Cryptography 5-3-2
اﺳﱰاﺗﻴﺠﻴﺔ اﻟﺘﺼﻤﻴﻢ RPﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ اﻟـ92 .............................. :(FPGA-BASED RAPID PROTOTYPING) FPGA 4-2
ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ )93 ... ................................ :(FPGA TECH. IN ENGINEERING EDUCATION 5-2
اﳌﻘﺮرات اﻟﺘﺄﺳﻴﺴﻴﺔ ﻟﺘﻌﻠﻴﻢ ﺗﻘﻨﻴﺔ اﻟـ93 ....................... :(Essential Curriculum for Teaching FPGA) FPGA 1-5-2
ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﳐﺘﱪ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ )93 ........................ :(FPGA-based Digital Electronic Lab 2-5-2
ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ )94 .................... :(FPGA-based Adv. ESs Courses 3-5-2
ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻟﱪﳎﺔ اﳌﻌﺎﳉﺎت ﺑﺎﺳﺘﺨﺪام اﻟـ94 .................. :(FPGA-based MPU Prog. Courses) FPGA 4-5-2
ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ94 ........................ :(FPGA-based ESs Courses) FPGA 5-5-2
ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﺸﺮاﺋﺢ اﻟـ95 ....................... :(Educational FPGA Development Boards) FPGA 6-5-2
ﳓﻮ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﺘﺸﺎرﻛﻲ اﻟﺘﻌﺎوﱐ )96 ........................ :(Toward Cooperative Learning Methodology 7-5-2
ﺣﺎﺟﺎت اﻟﺼﻨﺎﻋﺔ إﱃ ﻣﻬﻨﺪﺳﻲ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ96 .............................. :(FPGA ENG. INDUSTRY DEMAND) FPGA 6-2
اﻟﺮﺑﻂ ﺑﲔ اﳌﻨﺎﻫﺞ اﻟﻨﻈﺮﻳﺔ واﻟﺼﻨﺎﻋﺔ )97 .. ................................ :(LINKING AMONG CURRICULUM & INDUSTRY 7-2
اﳌﺪﺧﻞ إﱃ دراﺳﺔ اﳌﺼﻔﻮﻓﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً )98 ... ................................ :(INTRODUCTION TO FPGAS 8-2
ﺗﻌﺮﻳﻒ اﻟـ 98 ................................ ................................ :(What does FPGA mean?) FPGA 1-8-2
أﺻﻞ ﻧﺸﻮء ﺗﻘﻨﻴﺔ اﻟـ98 ............................ ................................ :(The Origin of FPGA) FPGA 2-8-2
ﻣﺒﺎدئ ﰲ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ )98 ....................... ................................ :(Basics of PLD's 3-8-2
ﺗﻘﻨﻴﺎت اﻟﻮﺻﻼت اﳌﻨﻄﻘﻴﺔ ﰲ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ )98 ................... :(PLD’s Link Technologies 1-3-8-2
اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ )105 .............. ................................ :(Programmable Logic Devices 4-8-2
اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﺴﻴﻄﺔ اﻟﺒﻨﻴﺔ )106 .................. :(Simple Programmable Logic Devices 1-4-8-2
اﻟﻌﻨﺼﺮ اﳌﻨﻄﻘﻲ ﻣﻌﻘﺪ اﻟﺒﻨﻴﺔ110 ......................... :(Complex Programmable Logic Device) CPLD 2-8-4-2
ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ”112 ...................:(Field Programmable Gate Array) “FPGA 2-8-4-3
ﻣﺼﻔﻮﻓﺔ اﻷﻏﺮاض اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً 138 ................. :(Field Programmable Object Array) FPOA 4-4-8-2
ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت 140 ... ................................ :(Masked Programmable Gate Array) MPGA 5-4-8-2
ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳍﺠﻴﻨﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً )140 .. ................................ :(Mixed-signal FPGAs 6-4-8-2
اﳋﻼﺻﺔ )142 ............................. ................................ ................................ :(CONCLUSION 9-2
ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ”146 ... ................................ :(Hardware Description Languages) “HDLs 3-3-2
III ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Table of Contents | ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت
146 ........................:(Very High Speed IC Hardware Description Language) VHDLﻟﻐﺔ اﻟـ 1-2-3-3
148 ....................... :[386,387](Verilog Hardware Description Language) Verilog-HDLﻟﻐﺔ اﻟـ 2-2-3-3
150 ................................ :(Pebble Hardware Description Language) Pebble-HDLﻟﻐﺔ اﻟـ 3-2-3-3
150 . ................................ :(HML Hardware Description Language) HardwareMLﻟﻐﺔ اﻟـ 4-2-3-3
150 ............. ................................ :(Lava Hardware Description Language) Lavaﻟﻐﺔ اﻟـ 5-2-3-3
150 ................... :(High-level Hardware Programming Language) ﻟﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى 3-3-3
151 .... ................................ :(Java-based Hardware Description Language) JHDLﻟﻐﺔ اﻟـ 1-3-3-3
151 ............................. :(: C-based Hardware Programming Language) Catapult-C اﻟﺒﻴﺌﺔ 2-3-3-3
152 ................ :(Impulse-C: C-based Hardware Programming Language) Impulse-Cاﻟﺒﻴﺌﺔ ـ 3-3-3-3
153 .................. :(Handle-C: C-based Hardware Programming Language) Handel-C اﻟﺒﻴﺌﺔ 4-3-3-3
154 ........ ................................ :(SAFL Hardware Programming Language) SAFL اﻟﻠﻐﺔ 5-3-3-3
155 .... ................................:(SAFL Hardware Programming Language) DIME-C اﻟﺒﻴﺌﺔ 6-3-3-3
156 ........................ :(Spec-C: C-based Hardware Programming Language) Spec-C اﻟﻠﻐﺔ 7-3-3-3
156 ..................... :(SystemC: C-based Hardware Programming Language) SystemC اﻟﻠﻐﺔ 8-3-3-3
157 ................ :(Other Hardware Programming Languages & Tools) أدوات وﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ أﺧﺮى 9-3-3-3
158 ............................ :(Hardware Tools: Comparisons & Classifications) ﻣﻘﺎرﻧﺔ وﺗﺼﻨﻴﻒ 10-3-3-3
159 ..........................:(Graphical Hardware Programming Language) ﻟﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﻟﺮﺳﻮﻣﻴﺔ 3-3-4
160 .........................:(The Importance of Graphical Programming) أﳘﻴﺔ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ 1-4-3-3
162 ................ :(Graphical Programming in Curriculums) ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﰲ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ 2-4-3-3
162 .............................. :(LabVIEW Programming Environment) LabVIEW اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ 3-4-3-3
184 ............................. ................................ ................................ :(CONCLUSION) اﳋﻼﺻﺔ 4-3
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages IV
I Index | اﶈﺘﻮى
220 ...................... :(Feedback and Formative Assessment Research) اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ وأﲝﺎث اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ 4-5-2
222 .. ................................ :(Self-Regulated Learning Research) “SRL” أﲝﺎث اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ 3-5-4
224 .................. ................................ :(Instructional Design Research) أﲝﺎث اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ 4-5-4
225 ....... ................................ ................................ :(LABORATORY EDUCATION) اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي 6-4
225 ............... ................................ :(Laboratory Education Objectives) أﻫﺪاف اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي 1-6-4
226 .............................. ................................ :(Laboratories Styles) “LSs” ﺗﺼﻨﻴﻔﺎت اﳌﺨﺎﺑﺮ 2-6-4
226 .............................:(LSs from the Structure Perspective) ﺗﺼﻨﻴﻒ اﳌﺨﺎﺑﺮ ﻣﻦ ﻣﻨﻈﻮر اﳍﻴﻜﻠﻴﺔ 1-2-6-4
227 ..................... :(LSs from the Access Mode Perspective) ﺗﺼﻨﻴﻒ اﳌﺨﺎﺑﺮ ﻣﻦ ﳕﻂ اﻟﻮﺻﻮل إﻟﻴﻬﺎ 2-2-6-4
235 ................ ................................:(EDUCATIONAL THEORIES DISCUSSION) ﻣﻨﺎﻗﺸﺔ اﻟﻨﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ 7-4
237 ............................. ................................ ................................ :(CONCLUSION) اﳋﻼﺻﺔ 8-4
239 .......... ................................ ................................ ................................ ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
239 ..... ................................ ................................ ................................ :(PREFACE) ﲤﻬﻴﺪ 1-5
240 .................... :(STATISTICAL METHODS IN EDUCATIONAL RESEARCH) اﻟﻄﺮق اﻹﺣﺼﺎﺋﻴﺔ ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ 2-5
240 ............... ................................ :(Statistical Hypothesis Testing) اﺧﺘﺒﺎرات اﻟﻔﺮوض اﻹﺣﺼﺎﺋﻴﺔ 1-2-5
241 ..... ................................ ................................ :(The Hypothesis Types) أﻧﻮاع اﻟﻔﺮض 2-2-5
241 ........................ ................................ :(The Null-Hypothesis) H0 اﻟﻔﺮض اﻟﺼﻔﺮي 1-2-2-5
241 ................... ................................ :(The Alternative Hypothesis) H1 اﻟﻔﺮض اﻟﺒﺪﻳﻞ 2-2-2-5
241 .............................. :(The Hypothesis Statistical Tests Types) أﻧﻮاع اﻻﺧﺘﺒﺎرات اﻹﺣﺼﺎﺋﻴﺔ ﻟﻠﻔﺮض 3-2-5
242 ...... ................................ ................................:(Level of Significance) ﻣﺴﺘﻮى اﻷﳘﻴﺔ 4-2-5
243 ............... ................................ :(The Mann-Whitney U Test & Wilcoxon Test) اﺧﺘﺒﺎر 5-2-5
244 ..... ................................ ................................ :(Comparing Means) ﻣﻘﺎرﻧﺔ اﳌﺘﻮﺳﻄﺎت 6-2-5
244 .................. ................................ :(Statistical Test Process) ﺧﻄﻮات إﺟﺮاء اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ 7-2-5
246 ............. ................................ :(Calculating the Test Statistic) ﻃﺮق ﺣﺴﺎب إﺣﺼﺎﺋﻴﺔ اﻻﺧﺘﺒﺎر 8-2-5
246 ..... ................................ :(Statistical Package for the Social Sciences) SPSS اﳊﺰﻣﺔ اﻹﺣﺼﺎﺋﻴﺔ 9-2-5
247 ............................... :(IMPLEMENTATION OF EMBEDDED SYSTEMS LAB) ﺗﺼﻤﻴﻢ وﺑﻨﺎء ﳐﱪ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ 3-5
247 ..........................:(Designing The Laboratory Development Board) ﺗﺼﻤﻴﻢ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﳌﺨﱪﻳﺔ 1-3-5
250 ............. ................................ :(Integrated Development Environment) ﺑﻴﺌﺔ اﻟﺘﻄﻮﻳﺮ اﻟﱪﳎﻴﺔ 2-3-5
V ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Table of Contents | ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت
266 .... ................................:(Engineering Modeling of Learning Process) ًﳕﺬﺟﺔ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻫﻨﺪﺳﻴﺎ 5-6-2
266 ................. :(Modeling of Open-loop Learning Process) ﳕﺬﺟﺔ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ 1-2-6-5
271 ................... :(Modeling of Close-loop Learning Process) ﳕﺬﺟﺔ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ 2-2-6-5
277 ............................. :(CONDUCTING OPEN-CLOSE LOOP MODEL) ًﺗﻄﺒﻴﻖ ﳕﻮذج اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ واﳌﻐﻠﻘﺔ ﺗﺮﺑﻮﻳﺎ 7-5
277 ................. ................................ :(Classical Group Methodology) ﻬﺠﻴﺔ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ 1-7-5
278 .......... ................................ :(Experimental Group Methodology) ﻬﺠﻴﺔ اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ 2-7-5
280 ........................ :(The Experiments and their Main Goals) اﻟﺘﺠﺎرب اﻻﺧﺘﺒﺎرﻳﺔ واﻷﻫﺪاف اﻟﺮﺋﻴﺴﻴﺔ ﳍﺎ 3-7-5
281 .......................... :(Experimental Group Assignments) ﺸﺎرﻳﻊ اﳌﺴﻨﺪة إﱃ اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ 1-3-7-5
282 .................... :(Experimental Group Assig. Observation) ﺘﻄﻼع ﻣﺸﺎرﻳﻊ اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ 2-3-7-5
282 .... ................................ :(Sudden Exam for Both Groups) ﺘﺒﺎر ﻣﻔﺎﺟﺊ ﻟﻜﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ 3-3-7-5
283 ................... :(The Pedagogical Effectiveness Measurement Methodology) ﻣﻨﻬﺠﻴﺔ ﻗﻴﺎس اﻟﻔﻌﺎﻟﻴﺔ 4-7-5
284 .............. ................................ :(The 1st Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻷول 1-4-7-5
285 .............. ................................ :(The 2nd Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺜﺎﱐ 2-4-7-5
286 ............. ................................ :(The 3rd Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺜﺎﻟﺚ 3-4-7-5
287 .............. ................................ :(The 4th Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺮاﺑﻊ 4-4-7-5
288 ............ ................................ :(The 5th Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﳋﺎﻣﺲ 5-4-7-5
289 ............ ................................:(The 6th Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺴﺎدس 6-4-7-5
291 ............. ................................ :(The 7th Evaluation Measure) اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺴﺎﺑﻊ 7-4-7-5
292 ................ ................................ :(Discussing the Empirical Results) ﻣﻨﺎﻗﺸﺔ اﻟﻨﺘﺎﺋﺞ اﻟﺘﺠﺮﻳﺒﻴﺔ 5-7-5
293 ...................... ................................ :(Distinguished Notable Results) ﻧﺘﺎﺋﺞ ﺟﺪﻳﺮة ﺑﺎﳌﻼﺣﻈﺔ 5-7-6
294 .......................... :(TOWARD A COMPREHENSIVE EDUCATIONAL MODEL) ﳓﻮ ﺗﻄﻮﻳﺮ ﳕﻮذج ﺗﻌﻠﻴﻤﻲ ﺷﺎﻣﻞ 8-5
297 ............... ................................ ................................ :(Course Goals) أﻫﺪاف اﳌﻘﺮر 1-8-5
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages VI
I Index | اﶈﺘﻮى
297 .............................. ................................ :(Learning Styles Inventory) اﺳﺘﺒﻴﺎن أﳕﺎط اﻟﺘﻌﻠﻢ 5-8-2
297 ............................. :(VARK Learning Styles Questionary) VARKاﺳﺘﺒﻴﺎن أﳕﺎط اﻟﺘﻌﻠﻢ ﻟ ـ 1-2-8-5
300 ............................. :(Kolb Learning Styles Questionary) Kolbﳕﻮذج اﺳﺘﺒﻴﺎن أﳕﺎط اﻟﺘﻌﻠﻢ ﻟـ ـ 2-2-8-5
306 ..................... ................................ :(Set Teaching Methodology) وﺿﻊ اﳌﻨﻬﺠﻴﺔ اﻟﺘﺪرﻳﺴﻴﺔ 3-8-5
306 ........... ................................ ................................ :(Sessions Goals) أﻫﺪاف اﳉﻠﺴﺎت 4-8-5
306 . ................................ ................................ :(Classroom Session) اﳉﺎﻧﺐ اﻟﻨﻈﺮي 1-4-8-5
307 ........................ ................................ :(Laboratory Sessions) اﳉﺎﻧﺐ اﳌﺨﱪي اﻟﻌﻤﻠﻲ 2-4-8-5
307 ....... ................................ ................................ :(Sessions Outcomes) ﻧﺘﺎﺋﺞ اﳉﻠﺴﺎت 5-8-5
307 ................ ................................ ................................ :(Student Role) دور اﻟﻄﺎﻟﺐ 6-8-5
308 .............. ................................ ................................ :(Course Project) ﻣﺸﺮوع اﳌﻘﺮر 7-8-5
308 ............... ................................ :(Calculating the Activities Average) ﺣﺴﺎب اﶈﺼﻠﺔ اﻟﻌﺎﻣﺔ 8-8-5
308 ......................... :(Mapping the new Model to Kolb’s Cycle) Kolb رﺑﻂ اﻟﻨﻤﻮذج اﳉﺪﻳﺪ ﺑﻨﻤﻮذج دورة 5-8-9
322 ....................... :(LABORATORY EXPERIMENTS DESIGN METHODOLOGY) ﻣﻨﻬﺠﻴﺔ إﻋﺪاد اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ 7-6
322 .................:(Exp.1: Dealing with Input-Output Pins) اﻟﺘﻌﺎﻣﻞ ﻣﻊ أﻗﻄﺎب اﻟﺪﺧﻞ واﳋﺮج:اﻟﺘﺠﺮﺑﺔ اﻷوﱃ 1-7-6
322 ......... ................................:(Introduction about the Experiment) ﻣﻘﺪﻣﺔ ﺣﻮل اﻟﺘﺠﺮﺑﺔ 1-1-7-6
322 .................... ................................ :(The Experiment Objective) اﳍﺪف ﻣﻦ اﻟﺘﺠﺮﺑﺔ 2-1-7-6
322 .................... :(The Schematic Diagram & Hardware) اﳌﺨﻄﻂ اﻟﻨﻈﺮي وﻋﻨﺎﺻﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ 3-1-7-6
326 . ................................ ................................ :(Background Theory) ﻣﻘﺪﻣﺔ ﻧﻈﺮﻳﺔ 4-1-7-6
326 .............................. :(Data Flow within the FPGA) FPGAﺗﺪﻓﻖ اﻟﺒﻴﺎﻧﺎت ﰲ داﺧﻞ ﺷﺮﳛﺔ اﻟـ 5-1-7-6
330 ................. ................................ :(The Program Flowchart) اﳌﺨﻄﻂ اﳌﻨﻬﺠﻲ ﻟﻠﱪﻧﺎﻣﺞ 6-1-7-6
330 . ................................ ................................ :(Pre-Lab1 Session) اﳌﺨﱪ اﻟﺘﻤﻬﻴﺪي 7-1-7-6
335 ............................. ................................ :(How does it Work?) ﻣﺒﺪأ ﻋﻤﻞ اﻟﺘﺠﺮﺑﺔ 8-1-7-6
335 .............. ................................ :(Pre-Lab1 Assignment) ﻧﺸﺎط إﺿﺎﰲ ﻟﻠﻤﺨﺘﱪ اﻟﺘﻤﻬﻴﺪي 9-1-7-6
335 ............................ ................................:(Hands-on Lab1 Session) ﺟﻠﺴﺔ اﳌﺨﱪ اﻟﺘﻄﺒﻴﻘﻲ 2-7-6
338 ............................. ................................ :(How does it Work?) ﻣﺒﺪأ ﻋﻤﻞ اﻟﺘﺠﺮﺑﺔ 1-2-7-6
VII ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Table of Contents | ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت
339 .............................. ................................ :(Remote Lab1 Session) ﺟﻠﺴﺔ اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ 3-7-6
343 ............................. ................................ ................................ :(CONCLUSION) اﳋﻼﺻﺔ 8-6
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages VIII
I اﶈﺘﻮى | Index
اﻟﺸﻜﻞ 34-1اﻟﻠﻮﺣﺔ اﻷم ﻟﻠﺤﺎﺳﻮب 26 .. ................................ ................................ Transputer Evaluation IMSB008
اﻟﺸﻜﻞ 35-1وﺣﺪات اﻟﺘﺤﻜﻢ اﻟﱵ ﺗﺴﺘﺨﺪم اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﰲ اﻟﺴﻴﺎرات 27 ..... ................................ ................................
اﻟﺸﻜﻞ 36-1اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻤﺘﺤﻜﻢ اﳌﺼﻐﺮ ATAM893-Dذو ﻧﺎﻗﻞ ﺑﻌﺮض 28 ........................... ................................ 4-bit
اﻟﺸﻜﻞ 37-1اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻤﺘﺤﻜﻢ اﳌﺼﻐﺮ ATmega128ذو ﻋﺮض ﻧﺎﻗﻞ 29 ............................. ................................ 8-bit
اﻟﺸﻜﻞ 38-1اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳌﻌﺎﰿ ATMEL Xmega128A1ذو ﻋﺮض ﻧﺎﻗﻞ 29 .......................... ................................ 16-bit
اﻟﺸﻜﻞ 39-1اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳌﻌﺎﰿ AT32UC3B0128ذو ﻧﺎﻗﻞ ﺑﻌﺮض 30 ................................ ................................ 32-bit
اﻟﺸﻜﻞ 40-1ﻣﻌﺪل ﲢﻤﻴﻞ وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﺑﺎﻟﻨﺴﺒﺔ إﱃ ﻣﻌﺪل اﻟﻨﻘﻞ ﻣﻊ وﺑﺪون اﻟـ31 ....................... ................................ DMA
اﻟﺸﻜﻞ 41-1ﻧﺎﻗﻞ اﻟﺒﻴﺎﻧﺎت ﻣﺘﻌﺪد اﻟﻄﺒﻘﺎت واﺗﺼﺎﻟﻪ ﻣﻊ اﻟﻮﺣﺪات اﻟﻄﺮﻓﻴﺔ 32 ......... ................................ ................................
اﻟﺸﻜﻞ 42-1ﺗﻮزع اﻟﺬاﻛﺮة SRAMوارﺗﺒﺎﻃﻬﺎ ﻣﻊ اﻟﻨﺎﻗﻞ اﻟﺮﺋﻴﺴﻲ 32 ................ ................................ ................................
اﻟﺸﻜﻞ 43-1ارﺗﺒﺎط اﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ ﻣﻊ ﺑﻌﻀﻬﺎ ﻋﻦ ﻃﺮﻳﻖ ﻧﺎﻗﻞ ﻧﻈﺎم ﻗﺪح اﻷﺣﺪاث 33 .............................. ................................
اﻟﺸﻜﻞ 44-1ﻣﻘﺎرﻧﺔ ﺑﲔ اﻻﺳﺘﺠﺎﺑﺔ ﻟﻨﻈﺎم ﺗﻘﻠﻴﺪي ﻳﻌﺘﻤﺪ اﳌﻘﺎﻃﻌﺎت وﻧﻈﺎم آﺧﺮ ﻣﻘﺪوح ﺑﺎﻷﺣﺪاث33 ................... ................................
اﻟﺸﻜﻞ 45-1ارﺗﺒﺎط اﶈﻴﻄﻴﺎت ﺑﻮﺣﺪة اﳌﻌﺎﳉﺔ ﻟﻨﻈﺎم ﻣﻘﺎد ﺑﺎﳌﻘﺎﻃﻌﺔ 34 ............... ................................ ................................
اﻟﺸﻜﻞ 46-1ارﺗﺒﺎط اﶈﻴﻄﻴﺎت ﻟﻨﻈﺎم ﻳﻘﺪح ﺑﺎﻷﺣﺪاث 34 .......................... ................................ ................................
اﻟﺸﻜﻞ 47-1ﻃﺮﻳﻘﺔ ﲤﺜﻴﻞ رﻗﻢ 32-bitﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ 34 ........................ ................................ ................................
اﻟﺸﻜﻞ 48-1وﺣﺪﰐ اﻟﺘﺸﻔﲑ DES & AESﰲ ﻣﺘﺤﻜﻤﺎت 34 ............ ................................ ................................ AVR
اﻟﺸﻜﻞ 49-1ﳕﻂ اﻟﻌﻤﻞ اﻟﻄﺒﻴﻌﻲ ﻟﻠﻤﺆﻗﺖ )ﻋﺪاد ﺗﺼﺎﻋﺪي | ﺗﻨﺎزﱄ( 35 ............ ................................ ................................
اﻟﺸﻜﻞ 50-1اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﺒﺪل ﻟﺘﺸﺎﻬﺑﻲ اﻟﺮﻗﻤﻲ ﰲ ﻣﺘﺤﻜﻤﺎت 35 .............................. ................................ .AVR32
اﻟﺸﻜﻞ 51-1اﳌﻌﺎﳉﺔ اﳌﺘﻮازﻳﺔ ﰲ اﳌﺒﺪل ﻟﺘﺸﺎﻬﺑﻲ اﻟﺮﻗﻤﻲ ﳌﺘﺤﻜﻤﺎت 35 ............................. ................................ AVR-Xmega
اﻟﺸﻜﻞ 52-1ﺗﻨﻔﻴﺬ اﳌﻘﺎﻃﻌﺎت وﻓﻘﺎً ﻟﻸوﻟﻮﻳﺎت 36 . ................................ ................................ ................................
اﻟﺸﻜﻞ 53-1وﺣﺪة اﻟﺘﻮﻗﻴﺖ ﰲ اﳌﺘﺤﻜﻢ اﳌﺼﻐﺮ 37 ........................ ................................ ................................ AVR
اﻟﺸﻜﻞ 54-1وﺻﻞ اﳌﺘﺤﻜﻢ ATtiny43Uإﱃ ﺑﻄﺎرﻳﺔ وﺣﻴﺪة 37 .................. ................................ ................................
اﻟﺸﻜﻞ 55-1اﻟﻌﻼﻗﺔ ﺑﲔ ﺟﻬﺪ اﻟﺘﻐﺬﻳﺔ ﻣﱰدد ﻋﻤﻞ اﳌﻌﺎﰿ 38 ....................... ................................ ................................
اﻟﺸﻜﻞ 56-1ﻃﻴﻒ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ﺗﺴﺘﺨﺪم ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ 39 ............ ................................ ................................
اﻟﺸﻜﻞ 57-1ﻧﻈﺎم ﻣﻌﺎﳉﺔ إﺷﺎرة رﻗﻤﻴﺔ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ اﻟﺸﺨﺼﻲ 39 ............. ................................ ................................
اﻟﺸﻜﻞ 58-1ﺷﺮﳛﺔ اﳌﻌﺎﰿ 40 .. ................................ ................................ ................................ TMS320C30
اﻟﺸﻜﻞ 59-1ﺑﻨﻴﺔ اﻟﺸﺮﳛﺔ DSP-1أول ﺷﺮﳛﺔ ﻣﺪﳎﺔ ﳌﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ 40 .......... ................................ ................................
اﻟﺸﻜﻞ 60-1ﲤﺜﻴﻞ اﻷرﻗﺎم اﻟﺜﻨﺎﺋﻴﺔ اﻟﻜﺴﺮﻳﺔ ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ 41 ................ ................................ ................................
اﻟﺸﻜﻞ 61-1ﻧﻈﺎم ﻣﻌﺎﳉﺔ ﺻﻮت وﺻﻮرة ﺑﺎﺳﺘﺨﺪام ﻣﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ 42 ............ ................................ ................................
اﻟﺸﻜﻞ 62-1ﳐﻄﻂ ﲤﺜﻴﻠﻲ ﻋﺎم ﻟﻌﻨﺎﺻﺮ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳌﻌﺎﳉﺎت اﻟـ43 .......... ................................ ................................ DSP
اﻟﺸﻜﻞ 63-1اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﳌﻌﺎﰿ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ™44 ..... ................................ ................................ TMS320C55x
اﻟﺸﻜﻞ 64-1ﻣﻌﺎﰿ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻣﺘﻌﺪد اﻟﻨﻮى ™ 45 ............................... ................................ 6-core TMS320C6472
اﻟﺸﻜﻞ 65-1ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ 45 ................... ................................ ................................TI-TMS320C6472-EVM
اﻟﺸﻜﻞ 66-1ﻧﺴﺐ اﻧﺘﺸﺎر ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ 46 ............................ ................................ ................................
اﻟﺸﻜﻞ 67-1ﻧﺴﺐ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ 46 ............ ................................ ................................
اﻟﺸﻜﻞ 68-1ﳐﻄﻂ ﺳﲑ اﻟﻌﻤﻠﻴﺎت ﻋﻠﻰ ﻣﺼﻔﻮﻓﺘﲔ 47 ............................. ................................ ................................
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages X
I اﶈﺘﻮى | Index
اﻟﺸﻜﻞ 104-1اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﺸﺮاﺋﺢ اﻟـ67 ............. ................................ ................................ PSoCs
اﻟﺸﻜﻞ 105-1ﻋﺎﺋﻼت اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻟﺸﺮاﺋﺢ اﻟـ68 ................. ................................ ................................ PSOCs
اﻟﺸﻜﻞ 106-1اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ 69 ............................... ................................ ................................ PSoC Creator
اﻟﺸﻜﻞ 107-1اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﻟﻠﺸﺮﳛﺔ70 ................. ................................ ................................ PSoC5 CY8C55
اﻟﺸﻜﻞ 108-1ﳐﻄﻂ ﺑﻨﻴﺔ اﻟﺸﺮﳛﺔ 71 . ................................ ................................ ................................ AsAP-1
اﻟﺸﻜﻞ 109-1ﳐﻄﻂ ﺑﻨﻴﺔ اﻟﺸﺮﳛﺔ 71 . ................................ ................................ ................................ AsAP-2
اﻟﺸﻜﻞ 110-1ﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﺸﺮﳛﺔ 72 ................ ................................ ................................ TILE-GxTM
اﻟﺸﻜﻞ 111-1ﻧﻈﺎم 73 ...... ................................ ................................ ................................ 9-Layer MCP
اﻟﺸﻜﻞ 112-1اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ 73 .............. ................................ ................................ ETRAX 100LX MCM
اﻟﺸﻜﻞ 113-1ﳐﻄﻂ ﲤﺜﻴﻠﻲ ﻟﻨﻈﺎم اﻟـ74 ..... ................................ ................................ ................................ SiP
اﻟﺸﻜﻞ 114-1ﻣﻘﺎرﻧﺔ ﺑﲔ ﻧﻈﺎم ﺗﻘﻠﻴﺪي ﻳﺴﺘﺨﺪم دارات ﻣﺘﻜﺎﻣﻠﺔ ذات وﻇﺎﺋﻒ ﻣﺴﺘﻘﻠﺔ وﻧﻈﺎم ﻣﺘﻜﺎﻣﻞ ﻳﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ74 ........................... SiP
اﻟﺸﻜﻞ 115-1اﻟﺸﺮﳛﺔ BGW200ﻧﻈﺎم SiPﻣﺘﻜﺎﻣﻞ ﻟـ74 ................................ ................................ 171Mobile WLAN
اﻟﺸﻜﻞ 116-1اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻨﻈﺎم ﻫﺎﺗﻒ ﳏﻤﻮل ﻋﻠﻰ ﺷﺮﳛﺔ 74 ...... ................................ ................................ 172SiP
اﻟﺸﻜﻞ 117-1ﻧﻈﺎﻣـ SoPﻣﺘﻜﺎﻣﻞ ﻣﻊ ﻃﺒﻘﺎت اﻟﻌﺰل اﻟﻜﻬﺮﺑﺎﺋﻲ 75 .................. ................................ ................................
اﻟﺸﻜﻞ 118-1ﻣﻨﺤﲏ ﻗﺎﻧﻮن ازدﻳﺎد اﻟﻜﺜﺎﻓﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟـ76 ................... ................................ ................................ SoP
اﻟﺸﻜﻞ 119-1ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﺘﻘﻨﻴﺎت اﻷرﺑﻌﺔ 76 ......... ................................ ................................ SoC, MCM, SiP, SoP
اﻟﺸﻜﻞ 120-1ﻋﻨﺎﺻﺮ ﺗﻘﻨﻴﺔ اﻟـ77 .......... ................................ ................................ ................................ SoP
اﻟﺸﻜﻞ 121-1ﺗﺴﻊ ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ) (9-Diesﻣﺘﻮﺿﻌﺔ ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ 77 ...................... ................................
اﻟﺸﻜﻞ 122-1ﻳﺒﲔ ﺷﺎﺷﺔ رﺳﻮﻣﻴﺔ 128×64pixelﲢﻮي ﻋﻠﻰ ﺛﻼث ﺷﺮاﺋﺢ 78 ............................... ................................ CoB
اﻟﺸﻜﻞ 123-1ﻣﻘﺎرﻧﺔ ﺑﲔ ﺗﻘﻨﻴﱵ اﻟـ SMDواﻟـ CoBﻟﺘﺠﻤﻴﻊ اﻟﺪارة اﳌﺘﻜﺎﻣﻠﺔ ﰲ ﻃﻮرﻫﺎ اﻟﺜﺎﱐ 79 ........................ ................................
اﻟﺸﻜﻞ 124-1ﺗﻮﺻﻴﻞ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ دارة ﻣﻄﺒﻮﻋﺔ 79 ...................... ................................ ................................
اﻟﺸﻜﻞ 125-1ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﺘﻮﺿﻌﺔ ﺑﺸﻜﻞ ﻋﻤﻮدي وﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ79 ... ................................ ................................ CoB
اﻟﺸﻜﻞ 126-1اﳌﻌﺪل اﻟﻌﺎﳌﻲ ﻟﺘﺼﺎﻣﻴﻢ اﻟـ ASICاﳌﺘﻮﻗﻌﺔ ﻟﻸﻋﻮام 80 .. ................................ ................................ 1994-2013
اﻟﺸﻜﻞ 127-1اﳌﻌﺪل اﻟﻌﺎﳌﻲ ﻟﺘﺼﺎﻣﻴﻢ اﻟـ ASSPاﳌﺘﻮﻗﻌﺔ ﻟﻸﻋﻮام 81 .. ................................ ................................ 2003-2013
اﻟﺸﻜﻞ 128-1ﻣﻘﺎرﻧﺔ ﻛﻠﻔﺔ وﻣﺮاﺣﻞ اﻟﺘﺼﻤﻴﻢ ﻟﻜﻞ ﻣﻦ اﻟـ FPGAواﻟـ81 ...... ................................ ................................ ASIC
اﻟﺸﻜﻞ 129-1اﳌﻌﺪل اﻟﻌﺎﳌﻲ ﻻزدﻳﺎد ﺗﺼﺎﻣﻴﻢ اﻟـ FPGAاﳌﺘﻮﻗﻌﺔ ﰲ ﻋﺎم 81 ... ................................ ................................ 2009
اﻟﺸﻜﻞ 130-1اﳌﻨﺤﲏ اﻷﺧﻀﺮ ﳝﺜﻞ أداء اﻟـ FPGAواﳌﻨﺤﲏ اﻷﲪﺮ ﳝﺜﻞ أداء اﻟـ82 ....................... ................................ DSP/GPP
اﻟﺸﻜﻞ 131-1ﻣﻘﺎرﻧﺔ اﻷداء ﺑﲔ ﻣﻌﺎﰿ DSPوﺷﺮﳛﺔ FPGAﳍﻤﺎ ﻧﻔﺲ اﻟﻜﻠﻔﺔ 83 .. ................................ ................................
اﻟﺸﻜﻞ 132-1ﻣﻌﺪل اﻷداء ﻟﺸﺮاﺋﺢ اﻟـ FPGAsﻳﻔﻮق 40ﺿﻌﻒ ﺷﺮاﺋﺢ اﻟـ83 . ................................ ................................ DSPs
اﻟﺸﻜﻞ 133-1ﻧﺴﺒﺔ اﻷداء/اﻟﻜﻠﻔﺔ ﻟﻜﻞ Frame/secﻟﺸﺮاﺋﺢ اﻟـ FPGAsأﻓﻀﻞ ﺑـ 30ﻣﺮة ﻣﻦ ﺷﺮاﺋﺢ اﻟـ83 ........ ................................ DSPs
اﻟﺸﻜﻞ 134-1ﻣﻘﺎرﻧﺔ ﺳﺮﻋﺔ اﻷداء ﳌﻌﺎﰿ DSPﻣﻊ ﺷﺮﳛﺔ FPGAﻋﻠﻰ ﺧﻮارزﻣﻴﺔ ﻣﺮﺷﺢ رﻗﻤﻲ 84 ........... ................................ 256-tap
اﻟﺸﻜﻞ 135-1ﻳﺒﲔ ﻣﻌﺪل ازدﻳﺎد اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAاﳌﺘﻮﻗﻊ ﰲ ﳎﺎل اﻟـ84 ....................... ................................ Automotive
اﻟﺸﻜﻞ 136-1ﻣﻘﻄﻊ ﺑﻠﻐﺔ اﻟـ Cﻟﺮوﺗﲔ ﻣﻌﺎﳉﺔ إﺷﺎرة رﻗﻤﻴﺔ 85 ...................... ................................ ................................
اﻟﺸﻜﻞ86 ......................... ................................ ................................ FPGA to ASIC Design Ratio 137-1
اﻟﺸﻜﻞ 138-1اﻻﺧﺘﻼف ﺑﲔ ﺑﻨﻴﺔ اﳊﻮاﺳﺐ اﻟﻘﻴﺎﺳﻴﺔ وﺑﻨﻴﺔ اﳊﻮاﺳﺐ اﳌﻄﻮرة واﻟﱵ ﺗﺴﺘﺨﺪم اﻟـ86 ............... ................................ FPGAs
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages XII
I اﶈﺘﻮى | Index
اﻟﺸﻜﻞ 1-2اﳌﺨﻄﻂ اﻟﺰﻣﲏ ﻟﺘﻄﻮر ﺗﻘﻨﻴﺎت اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ واﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ 98 ...... ................................ ................................
اﻟﺸﻜﻞ 2-2ﺗﻘﻨﻴﺎت اﳋﻼﻳﺎ اﻟﺬاﻛﺮﻳﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ 99 ............................... ................................ ................................
اﻟﺸﻜﻞ 3-2اﻟﻮﺻﻼت اﳌﻨﺼﻬﺮة ﻗﺒﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ 99 ............................... ................................ ................................
اﻟﺸﻜﻞ 4-2اﻟﻮﺻﻼت اﳌﻨﺼﻬﺮة ﺑﻌﺪ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ 99 ............................... ................................ ................................
اﻟﺸﻜﻞ 5-2اﻟﻮﺻﻼت اﳌﻘﺼﻮرة ﻗﺒﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ 100 ............................. ................................ ................................
اﻟﺸﻜﻞ 6-2اﻟﻮﺻﻼت اﳌﻘﺼﻮرة ﺑﻌﺪ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ 100 ............................. ................................ ................................
اﻟﺸﻜﻞ 7-2ﻣﻘﻄﻊ ﰲ ﻣﺪﺧﻞ اﻟﺒﻮاﺑﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ ﻗﺒﻞ ) (aوﺑﻌﺪ ) (bﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ 100 ..................... ................................
اﻟﺸﻜﻞ 8-2ﺧﻠﻴﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ 101 .......................... ................................ ................................ SRAM
اﻟﺸﻜﻞ 9-2ﺷﺮﳛﺔ اﻟﺬاﻛﺮة 102 .... ................................ ................................ ................................ Intel-1702
اﻟﺸﻜﻞ 10-2اﳌﻘﺎرﻧﺔ ﺑﲔ ﺗﺮاﻧﺰﺳﺘﻮر (a) MOSوﺧﻠﻴﺔ ﺗﺮاﻧﺰﺳﺘﻮر ﻣﺴﺘﺨﺪم ﰲ ﺧﻠﻴﺔ 103 ............... ................................ (b) EPROM
اﻟﺸﻜﻞ 11-2ﺧﻠﻴﺔ ذاﻛﺮة ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﺮاﻧﺰﺳﺘﻮر 103 ................... ................................ ................................ EPROM
اﻟﺸﻜﻞ 12-2ﺧﻠﻴﺔ 103 .......... ................................ ................................ ................................ EEPROM
اﻟﺸﻜﻞ 13-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳋﻠﻴﺔ اﻟﺬاﻛﺮة 104 ............................. ................................ ................................ Flash
اﻟﺸﻜﻞ 14-2اﺧﺘﻴﺎر اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﻨﺎءً ﻋﻠﻰ اﻟﻌﻼﻗﺔ ﺑﲔ درﺟﺔ ﺗﻌﻘﻴﺪ اﻟﻨﻈﺎم وﻛﻠﻔﺘﻪ 105 ............... ................................
اﻟﺸﻜﻞ 15-2ﺷﺠﺮة اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ وﻓﺮوﻋﻬﺎ 106 ................... ................................ ................................
اﻟﺸﻜﻞ 16-2اﻟﺒﻴﻨﺔ اﻟﺪاﺧﻠﻴﺔ ﻟـ106 .............. ................................ ................................ CoolRunner-II Macrocell
اﻟﺸﻜﻞ 17-2ﲤﺜﻴﻞ ﻟﺬاﻛﺮة PROMﻏﲑ ﻣﱪﳎﺔ ﺗﺴﺘﺨﺪم ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ANDﳏﺪدة اﻟﻮﻇﻴﻔﺔ وﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ORﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ 107 .................
اﻟﺸﻜﻞ 18-2ﻛﺘﻠﺔ ﻣﻨﻄﻘﻴﺔ ﻣﺮﻛﺒﺔ ﻣﻦ ﳎﻤﻮع ﺑﻮاﺑﺎت 108 ........................... ................................ ................................
اﻟﺸﻜﻞ 19-2اﻟﺬاﻛﺮة PROMﺑﻌﺪ اﻟﱪﳎﺔ 108 . ................................ ................................ ................................
اﻟﺸﻜﻞ 20-2ﺷﺮﳛﺔ PLAﻏﲑ ﻣﱪﳎﺔ 109 ...... ................................ ................................ ................................
اﻟﺸﻜﻞ 21-2ﺷﺮﳛﺔ PLAﻣﱪﳎﺔ 109 .......... ................................ ................................ ................................
اﻟﺸﻜﻞ 22-2ﺷﺮﳛﺔ PALﻏﲑ ﻣﱪﳎﺔ )ﻣﺼﻔﻮﻓﺔ ANDﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ وﻣﺼﻔﻮﻓﺔ ORﻣﻌﺮﻓﺔ ﻣﺴﺒﻘﺎً( 110 ................ ................................
اﻟﺸﻜﻞ 23-2اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ111 . ................................ ................................ ................................ CPLD
اﻟﺸﻜﻞ 24-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠـ111 .................... ................................ ................................CPLD
اﻟﺸﻜﻞ 25-2اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﻨﻮاﺧﺐ اﻟـ CPLDاﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ 111 ................... ................................ ................................
اﻟﺸﻜﻞ 26-2اﳌﺨﻄﻂ اﻟﺰﻣﲏ ﻟﻠﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﻠـ114 ............... ................................ ................................PLD/FPGA
اﻟﺸﻜﻞ 27-2اﻟﱰﺗﻴﺐ اﻟﻌﺎﳌﻲ ﻟﻠﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﻠـ PLD/FPGAﻟﻌﺎم 114 .... ................................ ................................2008
اﻟﺸﻜﻞ 28-2اﶈﺎور اﻷﺳﺎﺳﻴﺔ ﻟﺘﺼﻨﻴﻔﺎت ﺗﻘﻨﻴﺔ اﻟـ116 ..................... ................................ ................................ FPGA
اﻟﺸﻜﻞ 29-2اﻟﺒﲎ اﻷﺳﺎﺳﻴﺔ اﻷرﺑﻌﺔ اﻟﱵ ﺗﺼﻨﻊ وﻓﻘﻬﺎ ﺷﺮاﺋﺢ اﻟـ117 ......... ................................ ................................ FPGA
اﻟﺸﻜﻞ 30-2اﻟﺒﻨﻴﺔ ﻣﻦ اﻟﻨﻮع 118 ........................ ................................ ................................ Symmetrical Array
اﻟﺸﻜﻞ 31-2اﻟﺒﻨﻴﺔ ﻣﻦ اﻟﻨﻮع 118 ...... ................................ ................................ Actel ACT3 FPGA - Row-based
اﻟﺸﻜﻞ 32-2اﻟﺒﻨﻴﺔ ﻣﻦ اﻟﻨﻮع 118 ... ................................ ................................ Altera Stratix II - Hierarchical-PLD
اﻟﺸﻜﻞ 33-2اﻟﺒﻨﻴﺔ ﻣﻦ اﻟﻨﻮع 118 ................. ................................ ................................ ProASIC - Sea-of-gates
اﻟﺸﻜﻞ 34-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ119 ................. ................................ (c) Actel ،(b) Altera ،(a) Xilinx -FPGA
اﻟﺸﻜﻞ 35-2اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮﳛﺔ 120 ... ................................ ................................ ................................FPGA
XIII ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت | Table of Contents
اﻟﺸﻜﻞ 36-2ﺑﻨﻴﺔ اﻟﻜﺘﻠﺔ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﻟﺸﺮاﺋﺢ 120 ... ................................ ................................ Xilinx Spartan-3
اﻟﺸﻜﻞ 37-2ﻃﺮﻳﻘﺔ ﺗﻮﺿﻊ ﻛﺘﻞ اﻟـ CLBsداﺧﻞ ﺷﺮﳛﺔ اﻟـ121 .............. ................................ ................................FPGA
اﻟﺸﻜﻞ 38-2اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ وﻋﻨﺎﺻﺮﻫﺎ اﳌﺘﻮﻓﺮة ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟـ FPGAﻟﻠﻌﺎﺋﻠﺔ 121 .............. ................................ Xilinx Spartan-3E
اﻟﺸﻜﻞ 39-2اﳌﺼﺎدر اﳌﻮﺟﻮدة ﻋﻠﻰ ٍ
ﻛﻞ ﻣﻦ اﻟـ SLICELواﻟـ121 ....... ................................ ................................ SLICEM
اﻟﺸﻜﻞ 40-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠـ122 .. ................................ ................................ ................................ SLICEM
اﻟﺸﻜﻞ 41-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻮﺣﺪة 123 . ................................ ................................ ................................ IOBs
اﻟﺸﻜﻞ 42-2ﺗﻮﺿﻊ وﺣﺪات اﻟـ Banksﻋﻠﻰ أﻃﺮاف ﺷﺮﳛﺔ 123 ............ ................................ ................................ FPGA
اﻟﺸﻜﻞ 43-2ﺗﻮﺿﻊ ﻋﻠﻰ ﺷﻜﻞ أﻋﻤﺪة ﻟﻜﺘﻞ اﻟﺬاﻛﺮة RAMاﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ124 ....................... ................................FPGA
اﻟﺸﻜﻞ 44-2ﺗﻮﺿﻊ ﻛﺘﻞ اﻟﺬاﻛﺮة RAMاﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ 124 ........ ................................ ................................ .Xilinx
اﻟﺸﻜﻞ 45-2اﻟﻜﺘﻠﺔ اﳌﻨﻄﻘﻴﺔ BRAM-18KBواﻟﻜﺘﻠﺔ اﳌﻨﻄﻘﻴﺔ 124 ............................ ................................ BRAM-36KB
اﻟﺸﻜﻞ 46-2ﻓﺮوع ﺷﺠﺮة اﻟﺴﺎﻋﺔ125 ........... ................................ ................................ ................................
اﻟﺸﻜﻞ 47-2ﻣﻨﻈﻢ ﻋﻤﻞ ﻧﺒﻀﺎت اﻟﺴﺎﻋﺔ ﻳﻮﻟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﱰددات اﻟﺘﻔﺮﻋﻴﺔ 125 ..... ................................ ................................
اﻟﺸﻜﻞ 48-2اﻟﺒﻨﻴﺔ اﻟﻮﻇﻴﻔﻴﺔ ﻟﻠﻮﺣﺪة 126 ................................ ................................ ................................ DCM
اﻟﺸﻜﻞ 49-2ﺗﻮﺿﻊ اﻟﻮﺣﺪات DCMﻋﻠﻰ اﻟﺸﺮاﺋﺢ 126 ......... ................................ ................................ XC3SxxxxE
اﻟﺸﻜﻞ 50-2ﻣﺼﻔﻮﻓﺔ ﺗﺒﺪﻳﻞ إﺷﺎرة اﻟﺘﻮﻗﻴﺖ إﱃ 127 .............. ................................ ................................ BUFGMUX
اﻟﺸﻜﻞ 51-2اﻟﻮﺻﻼت اﻟﺪاﺧﻠﻴﺔ وﻣﺼﻔﻮﻓﺔ اﻟﺘﺒﺪﻳﻞ ﻟﻠﺸﺮاﺋﺢ 127 ........ ................................ ................................ Spartan3
اﻟﺸﻜﻞ 52-2اﻟﻮﺻﻼت اﻟﺪاﺧﻠﻴﺔ – ﻃﺮﻳﻘﺔ اﻟﻮﺻﻞ 127 ............... ................................ ................................ Long Line
اﻟﺸﻜﻞ 53-2اﻟﻮﺻﻼت اﻟﺪاﺧﻠﻴﺔ – ﻃﺮﻳﻘﺔ اﻟﻮﺻﻞ 127 ................ ................................ ................................ Hex Line
اﻟﺸﻜﻞ 54-2اﻟﻮﺻﻼت اﻟﺪاﺧﻠﻴﺔ – ﻃﺮﻳﻘﺔ اﻟﻮﺻﻞ 128 ............ ................................ ................................ Double Line
اﻟﺸﻜﻞ 55-2اﻟﻮﺻﻼت اﻟﺪاﺧﻠﻴﺔ – ﻃﺮﻳﻘﺔ اﻟﻮﺻﻞ 128 .............. ................................ ................................Direct Line
اﻟﺸﻜﻞ 56-2أﻋﻤﺪة ﻣﻦ اﻟﻀﻮارب ﻋﻠﻰ اﻟﺘﻮازي ﻣﻊ ﻛﺘﻞ اﻟـ – BRAMاﻟﺸﺮاﺋﺢ 128 ................... ................................ XC3Sxxxx
اﻟﺸﻜﻞ 57-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻀﺎرب اﳌﺪﻣﺞ ﺑـ 18x18ﻣﺪﺧﻞ وﳐﺮج 129 ........... ................................ ................................
اﻟﺸﻜﻞ 58-2اﻟﻌﻨﺎﺻﺮ اﳌﻜﻮﻧﺔ ﻟﻠﻀﺎرب اﳌﻜﺪس 129 .............................. ................................ ................................
اﻟﺸﻜﻞ 59-2ﻋﻨﺎﺻﺮ ﻣﺪﳎﺔ ﺧﺎرج اﻟﺒﻨﺎء اﻟﺮﺋﻴﺴﻲ ﻟﺸﺮﳛﺔ اﻟـ130 ............. ................................ ................................ FPGA
اﻟﺸﻜﻞ 60-2ﻋﻨﺎﺻﺮ ﻣﺪﳎﺔ ﺿﻤﻦ اﻟﺒﻨﺎء اﻟﺮﺋﻴﺴﻲ ﻟﺸﺮﳛﺔ اﻟـ130 ............. ................................ ................................ FPGA
اﻟﺸﻜﻞ 61-2اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﻟﻠﻤﻌﺎﰿ 130 ......................... ................................ ................................ PowerPC
اﻟﺸﻜﻞ 62-2اﳌﺨﻄﻂ اﻟﺒﻨﻴﻮي ﻟﺸﺮاﺋﺢ FPSLICوارﺗﺒﺎط اﳌﻌﺎﰿ AVRﻣﻊ اﻟـ131 ........................... ................................ FPGA
اﻟﺸﻜﻞ 63-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ MicroBlazeاﳌﺪﻣﺞ ﰲ ﺷﺮاﺋﺢ 132 ............................. ................................ Xilinx
اﻟﺸﻜﻞ 64-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ PicoBlazeاﳌﺪﻣﺞ ﰲ ﺷﺮاﺋﺢ 132 ............................... ................................ Xilinx
اﻟﺸﻜﻞ 65-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ NiosIIاﳌﺪﻣﺞ ﰲ ﺷﺮاﺋﺢ 132 .... ................................ ................................ Altera
اﻟﺸﻜﻞ 66-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻮﺣﺪة اﻟﱰاﺳﻞ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺷﺮاﺋﺢ 133 ............................... ................................ Vertix-6
اﻟﺸﻜﻞ 67-2ﺗﻮﺿﻊ وﺣﺪات DSP48Aﻋﻠﻰ ﺷﺮاﺋﺢ 133 .......... ................................ ................................ Spartan-3A
اﻟﺸﻜﻞ 68-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻮﺣﺪة 134 ............................. ................................ ................................ DSP84A
اﻟﺸﻜﻞ 69-2ﻣﻘﺎرﻧﺔ ﺑﲔ ﺷﺮاﺋﺢ اﻟـ FPGAﻣﻦ ﺷﺮﻛﺔ Xilinxاﳌﺨﺼﺼﺔ ﻟﺘﻄﺒﻴﻘﺎت 134 ......................... ................................DSP
اﻟﺸﻜﻞ 70-2ﻣﻘﺎرﻧﺔ ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ ﳌﻌﺎﰿ DSPﺗﻘﻠﻴﺪي ﻣﻊ وﺣﺪة DSPﻋﻠﻰ ﺷﺮﳛﺔ FPGAﳌﺮﺷﺢ رﻗﻤﻲ 134 ................................256-bit
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages XIV
I اﶈﺘﻮى | Index
اﻟﺸﻜﻞ 71-2ﺗﻮﻟﻴﺪ IPﳐﺼﺺ ﻟﺘﺨﻔﻴﺾ ﺿﺠﻴﺞ اﻟﺼﻮر ﺑﺎﺳﺘﺨﺪام ﺑﺮﻧﺎﻣﺞ 135 ........................ ................................ LogicCore
اﻟﺸﻜﻞ 72-2ﳐﻄﻂ ﺷﺎﻣﻞ ﻟﻠﻤﺼﺎدر اﻟﱵ ﺗﺘﻮﻓﺮ ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟـ136 .......... ................................ ................................ FPGA
اﻟﺸﻜﻞ 73-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﳌﺮاﺣﻞ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ136 .............. ................................ ................................ FPGA
اﻟﺸﻜﻞ 74-2ﻣﺮاﺣﻞ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ ﺷﺮاﺋﺢ اﻟـ137 ......................... ................................ ................................ FPGA
اﻟﺸﻜﻞ 75-2اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ – FPOAاﻟﻌﺎﺋﻠﺔ 139 ................. ................................ ................................ Arrix
اﻟﺸﻜﻞ 76-2اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﻟﺸﺮﳛﺔ اﻟـ140 ........................... ................................ ................................ MPGA
اﻟﺸﻜﻞ 77-2ﳐﻄﻂ ﺗﻮﺿﻊ اﻟﻮﺣﺪات واﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟﺘﺸﺎﻬﺑﻴﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ 141 ............... ................................ Actel SmartFusion
اﻟﺸﻜﻞ 78-2اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻌﻨﺎﺻﺮ اﻟﺸﺮاﺋﺢ اﳍﺠﻴﻨﺔ 141 ............................... ................................ Actel SmartFusion
اﻟﺸﻜﻞ 1-3اﻟﺘﻄﻮر اﻟﺰﻣﲏ ﻟﺘﻘﻨﻴﺎت ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ 143 ......... ................................ ................................
اﻟﺸﻜﻞ 2-3ﻫﻴﻜﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ 144 . ................................ ................................ ................................
اﻟﺸﻜﻞ 3-3ﻫﻴﻜﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ ﻟﻸﻧﻈﻤﺔ اﻟﱪﳎﻴﺔ 144 ................................ ................................ ................................
اﻟﺸﻜﻞ 4-3اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ Schematicﻟﺪارة ﻋﺪاد ﺳﺒﺎق ) (Stopwatchﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ 145 .... ................................ Xilinx ISE
اﻟﺸﻜﻞ 5-3اﳍﻴﻜﻠﻴﺔ اﻟﻌﺎﻣﺔ ﻟﻜﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ ﺑﻠﻐﺔ اﻟـ147 ..................... ................................ ................................ VHDL
اﻟﺸﻜﻞ 6-3اﳌﻜﺘﺒﺎت اﻟﻘﻴﺎﺳﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﻟﻐﺔ اﻟـ148 ................... ................................ ................................ VHDL
اﻟﺸﻜﻞ 7-3ﺗﻌﺮﻳﻒ اﻟﻜﻴﺎن ﻟﺒﻮاﺑﺔ ANDﺑﻠﻐﺔ اﻟـ148 ..................... ................................ ................................ VHDL
اﻟﺸﻜﻞ 8-3ﺗﻌﺮﻳﻒ اﻟﻮﺻﻒ اﻟﺒﻨﻴﻮي ﻟﺒﻮاﺑﺔ ANDﺑﻠﻐﺔ اﻟـ148 ............. ................................ ................................ VHDL
اﻟﺸﻜﻞ 9-3ﲤﺜﻴﻞ ﺑﻮاﺑﺔ ANDﺑﻠﻐﺔ اﻟـ149 .............................. ................................ ................................ Verilog
اﻟﺸﻜﻞ 10-3اﻟﻌﻼﻗﺔ ﺑﲔ ازدﻳﺎد ﺗﻌﻘﻴﺪ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ وﻣﻘﺪرة اﳌﺼﻤﻤﲔ ﻋﻠﻰ ﺗﻄﻮﻳﺮﻫﺎ 150 .......................... ................................
اﻟﺸﻜﻞ 11-3اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﻟـ151 .............................. ................................ ................................ netlist
اﻟﺸﻜﻞ 12-3اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ﻟﻠﺒﻴﺌﺔ 151 ........................... ................................ ................................ Catapult-C
اﻟﺸﻜﻞ 13-3اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ 152 ........................... ................................ ................................
اﻟﺸﻜﻞ 14-3واﺟﻬﺔ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ 152 ............ ................................ ................................ Impulse-C CoDeveloper
اﻟﺸﻜﻞ 15-3أوﺟﻪ اﻟﺘﺸﺎﺑﻪ ﺑﲔ ﻟﻐﺔ اﻟـ Handel-Cواﻟﻠﻐﺔ اﻟﻘﻴﺎﺳﻴﺔ 153 .. ................................ ................................ ANSI-C
اﻟﺸﻜﻞ 16-3اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ 153 ........................... ................................ ................................
اﻟﺸﻜﻞ 17-3واﺟﻬﺔ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ 153 .............................. ................................ ................................ Handle-C
اﻟﺸﻜﻞ 18-3ﻣﺘﺘﺎﻟﻴﺔ ﻓﻴﺒﻮﻧﺎﺗﺸﻲ اﳊﺴﺎﺑﻴﺔ ﺑﻠﻐﺔ اﻟـ154 .................. ................................ ................................ Handel-C
اﻟﺸﻜﻞ 19-3ﲤﺜﻴﻞ اﻟﺘﻌﻠﻴﻤﺔ ” “Parﻟﻠﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻲ واﻟﺘﻌﻠﻴﻤﺔ ” “Seqﻟﻠﺘﻨﻔﻴﺬ اﻟﺘﺴﻠﺴﻠﻲ 154 ......................... ................................
اﻟﺸﻜﻞ 20-3ﺑﻴﺌﺔ ﻟﻐﺔ اﻟﱪﳎﺔ 155 .. ................................ ................................ ................................ DIME-C
اﻟﺸﻜﻞ 21-3اﻷداة DIMETalkﺗﻌﺮض ﺷﺒﻜﺔ اﺗﺼﺎل ﺑﲔ وﺣﺪات ﻣﻨﻄﻘﻴﺔ155 .... ................................ ................................
اﻟﺸﻜﻞ 22-3ﻣﻘﺎرﻧﺔ ﺑﺮﻧﺎﻣﺞ ﻣﻜﺘﻮب ﺑﻠﻐﺔ اﻟـ Cاﻟﻘﻴﺎﺳﻴﺔ ﻣﻊ ﺑﺮﻧﺎﻣﺞ ﺑﻠﻐﺔ اﻟـ156 .............................. ................................ Spec-C
اﻟﺸﻜﻞ 23-3ﻫﻴﻜﻠﻴﺔ اﻟﱪﳎﻴﺔ ﰲ ﻟﻐﺔ اﻟـ156 ............................. ................................ ................................ Spec-C
اﻟﺸﻜﻞ 24-3ﺑﺮﻧﺎﻣﺞ ﻟﺪارة ﺟﺎﻣﻊ ) (Adderﺑﻠﻐﺔ اﻟـ157 ................ ................................ ................................ SystemC
اﻟﺸﻜﻞ 25-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﱪﳎﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى اﻷﻛﺜﺮ ﺷﻬﺮة 158 ............ ................................ ................................
اﻟﺸﻜﻞ 26-3اﻟﻌﻼﻗﺔ ﺑﲔ اﳉﻬﺪ اﳌﺒﺬول ﻟﻜﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ وﻓﻌﺎﻟﻴﺔ اﻟﱪﻧﺎﻣﺞ ﻟﻠﻐﺎت وﺻﻒ وﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ 159 ......... ................................
اﻟﺸﻜﻞ 27-3ﺗﺼﻨﻴﻒ وﻇﻴﻔﻲ ﻟﻠﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى 159 ........ ................................ ................................
XV ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت | Table of Contents
اﻟﺸﻜﻞ 28-3ﳐﻄﻂ ﺑﺮﳎﻲ ﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ 160 ......... ................................ ................................ Agilent VEE Pro 9.2
اﻟﺸﻜﻞ 29-3ﲢﻮﻳﻞ اﻟﻨﺺ إﱃ اﻟﺼﻮت ﰲ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ 160 ............... ................................ ................................MVPL
اﻟﺸﻜﻞ 30-3درﺟﺔ اﻟﺘﻌﻘﻴﺪ ﻟﻠﻨﻈﺎم وﻣﺴﺘﻮى اﻟﺘﺠﺮﻳﺪ ﻟﺘﻄﻮر ﺗﻘﻨﻴﺎت اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ 161 ............................. ................................
اﻟﺸﻜﻞ 31-3ﳐﻄﻂ ﺗﻄﻮر اﻟﱪﳎﺔ اﳊﺎﺳﻮﺑﻴﺔ اﳌﻮاﻓﻖ ﻟﺘﻄﻮر اﻟﻜﻴﺎن اﻟﺼﻠﺐ 161 ........ ................................ ................................
اﻟﺸﻜﻞ 32-3ﳐﻄﻂ ﺗﻄﻮر اﻟﻠﻐﺎت اﻟﱪﳎﻴﺔ اﳌﻮاﻓﻖ ﻟﺪرﺟﺔ ﺗﻌﻘﻴﺪ اﻟﻜﻴﺎن اﻟﺼﻠﺐ 161 ... ................................ ................................
اﻟﺸﻜﻞ 33-3ﺑﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت اﻷﺳﺎﺳﻴﺔ ﻟﻠﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ 163 ........... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 34-3اﻟﻮﺟﻬﺎت اﻷﺳﺎﺳﻴﺔ واﳌﺴﺘﻌﺮض ﻟﻠﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ 163 ...... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 35-3ﳎﻤﻮﻋﺔ ﻣﻨﺘﻘﺎة ﻣﻦ أﻛﱪ اﻟﺸﺮﻛﺎت اﻟﻌﺎﳌﻴﺔ اﻟﱵ ﺗﺴﺘﺨﺪم اﻟﺒﻴﺌﺔ 164 .......................... ................................ LabVIEW
اﻟﺸﻜﻞ 36-3واﺟﻬﺔ اﳌﺴﺘﺨﺪم ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ ﰲ اﻟﺒﻴﺌﺔ 164 ....... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 37-3ﻟﻮﺣﺔ ﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ " "Controls Paletteﰲ واﺟﻬﺔ اﳌﺴﺘﺨﺪم ﰲ اﻟﺒﻴﺌﺔ 164 ............ ................................ LabVIEW
اﻟﺸﻜﻞ 38-3واﺟﻬﺔ اﻟﱪﻧﺎﻣﺞ ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ ﰲ اﻟﺒﻴﺌﺔ 165 ......... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 39-3ﻟﻮﺣﺔ اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ " "Functions Paletteﰲ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ﰲ اﻟﺒﻴﺌﺔ 165 ........... ................................ LabVIEW
اﻟﺸﻜﻞ 40-3اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ ﻟﻠﺤﻠﻘﺎت اﻟﺸﺮﻃﻴﺔ ﰲ اﻟﺒﻴﺌﺔ 166 ....... ................................ ................................LabVIEW
اﻟﺸﻜﻞ 41-3اﳊﻠﻮل اﻟﱪﳎﻴﺔ وﺣﻠﻮل اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﰲ اﻟﺒﻴﺌﺔ 166 ...... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 42-3اﳌﺨﻄﻂ اﻟﱪﳎﻲ ﻻﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻣﻌﺎﳉﺎﻬﺗﺎ وﲣﺰﻳﻨﻬﺎ ﰲ اﻟﱪﻧﺎﻣﺞ 168 .................... ................................ LabVIEW
اﻟﺸﻜﻞ 43-3اﺳﺘﺨﺪام ﺧﺎﺻﻴﺔ اﻟﺘﻨﻘﻴﺢ " "Execution Highlightingﳌﺮاﻗﺒﺔ ﺗﺪﻓﻖ اﻟﺒﻴﺎﻧﺎت ﺑﲔ اﻟﻌﻘﺪ ﰲ اﻟﺒﻴﺌﺔ 168 ...................... LabVIEW
اﻟﺸﻜﻞ 44-3ﺗﻨﻔﻴﺬ اﳌﻬﺎم اﻟﺘﻔﺮﻋﻴﺔ ﰲ اﻟﺒﻴﺌﺔ LabVIEWﺑﺎﺳﺘﺨﺪام اﻟﻨﻤﻂ 169 ............. ................................ Producer/Consumer
اﻟﺸﻜﻞ 45-3ﺗﻮزﻳﻊ اﳌﻬﺎم اﻟﺘﻠﻘﺎﺋﻲ ) (Automatic Multithreadingﰲ اﻟﺒﻴﺌﺔ 170 .................... ................................ LabVIEW
اﻟﺸﻜﻞ 46-3ﻣﺒﺪأ " "Data Parallelismﻋﻠﻰ ﻣﻌﺎﰿ 170 ......... ................................ ................................ Quad-core
اﻟﺸﻜﻞ 47-3ﺗﻄﺒﻴﻖ " "Data Parallelismﻋﻠﻰ ﻣﻌﺎﰿ Quad-coreﰲ 170 ......................... ................................ LabVIEW
اﻟﺸﻜﻞ 48-3ﲤﺜﻴﻞ اﳌﺒﺪأ اﻟﻌﺎم ﻟﻠﻤﻌﺎﳉﺔ اﳌﺘﺰاﻣﻨﺔ " "Pipeliningﺑﺄرﺑﻊ ﻣﺴﺘﻮﻳﺎت 171 . ................................ ................................
اﻟﺸﻜﻞ 49-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﳌﻌﺎﳉﺔ اﻟﺘﺴﻠﺴﻠﻴﺔ ) (Sequentialواﳌﻌﺎﳉﺔ اﳌﺘﺰاﻣﻨﺔ ) (4L.Pipeliningﰲ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ 171 .......................LabVIEW
اﻟﺸﻜﻞ 50-3اﻟﱪﳎﺔ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﻟﻠﻤﻌﺎﳉﺎت ﻣﺘﻌﺪدة اﻟﻨﻮى ﰲ اﻟﺒﻴﺌﺔ 171 ........................... ................................ LabVIEW
اﻟﺸﻜﻞ 51-3ﺑﺮﻧﺎﻣﺞ ﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ 172 .................. ................................ ................................ LabVIEW FPGA
اﻟﺸﻜﻞ 52-3ﻟﻮﺣﺔ اﻟﺘﺤﻜﻢ ﺑﺎﻷداء وﻣﻮارد اﻟﺬاﻛﺮة ﰲ اﻟﺒﻴﺌﺔ 173 ...... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 53-3أداة ﻣﺘﻘﺪﻣﺔ ﻟﻠﻔﺤﺺ وﺗﺘﺒﻊ اﻷﺧﻄﺎء " "Execution Traceﰲ اﻟﺒﻴﺌﺔ 173 ................ ................................ LabVIEW
اﻟﺸﻜﻞ 54-3ﻛﺘﺎﺑﺔ ﺑﺮاﻣﺞ ﺑﻠﻐﺔ اﻟـ Cﺿﻤﻦ ﺑﻴﺌﺔ LabVIEWﺑﺎﺳﺘﺨﺪام اﻟﻌﻨﺼﺮ اﻟﱪﳎﻲ 174 ................ ................................ C-node
اﻟﺸﻜﻞ 55-3اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﳌﻠﻔﺎت " ".m fileﺿﻤﻦ ﺑﻴﺌﺔ LabVIEWﺑﺎﺳﺘﺨﺪام اﻟﻌﻨﺼﺮ 174 .. ................................ MathScript-Node
اﻟﺸﻜﻞ 56-3ﻣﻘﺎرﻧﺔ اﳋﻄﻮات اﻟﱪﳎﻴﺔ ﺑﲔ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ واﻟﻠﻐﺎت اﻟﺮﺳﻮﻣﻴﺔ 175 . ................................ ................................
اﻟﺸﻜﻞ 57-3ﻳﺒﲔ ﻣﻘﺎرﻧﺔً ﻟﻠﺨﻄﻮات اﻟﱪﳎﻴﺔ ﺑﲔ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ واﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻟﱪﳎﺔ ﺷﺮﳛﺔ 175 ......... ................................ .DSP
اﻟﺸﻜﻞ 58-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﱪﳎﺔ ﺣﻠﻘﺔ اﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻣﻦ ﺟﻬﺎز ﻗﻴﺎس وﻋﺮﺿﻬﺎ ﻋﻠﻰ راﺳﻢ إﺷﺎرة 176 ..........................
اﻟﺸﻜﻞ 59-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﱪﳎﺔ ﺣﻠﻘﱵ اﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻣﻦ ﺟﻬﺎزي ﻗﻴﺎس ﻋﻠﻰ اﻟﺘﻮا ِز وﻋﺮﺿﻬﺎ 176 .............................
اﻟﺸﻜﻞ 60-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﱪﳎﺔ ﺣﻠﻘﱵ اﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻋﻠﻰ اﻟﺘﻮا ِز وﲟﻌﺪﻻت اﺳﺘﺤﺼﺎل ﳐﺘﻠﻔﺔ 177 ............................
اﻟﺸﻜﻞ 61-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﻘﺮاءة ﺑﻴﺎﻧﺎت رﻗﻤﻴﺔ ﻣﻦ ﻗﻄﺐ ﺷﺮﳛﺔ 177 ................... ................................ FPGA
اﻟﺸﻜﻞ 62-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﻘﺮاءة ﺑﻴﺎﻧﺎت ﺸﺎﻬﺑﻴﺔ ﻣﻦ ﻗﻄﺐ ﺷﺮﳛﺔ 177 ................. ................................ FPGA
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages XVI
I اﶈﺘﻮى | Index
اﻟﺸﻜﻞ 63-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﻘﺮاءة ﺑﻴﺎﻧﺎت ﺸﺎﻬﺑﻴﺔ ﻣﻦ ﻗﻄﺐ ﺷﺮﳛﺔ FPGAوﲣﺰﻳﻨﻬﺎ178 ......... ................................
اﻟﺸﻜﻞ 64-3ﻧﺴﺒﺔ اﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ LabVIEWﰲ ﲡﻬﻴﺰات اﻟﺘﺤﻜﻢ واﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﻟﻌﺎم 179 ............ ................................ 2006
اﻟﺸﻜﻞ 65-3ﳎﺎﻻت اﻟﺘﻄﺒﻴﻘﺎت ﻟﻸدوات اﻟﱪﳎﻴﺔ ﻟﻠﺒﻴﺌﺔ 181 .......... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 1-4اﳌﺨﻄﻂ اﻟﺘﻤﺜﻴﻠﻲ ﳌﺒﺤﺚ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ 188 ........................ ................................ ................................
اﻟﺸﻜﻞ 2-4ﻣﻨﺤﲏ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﻟﻠﻤﻌﻠﻮﻣﺎت 194 ............................... ................................ ................................
اﻟﺸﻜﻞ 3-4آﻟﻴﺔ ﲣﺰﻳﻦ اﳌﻌﻠﻮﻣﺎت ﰲ اﻟﺬاﻛﺮة اﻟﺒﺸﺮﻳﺔ 194 ........................... ................................ ................................
اﻟﺸﻜﻞ 4-4ﳕﻮذج Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ اﻟﺒﻨﺎﺋﻲ 205 ........................... ................................ ................................
اﻟﺸﻜﻞ212 ............ ................................ ................................ Felder-Silverman Index of Learning Styles 5-4
اﻟﺸﻜﻞ214 ......................... ................................ Student-centered Learning vs. Teacher-centered Learning 6-4
اﻟﺸﻜﻞ215 ............... ................................ ................................ Problem-based Learning Concept-Map 7-4
اﻟﺸﻜﻞ 8-4ﻣﺮاﺣﻞ دورة اﻟﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت 217 ..................... ................................ ................................
اﻟﺸﻜﻞ 9-4اﳌﺨﻄﻂ اﳌﻨﻬﺠﻲ ﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت 218 ......... ................................ ................................
اﻟﺸﻜﻞ 10-4اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻨﻈﺎم ﲢﻜﻢ ذو ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ 220 ............... ................................ ................................
اﻟﺸﻜﻞ 11-4ﳕﻮذج اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻟ ـ222 ............. ................................ ................................ [649]Juwah
اﻟﺸﻜﻞ 12-4ﳕﻮذج Gustafsonﻟﻠﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ )224 .................. ................................(Instructional design cycle model
اﻟﺸﻜﻞ 13-4أﻧﻮاع اﳌﺨﺎﺑﺮ ﺗﺼﻨﻴﻔﺎﻬﺗﺎ 234 ....... ................................ ................................ ................................
اﻟﺸﻜﻞ 14-4اﻟﻨﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ واﻟﻌﻼﻗﺔ اﳌﺘﺒﺎدﻟﺔ ﺑﲔ اﳉﻮاﻧﺐ اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﺮﺗﺒﻄﺔ 236 .. ................................ ................................
اﻟﺸﻜﻞ242 .............. ................................ ................................ ................................ Type I Error 1-5
اﻟﺸﻜﻞ242 ............. ................................ ................................ ................................ Type II Error 2-5
اﻟﺸﻜﻞ 3-5اﻟﻌﻼﻗﺔ ﺑﲔ اﻟﻔﺮﺿﻴﺔ اﻟﺼﻔﺮﻳﺔ واﻟﺒﺪﻳﻠﺔ واﻷﺧﻄﺎء ﻣﻦ اﻟﻨﻮع اﻷول ) (Type-I Errorواﻟﺜﺎﱐ )243 .......................... (Type-II Error
اﻟﺸﻜﻞ 4-5ﺗﻮزع ﻣﻨﻄﻘﱵ اﻟﺮﻓﺾ واﻟﻘﺒﻮل ﻋﻠﻰ ﻃﺮﰲ ﻣﻨﺤﲏ اﻟﺘﻮزﻳﻊ اﻹﺣﺼﺎﺋﻲ اﻟﻄﺒﻴﻌﻲ243 ............................. ................................
اﻟﺸﻜﻞ 5-5ﳐﻄﻂ ﲢﺪﻳﺪ اﻻﺧﺘﺒﺎر اﻷﻣﺜﻞ ﳌﻘﺎرﻧﺔ اﳌﺘﻮﺳﻄﺎت 246 ................... ................................ ................................
اﻟﺸﻜﻞ 6-5واﺟﻬﺔ اﻟﱪﻧﺎﻣﺞ اﻹﺣﺼﺎﺋﻲ 246 ............................... ................................ ................................ SPSS
اﻟﺸﻜﻞ 7-5ﺗﻮزع اﶈﻴﻄﻴﺎت ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ 248 ................................ ................................ ................................
اﻟﺸﻜﻞ 8-5اﻟﻨﻤﻮذج اﻷوﱄ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﳌﺼﻤﻤﺔ 249 ........................... ................................ ................................
اﻟﺸﻜﻞ 9-5اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻌﻨﺎﺻﺮ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ 249 ......................... ................................ ................................
اﻟﺸﻜﻞ 10-5ﺑﻴﺌﺔ اﻟﺘﻄﻮﻳﺮ اﻟﱪﳎﻴﺔ 250 ......................... ................................ ................................ Bascom-AVR
اﻟﺸﻜﻞ 11-5ﻣﻨﻬﺠﻴﺔ ﻛﺘﺎﺑﺔ اﻟﱪاﻣﺞ ﰲ اﻟﺒﻴﺌﺔ 251 ............... ................................ ................................ Bascom-AVR
اﻟﺸﻜﻞ 12-5اﺳﺘﺨﺪام ﺑﻴﺌﺔ اﶈﺎﻛﺎة PROTEUSﶈﺎﻛﺎة ﺑﺮﻧﺎﻣﺞ ﻟﻠﺘﺤﻜﻢ ﲟﺤﺮك ﺗﻴﺎر ﻣﺴﺘﻤﺮ 252 .................... ................................
اﻟﺸﻜﻞ 13-5اﺳﺘﺨﺪام ﺑﻴﺌﺔ اﶈﺎﻛﺎة PROTEUSﻟﺘﺤﻠﻴﻞ دارة ﻋﺪاد ﺗﺼﺎﻋﺪي ﺗﻨﺎزﱄ ﻗﺎﺑﻞ ﻟﻠﻀﺒﻂ 252 ............... ................................
اﻟﺸﻜﻞ 14-5اﳌﺨﻄﻂ اﻟﻨﻈﺮي واﻟﱪﻧﺎﻣﺞ ﻹﺣﺪى اﻷﻣﺜﻠﺔ اﻟﻌﻤﻠﻴﺔ ﰲ دﻟﻴﻞ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ 253 ......................... ................................
اﻟﺸﻜﻞ 15-5ﻧﺘﺎﺋﺞ ﺗﻘﻴﻴﻢ اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ ﻣﻦ ﻗﺒﻞ اﻟﻄﻼب )254 ........... ................................ ................................ (N=64
اﻟﺸﻜﻞ 16-5ﳕﻮذج ﻫﺮم اﻟﺘﻌﻠﻢ وﻣﻌﺪﻻت اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت 256 ................ ................................ ................................
اﻟﺸﻜﻞ 17-5ﻣﻨﻬﺠﻴﺔ ﻋﻤﻞ ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ ﺧﻼل اﳌﺨﱪ 257 .......... ................................ ................................
اﻟﺸﻜﻞ 18-5ﻣﺸﺮوع ﻧﻈﺎم ﳏﻄﺔ ارﺻﺎد ﺟﻮﻳﺔ ﻣﺼﻐﺮ 260 .......................... ................................ ................................
XVII ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
ﻗﺎﺋﻤﺔ اﶈﺘﻮﻳﺎت | Table of Contents
اﻟﺸﻜﻞ 42-6اﳌﺨﻄﻂ اﳌﻨﻬﺠﻲ ﳋﻮارزﻣﻴﺔ ﻋﻤﻞ اﻟﺘﺠﺮﺑﺔ 330 ............ ................................ ................................ Lab1-Pre
اﻟﺸﻜﻞ 43-6اﻟﻮاﺟﻬﺔ اﻟﺮﺋﻴﺴﻴﺔ ﻟﻠﱪﻧﺎﻣﺞ 331 ......................... ................................ ................................ LabVIEW
اﻟﺸﻜﻞ 44-6إﺿﺎﻓﺔ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ Spartan-3E Starter Boardإﱃ اﳌﺸﺮوع 331 . ................................ ................................
اﻟﺸﻜﻞ 45-6إﺿﺎﻓﺔ ﻗﻄﺐ دﺧﻞ ) (SW0وﺧﺮج )332 ................... ................................ ................................ (LED0
اﻟﺸﻜﻞ 46-6إﺿﺎﻓﺔ ﳎﻠﺪ ﺗﻄﺒﻴﻖ وﺗﺴﻤﻴﺘﻪ ﺑﺎﺳﻢ ” “Pre-Lab1إﱃ اﳌﺸﺮوع 332 ..... ................................ ................................
اﻟﺸﻜﻞ 47-6إﺿﺎﻓﺔ ﻣﺸﺮوع VIوﺣﻔﻈﻪ ﺑﺎﺳﻢ Pre-Lab1-1.viإﱃ ﳎﻠﺪ اﻟﺘﻄﺒﻴﻖ ”332 ............... ................................ “Pre-Lab1
اﻟﺸﻜﻞ 48-6اﶈﺮر اﻟﱪﳎﻲ ” “Block Diagramواﻟﻮاﺟﻬﺔ ” “Front Panelوﻣﺪﻳﺮ اﳌﺸﺮوع ”333 ............................. “Project Explorer
اﻟﺸﻜﻞ 49-6إﺿﺎﻓﺔ ﺣﻠﻘﺔ While Loopإﱃ ﳐﻄﻂ اﻟـ333 ... ................................ ................................ Block Diagram
اﻟﺸﻜﻞ 50-6ﳐﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ اﻟﺮﺳﻮﻣﻲ اﻟﻜﺎﻣﻞ ﻟﻠﺘﺠﺮﺑﺔ 334 .......... ................................ ................................ Lab1-Pre
اﻟﺸﻜﻞ 51-6ﺗﻌﻴﲔ ﻣﻨﺼﺔ ﺗﺸﻐﻴﻞ اﻟﺘﻄﺒﻴﻖ ﰲ ﻣﺴﺘﻌﺮض اﳌﺸﺮوع 334 ................ ................................ ................................
اﻟﺸﻜﻞ 52-6ﲢﻮﻳﻞ اﳌﺨﻄﻄﺎت اﻟﺮﺳﻮﻣﻴﺔ إﱃ ﻣﻠﻔﺎت ”336 . ................................ ................................ “Intermediate Files
اﻟﺸﻜﻞ 53-6واﺟﻬﺔ اﻟﺘﻄﺒﻴﻖ Xilinx Complier Serverواﻟﺘﻘﺮﻳﺮ اﻟﺘﻘﺪﻳﺮي ﻟﻠﻤﻮارد اﳌﺴﺘﺨﺪﻣﺔ 336 .................. ................................
اﻟﺸﻜﻞ 54-6واﺟﻬﺔ اﻟﺘﻄﺒﻴﻖ Xilinx Complier Serverواﻟﺘﻘﺮﻳﺮ اﻟﻨﻬﺎﺋﻲ 336 .... ................................ ................................
اﻟﺸﻜﻞ 55-6اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ اﻟﺮﺳﻮﻣﻲ ﻟﻠﺘﺠﺮﺑﺔ Lab1-Preﺑﻌﺪ اﺳﺘﺒﺪال اﳊﻠﻘﺔ 337 ............................. ................................
اﻟﺸﻜﻞ 56-6ﻣﺪﻳﺮ اﳌﺸﺮوع ﺑﻌﺪ إﺿﺎﻓﺔ ﻋﻨﺎﺻﺮ اﳌﻔﺎﺗﻴﺢ واﻟﺜﻨﺎﺋﻴﺎت 337 .............. ................................ ................................
اﻟﺸﻜﻞ 57-6اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ اﻟﺮﺳﻮﻣﻲ ﻟﻠﺘﺠﺮﺑﺔ 338 ............. ................................ ................................ Lab1-Main
اﻟﺸﻜﻞ 58-6اﳌﺨﻄﻂ اﳍﻴﻜﻠﻲ ﻟﻌﻨﺎﺻﺮ ﻣﻨﻈﻮﻣﺔ ﺗﺸﻐﻴﻞ اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ 340 .......... ................................ ................................
اﻟﺸﻜﻞ 59-6اﻟﱪﻧﺎﻣﺞ LogMeInوﻋﻨﻮان اﻻﺗﺼﺎل ﻣﻊ اﻟﺘﺠﺮﺑﺔ ﻋﻦ ﺑﻌﺪ 340 ........ ................................ ................................
اﻟﺸﻜﻞ 60-6اﳌﻨﺼﺔ واﻟﺘﺠﻬﻴﺰات اﳋﺎﺻﺔ ﺑﺘﺠﺮﺑﺔ اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ 341 ................ ................................ ................................
اﻟﺸﻜﻞ 61-6رﺑﻂ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ واﻟﻮﺣﺪات اﻹﺿﺎﻓﻴﺔ واﻟﺘﺠﻬﻴﺰات اﻷﺧﺮى 341 ....... ................................ ................................
اﻟﺸﻜﻞ 62-6اﻟﺪﺧﻮل إﱃ اﻟﺘﺠﺮﺑﺔ ﻣﻦ ﺟﻬﺎز ﺑﻌﻴﺪ وﺗﺸﻐﻴﻠﻬﺎ342 ................... ................................ .................................
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages XX
I اﶈﺘﻮى | Index
اﳉﺪول 3-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ ﻷداء اﻟﻄﻼب ﺧﻼل ﺟﻠﺴﺎت اﳌﺨﺘﱪ )285 ........................... ................................ (N=31/31
اﳉﺪول 4-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ ﻟﻨﺘﺎﺋﺞ اﻟﻄﻼب ﰲ اﻻﺧﺘﺒﺎر اﻟﻐﲑ ﻣﻌﻠﻦ )286 ........................... ................................ (N=31/31
اﳉﺪول 5-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ اﳌﻔﺼﻠﺔ ﻟﻨﺘﺎﺋﺞ اﻟﻄﻼب ﰲ اﻻﺧﺘﺒﺎر اﻟﻐﲑ ﻣﻌﻠﻦ )287 .................... ................................ (N=31/31
اﳉﺪول 6-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ اﳌﻔﺼﻠﺔ ﻟﻨﺘﺎﺋﺞ اﻟﻄﻼب ﰲ اﻻﺧﺘﺒﺎر اﳌﻌﻠﻦ )288 ........................ ................................ (N=31/31
اﳉﺪول 7-5ﻗﻴﻢ اﳌﺘﻮﺳﻄﺎت واﻻﳓﺮاف اﳌﻌﻴﺎري ﻟﻠﻔﺌﺎت اﳉﺰﺋﻴﺔ 289 .................. ................................ ................................
اﳉﺪول 8-5اﳉﺪوﻟﺔ اﻟﺰﻣﻨﻴﺔ وﺗﻮزع اﻟﻌﻼﻣﺎت ﻋﻠﻰ أﺳﺌﻠﺔ اﺧﺘﺒﺎر ﲢﺪﻳﺪ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن 290 .............................. ................................
اﳉﺪول 9-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ ﻟﻨﺘﺎﺋﺞ اﻟﻄﻼب ﰲ اﺧﺘﺒﺎر ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﺑﻌﺪ ﺳﻨﺔ 290 .............................. ................................
اﳉﺪول 10-5ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻄﺮق اﻟﺘﻘﻠﻴﺪﻳﺔ واﻻﺳﱰاﺗﻴﺠﻴﺎت اﳊﺪﻳﺜﺔ ﰲ اﻟﺘﻌﻠﻢ واﻟﺘﻌﻠﻴﻢ 293 ............................... ................................
اﳉﺪول 11-5ﻣﻘﺎرﻧﺔ ﺑﲔ ﻋﺪد ﻣﺸﺎرﻳﻊ اﻟﺘﺨﺮج اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ 294 ... ................................ ................................
اﳉﺪول 12-5ﳕﻮذج أﺳﺌﻠﺔ اﺳﺘﺒﻴﺎن VARKﻟﺘﺤﺪﻳﺪ ﳕﻂ اﻟﺘﻌﻠﻢ 300 ............... ................................ ................................
اﳉﺪول 13-5ﺟﺪول ﲢﺪﻳﺪ ﻗﻴﻢ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ اﻷرﺑﻌﺔ 300 ............... ................................ ................................ VARK
اﳉﺪول 14-5ﳕﻮذج اﺳﺘﺒﻴﺎن Kolbﻟﺘﺤﺪﻳﺪ ﳕﻂ اﻟﺘﻌﻠﻢ 302 ....................... ................................ ................................
اﳉﺪول 15-5ﺟﺪول ﲢﺪﻳﺪ ﻗﻴﻢ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ اﻷرﺑﻌﺔ اﻷﺳﺎﺳﻴﺔ ﰲ دورة 302 . ................................ ................................ Kolb
اﳉﺪول 16-5أﳕﺎط اﻟﺘﻌﻠﻢ اﻟﺴﺎﺋﺪة 303 .......... ................................ ................................ ................................
اﳉﺪول 17-5ﻧﻘﺎط اﻟﻘﻮة واﻟﻀﻌﻒ ﻷﳕﺎط اﻟﺘﻌﻠﻢ اﻟﺴﺎﺋﺪة 304 ...................... ................................ ................................
اﳉﺪول 18-5اﻟﺮﺑﻂ ﺑﲔ ﻣﺮاﺣﻞ دورة اﻟﺘﻌﻠﻢ ﻟـ Kolbوأﳕﺎط اﻟﺘﻌﻠﻢ ﻟـ VARKواﻟﻨﻤﻮذج اﳌﻘﱰح ﰲ ﻫﺬا اﻟﻔﺼﻞ 308 ........ ................................
اﳉﺪول 19-5اﻟﻌﻼﻗﺔ ﺑﲔ ﻣﻌﺪل اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت وﻣﺮاﺣﻞ دورة 309 ...... ................................ ................................ Kolb
اﳉﺪول 1-6ﳎﺎﻻت أزﻣﻨﺔ اﻟﺘﺄﺧﲑ ﻋﻨﺎﺻﺮ اﻟﺘﻮﻗﻴﺖ واﻟﺘﺄﺧﲑ اﻟﺰﻣﲏ 329 ............... ................................ ................................
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages XXII
اﻟﻔﺼﻞ اﻷول Chapter 1
@Ú™áæa@Ú‡ƒ„˛a
EMBEDDED SYSTEMS
ﻫـ ـ ــﺬا اﻟﻔﺼـ ـ ــﻞ ﻳﻘـ ـ ــﺪم ﺗﻌﺮﻳﻔ ـ ـ ـﺎً ﺑﺎﻷﻧﻈﻤـ ـ ــﺔ اﳌﺪﳎـ ـ ــﺔ ﻳﺸــ ـ ـﺮح ﻣﻮﺿـ ـ ــﻮﻋﺎﻬﺗﺎ اﳌﺘﻘﺪﻣـ ـ ــﺔ ،وﻣﺮاﺣ ـ ــﻞ وأﺳ ـ ــﺲ ﺗﺼ ـ ــﻤﻴﻤﻬﺎ .ﻳﺘﻄ ـ ــﺮق ﻫ ـ ــﺬا اﻟﻔﺼ ـ ــﻞ إﱃ دور
اﻷﻧﻈﻤ ـ ـ ـ ــﺔ اﳌﺪﳎ ـ ـ ـ ــﺔ ﰲ اﻟﺼ ـ ـ ـ ــﻨﺎﻋﺔ ،وﰲ اﻟﺘﻌﻠ ـ ـ ـ ــﻴﻢ اﳍﻨﺪﺳ ـ ـ ـ ــﻲ ،وﰲ اﻟﺘﻌﻠ ـ ـ ـ ــﻴﻢ اﻟﺘﺠـ ـ ـ ـ ـﺮﻳﱯ ﰲ اﳌﺨﺘـ ـ ـ ـﱪات اﳉﺎﻣﻌﻴ ـ ـ ــﺔ ،ﰒ ﻳﻨ ـ ـ ــﺎﻗﺶ ﲢ ـ ـ ــﺪﻳﺎت اﻟﺘﻌﻠ ـ ـ ــﻴﻢ
اﳉ ـ ـ ــﺎﻣﻌﻲ اﳍﻨﺪﺳـ ـ ـ ــﻲ ﻟﻸﻧﻈﻤـ ـ ـ ــﺔ اﳌﺪﳎ ـ ـ ــﺔ ،وأﳘﻴـ ـ ـ ــﺔ ﺗﻄـ ـ ـ ــﻮﻳﺮ ﻣﻨ ـ ـ ــﺎﻫﺞ ﺗﻌﻠﻴﻤﻴّـ ـ ـ ـﺔ ﻣﺘﺠـ ـ ـ ــﺪدة .ﻳﻨﺘﻘـ ـ ــﻞ ﻫـ ـ ــﺬا اﻟﻔﺼـ ـ ــﻞ ﰲ ﻗﺴـ ـ ــﻤﻪ اﻟﺜـ ـ ــﺎﱐ إﱃ ﺗﻔﺼـ ـ ــﻴﻞ
ﻣﻘﺘﻀـ ـ ــﺐ ﻟﻔـ ـ ــﺮوع اﻷﻧﻈﻤـ ـ ــﺔ اﳌﺪﳎـ ـ ــﺔ ﺗﻄﺒﻴﻘﺎﻬﺗـ ـ ــﺎ ،واﳊﻠـ ـ ــﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴـ ـ ــﺔ اﳌﺴـ ـ ــﺘﺨﺪﻣﺔ ﰲ ﺗﺼ ـ ــﻤﻴﻤﻬﺎ .ﳜ ـ ــﺘﻢ ﻫ ـ ــﺬا اﻟﻔﺼ ـ ــﻞ ﺟﻮﻟﺘ ـ ــﻪ ﰲ ﻣﻨﺎﻗﺸ ـ ــﺔ
ﺣﻘـ ـ ــﺎﺋﻖ اﻗﺘﺼـ ـ ــﺎدﻳﺔ ﺣـ ـ ــﻮل اﳊﻠـ ـ ــﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴـ ـ ــﺔ اﳌﺴـ ـ ــﺘﻘﺒﻠﻴﺔ ﻟﻸﻧﻈﻤـ ـ ــﺔ اﳌﺪﳎـ ـ ــﺔ ،وﲢـ ـ ــﺪﻳﺎت اﻟﺴ ـ ــﻮق اﻟﺘﺠﺎرﻳ ـ ــﺔ اﻟﻌﺎﳌﻴ ـ ــﺔ ،وﻣ ـ ــﻦ ﻧﺘﻴﺠ ـ ــﺔ اﳌﻨﺎﻗﺸ ـ ــﺔ
اﳋﺘﺎﻣﻴﺔ ﻧُﻌﻨ ِﻮن أﺣﺪ أﻫﻢ ﻓﺮوع اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،واﻟﺬي ﻫﻮ ﳏﻮر اﻫﺘﻤﺎم ﻫﺬا اﻟﺒﺤﺚ ،وﺳﻨﻔﺼﻠﻪ ﻓﻴﻤﺎ ﻳﺄﰐ.
ﰲ ﻋﺎم 1969ﻃﻠﺒﺖ ﺷﺮﻛﺔ Busicomاﻟﻴﺎﺑﺎﻧﻴﺔ ﻣﻦ ﺷﺮﻛﺔ Intelﺗﺼﻨﻴﻊ ﳎﻤﻮﻋﺔ دارات ﺗﻜﺎﻣﻠﻴﺔ ﺧﺎﺻﺔ ﻹﺣﺪى ﻻﻬﺗﺎ اﳊﺎﺳﺒﺔ اﳉﺪﻳﺪة.
ﰲ ﻋﺎم 1971ﻛﺎﻧﺖ اﺳﺘﺠﺎﺑﺔ ﺷﺮﻛﺔ Intelﺑﺘﺼﻨﻴﻊ اﳌﻌﺎﰿ 4004واﻟﺬي ﻫﻮ أول رﻗﺎﻗﺔ ﻣﻌﺎﰿ ﻳﺴﺘﺨﺪم ﺷﺮﳛﺔ واﺣﺪة )،(Single Chip
اض ٍ
ﻋﺎﻣﺔ ﳝﻜﻦ أن ﻳﺴﺘﺨﺪم ﰲ أي ﳕﻮذج ﻣﻦ وﺑﺎﻟﺘﺎﱄ ﺑﺪﻻً ﻣﻦ ﺗﺼﻤﻴﻢ ﻧﻈﺎم ﻟﻜﻞ ﳕﻮذج آﻟﺔ ﺣﺎﺳﺒﺔ ﺟﺪﻳﺪ ،اﻗﱰﺣﺖ Intelﻣﻌﺎﳉﺎً ذا أﻏﺮ ٍ
اﻵﻻت اﳊﺎﺳﺒﺔ.
اﳌﻌﺎﰿ 4004ﺻﻤﻢ ﻟﻴﻨﻔﺬ ﳎﻤﻮﻋﺔ ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ اﳌﺨﺰﻧﺔ ﰲ ﺷﺮﳛﺔ ذاﻛﺮة ﺧﺎرﺟﻴﺔ ،وﺑﺎﻟﺘﺎﱄ ﻳﻜﻔﻲ ﺗﻐﻴﲑ ﺑﺮﻧﺎﻣﺞ اﻟﺬاﻛﺮة اﳋﺎرﺟﻴﺔ
ﻴﺘﻨﺎﺳﺐ ﻣﻊ ﳕﻮذج اﻵﻟﺔ اﳊﺎﺳﺒﺔ وﻣﻴﺰاﻬﺗﺎ .ﻫﺬا اﳌﻌﺎﰿ ﻟﻘﻲ ﳒﺎﺣﺎً ﺑﺎﻫﺮاً ،واﺳﺘﺨﺪم ﻋﻠﻰ أﺻﻌﺪة ﻋﺪة ﻟﻌﻘﺪ ﻣﻦ اﻟﺰﻣﻦ ،ﺣﻴﺚ -وﻟﻠﻤﺮة اﻷوﱃ
-أﺻﺒﺢ ﻣﻦ اﳌﻤﻜﻦ ﺑﻨﺎء ﻧﻈﺎم ﻣﻌﻘﺪ ﻧﺴﺒﻴﺎً ﺑﺎﺳﺘﺨﺪام ﺷﺮﳛﺔ واﺣﺪة.
ﰲ اﻟﺜﻤﺎﻧﻴﻨﻴﺎت واﻟﺘﺴﻌﻴﻨﻴﺎت ﻛﺎﻧﺖ ﺑﺪاﻳﺔ ﻋﺼﺮ اﻧﺘﺸﺎر اﳌﻌﺎﳉﺎت اﻟﺪﻗﻴﻘﺔ ) ،(Microprocessorsﺣﻴﺚ اﻧﺘﺸﺮ اﺳﺘﺨﺪام اﳌﻌﺎﳉﺎت
واﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﰲ ﻣﻌﻈﻢ اﻟﺘﻄﺒﻴﻘﺎت واﻷﺟﻬﺰة اﻹﻟﻜﱰوﻧﻴﺔ اﳌﻮﺟﻮدة ﰲ ﺣﻴﺎﺗﻨﺎ اﻟﻴﻮﻣﻴﺔ – ﰲ اﳌﻄﺒﺦ )اﳌﻴﻜﺮووﻳﻒ ،آﻟﺔ ﲢﻀﲑ اﻟﻘﻬﻮة،(..
ﰲ ﻏﺮﻓﺔ اﳌﻌﻴﺸﺔ )أﺟﻬﺰة اﻟﻌﺮض واﻟﺘﺤﻜﻢ واﻟﺼﻮت واﻟﺘﻜﻴﻴﻒ ،(..ﰲ اﳌﻜﺘﺐ )اﳍﺎﺗﻒ ،اﻟﻔﺎﻛﺲ ،اﻟﻄﺎﺑﻌﺔ ،آﻟﺔ ﻋﺪ اﻟﻨﻘﻮد ،(..وﲨﻴﻊ ﻫﺬﻩ
اﻟﺘﻄﺒﻴﻘﺎت ﻫﻲ أﻧﻈﻤﺔ ﻣﺪﳎﺔ ).(ESs
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
وﺳﻊ آﻓﺎﻗﺎً ﺟﺪﻳﺪة ذات إﻣﻜﺎﻧﻴﺎت واﻋﺪة ﰲ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ واﻟﱵ ﻣﻨﻬﺎ :أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﻋﻦ ﺑﻌﺪ
اﻟﻌﻘﺪ اﻷﺧﲑ ﺷﻬﺪ ﺗﻄﻮراً ﻛﺒﲑاً ّ
واﻟﱵ ﺗﺴﺘﺨﺪم ﰲ اﳌﻨﺎزل اﻟﺬﻛﻴﺔ ،أﻧﻈﻤﺔ اﻷﻛﻴﺎس اﳍﻮاﺋﻴﺔ اﻟﺬﻛﻴﺔ ﰲ اﻟﺴﻴﺎرات ،أﺟﻬﺰة اﳌﺮاﻗﺒﺔ اﻟﻄﺒﻴﺔ اﻟﺬﻛﻴﺔ اﻟﱵ ﺗُـ ْﻌﻠﻢ اﻟﻄﺒﻴﺐ ﺑﺎﳊﺎﻟﺔ
اﻟﻔﻴﺰﻳﻮﻟﻮﺟﻴﺔ واﳌﺴﺘﻮﻳﺎت اﳊﺮﺟﺔ ﻟﻠﻤﺮﻳﺾ ،أﻧﻈﻤﺔ اﳌﻼﺣﺔ واﻟﺘﻮﺟﻴﻪ ﰲ اﻟﺴﻴﺎرات.
اﻟﻴﻮم ،ﻳﺴﺘﺨﺪم أﻛﺜﺮ ﻣﻦ 6-Bilionﻣﻌﺎﰿ/ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ﰲ ﻛﻞ ﻋﺎم ﰲ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،ﰲ ﺣﲔ أن 2%ﻓﻘﻂ ﻣﻦ ﻫﺬﻩ
اﳌﻌﺎﳉﺎت ﺗﺴﺘﺨﺪم ﰲ اﳊﻮاﺳﺐ اﻟﺸﺨﺼﻴﺔ واﶈﻤﻮﻟﺔ ،وﺗﺸﲑ اﻹﺣﺼﺎءات إﱃ أن ﻋﺪد اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻳﺰداد ﺑﺸﻜﻞ ﻣﺘﺴﺎرع ،وأن اﻟﻄﻠﺐ
ﻣﺘﺰاﻳﺪ ﻋﻠﻰ اﳌﻬﻨﺪﺳﲔ اﻟﻠﺬﻳﻦ ﳝﺘﻠﻜﻮن ﻣﻬﺎرات ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﳌﺴﺘﻘﺒﻠﻴﺔ.
إن ﻣﺼﻄﻠﺢ اﻟـ“ ”Embedded systemﻫﻮ أﺣﺪ اﳌﺼﻄﻠﺤﺎت اﻟﺸﺎﻣﻠﺔ اﻟﱵ ﻻ ﺗﻌﱪ ﺑﺎﻟﻀﺮورة ﻋﻦ ﻣﻌﲎ ﳏﺪد ﻟﺘﻮﺻﻴﻔﻬﺎ ،ﻓﻬﻲ ﺗﻐﻄﻲ ﻃﻴﻔﺎً
واﺳﻌﺎً ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت واﻷﻧﻈﻤﺔ ،ﻧﺬﻛﺮ ﻣﻨﻬﺎ :اﻷﺟﻬﺰة اﳋﻠﻮﻳﺔ ،أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﺑﺎﻟﺴﻜﻚ اﳊﺪﻳﺪﻳﺔ ،أﻧﻈﻤﺔ اﻟﺘﻮﺟﻴﻪ واﳌﺮاﻗﺒﺔ اﻟﻌﺴﻜﺮﻳﺔ،
اﻟﺘﺠﻬﻴﺰات اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ اﳌﻨﺰﻟﻴﺔ واﳌﻜﺘﺒﻴﺔ...
ﺗﻌﺮف اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ أ�ﺎ :ﻧﻈﺎم ﳐﺼﺺ ﻷداء وﻇﻴﻔﺔ ﳏﺪدة ﳛﻮي ﻋﻠﻰ ﻛﻴﺎن ﺻﻠﺐ ) (HWوﺑﺮﳎﻴﺔ ﺧﺎﺻﺔ – ﺑﺮﻧﺎﻣﺞ ﻋﻤﻞ اﳌﻌﺎﰿ
ّ
– ) (SWإﺿﺎﻓﺔ إﱃ أﺟﺰاء أﺧﺮى )ﻣﻴﻜﺎﻧﻴﻜﻴﺔ ،إﻟﻜﱰوﻧﻴﺔ(.
ﻏﺎﻟﺒﺎً ﺗﻌﺘﱪ اﻟﻌﻨﺎﺻﺮ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ اﻟﻘﻠﺐ اﻟﻨﺎﺑﺾ ﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،ﻣﺜﻞ :اﳌﺘﺤ ﱢﻜﻢ اﳌﺼﻐﺮ ) ،(MCUاﳌﻌﺎﰿ اﳌﺼﻐﺮ )،(MPU
اﳌﺼﻔﻮﻓﺎت اﳊﻘﻠﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) .(FPGAsﻋﺸﺮات اﳌﻼﻳﲔ ﻣﻦ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﺗﺴﺘﺨﺪم ﻳﻮﻣﻴﺎً ﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﱵ ﺗﻐﻄﻲ ﻣﻌﻈﻢ
اﻟﺘﻄﺒﻴﻘﺎت اﶈﻴﻄﺔ ﺑﻨﺎ ،وﺗﺴﺎﻫﻢ ﰲ ﲢﻀﲑ ﻃﻌﺎﻣﻨﺎ دون أن ﻧﻨﺘﺒﻪ إﱃ ذﻟﻚ.
ﻋﻠﻰ ﳓﻮ ﺧﺎص ﻓﺈن اﻟﻨﻈﺎم اﳌﺪﻣﺞ ﻳﺸﻜﻞ ﺟﺰءاً أو ﻋﻨﺼﺮاً ﻣﻦ ﻧﻈﺎم أﻛﱪ] ،[5ﻣﺜﺎﻟﻪ :اﻟﺴﻴﺎرات واﳊﺎﻓﻼت اﳊﺪﻳﺜﺔ اﻟﱵ ﲢﻮي ﻋﻠﻰ اﻟﻌﺪﻳﺪ ﻣﻦ
وﺣﺪات اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ واﻟﱵ ﻣﻨﻬﺎ :ﻧﻈﺎم ﻣﺪﻣﺞ ﻣﺴﺆول ﻋﻦ ﻣﻨﻊ اﻻﻧﺰﻻق ﻋﻨﺪ اﻟﻜﺒﺢ ) ،(ABSﻧﻈﺎم ﻣﺪﻣﺞ ﻣﺴﺆول ﻋﻦ ﻟﻮﺣﺔ اﻟﻌﺪادات
) ،(Dashboardﻧﻈﺎم آﺧﺮ ﻣﺴﺆول ﻋﻦ اﻟﺘﻮﺟﻴﻪ اﳌﻼﺣﻲ ) ...(GPSﺣﱴ أﻧﻪ ﰲ ﺑﻌﺾ اﻟﺴﻴﺎرات اﻟﻔﺎﺧﺮة )ﻣﺜﻞ (PMW :وﺻﻞ ﻋﺪد
اﳌﻌﺎﳉﺎت إﱃ أﻛﺜﺮ ﻣﻦ 100ﻣﻌﺎﰿ ﻳﻮﺻﻞ ﻣﻦ ﺧﻼﳍﺎ أﻛﺜﺮ ﻣﻦ 3000ﺣﺴﺎس ،ﻣﺮﺗﺒﻄﺔ ﻋﱪ ﺷﺒﻜﺔ .CAN
رﻏﻢ ﻃﻴﻒ اﻟﺘﻄﺒﻴﻘﺎت اﻟﻮاﺳﻊ ﺟﺪاً ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ،إﻻ أن ﳍﺎ ﻣﻴﺰات ﻣﺸﱰﻛﺔ ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ ،وﻫﻲ أ�ﺎ ﺗﺘﻔﺎﻋﻞ ﻣﻊ اﻟﻌﺎﱂ اﳋﺎرﺟﻲ ،وﺗﺘﺤﻜﻢ
ﺑﺎﻷﺟﻬﺰة اﳌﺮﺗﺒﻄﺔ .اﻟﺸﻜﻞ 1-1ﻳﺒﲔ ﳐﻄﻄﺎً ﺻﻨﺪوﻗﻴﺎً ﻋﺎﻣﺎً ﻟﻠﻤﻜﻮﻧﺎت اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﺗﺸﱰك ﻓﻴﻬﺎ ﲨﻴﻊ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ .إن ﻋﻤﻠﻴﺔ اﻟﺘﺨﺎﻃﺐ
ﺑﲔ اﻟﻨﻈﺎم اﳌﺪﻣﺞ واﻟﻌﺎﱂ اﳋﺎرﺟﻲ ،ﻫﻲ ﻣﻦ ﺧﻼل ﻗﺮاءة إﺷﺎرات اﳊﺴﺎﺳﺎت اﳌﻮﺻﻮﻟﺔ إﱃ أﻗﻄﺎب اﻟﺪﺧﻞ ،وﻣﻦ ﰒ ﺗﻘﻮم وﺣﺪة اﳌﻌﺎﳉﺔ
اﳌﺮﻛﺰﻳﺔ ) (Processing Unitﺑﺎﺳﺘﺨﺪام اﻟﺬاﻛﺮة RAMﲟﻌﺎﳉﺘﻬﺎ ﺑﻌﺪ ﲢﻮﻳﻠﻬﺎ إﱃ إﺷﺎرات رﻗﻤﻴﺔ ﻋﻦ ﻃﺮﻳﻖ وﺣﺪة اﻟﺘﺒﺪﻳﻞ .ADCﻳﺘﻢ
إﺻﺪار ﻧﺘﺎﺋﺞ اﳌﻌﺎﳉﺔ ﻛﺈﺷﺎرات ﲢﻜﻢ رﻗﻤﻴﺔ ﻋﻠﻰ أﻗﻄﺎب اﳋﺮج اﻟﺮﻗﻤﻴﺔ ،و إﺷﺎرات ﲢﻜﻢ ﺗﺸﺎﻬﺑﻴﺔ ﻋﻦ ﻃﺮﻳﻖ وﺣﺪة اﻟﺘﺒﺪﻳﻞ .DACﰒ ﻳﺘﻢ
ﺗﺮﲨﺔ ) (Compileﺑﺮﻧﺎﻣﺞ اﻟﻨﻈﺎم اﳌﺪﻣﺞ ) (ES.SWﻣﻦ أﺟﻞ ﻣﻌﺎﰿ ﳏﺪد ،وﻳﺘﻢ ﲣﺰﻳﻦ اﻟﱪﻧﺎﻣﺞ ﰲ ذاﻛﺮة داﺋﻤﺔ ) (NVMﺗﺪﻋﻰ
ﺑﺎﻟﺬاﻛﺮة .ROM
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 2
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﺗﺘﻤﻴﺰ اﳊﻮاﺳﺐ اﻟﻌﺎﻣﺔ ) (PC: Personal Computersﺑﺄ�ﺎ ﲤﺘﻠﻚ ذاﻛﺮة ﻛﺒﲑة ﲢﻮي ﻋﻠﻰ ﻧﻈﺎم اﻟﺘﺸﻐﻴﻞ واﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ واﻟﺒﻴﺎﻧﺎت،
ﺑﺎﻹﺿﺎﻓﺔ إﱃ إﻣﻜﺎﻧﻴﺔ وﺻﻞ وﺣﺪات ﲣﺰﻳﻦ ذات ﺳﻌﺔ ﻛﺒﲑة ﻣﺜﻞ :اﻷﻗﺮاص اﻟﺼﻠﺒﺔ واﻟﺮﻗﻤﻴﺔ .ﻛﺬﻟﻚ ﲤﺘﺎز ﺑﺄ�ﺎ ﲤﺘﻠﻚ ﳎﻤﻮﻋﺔ ﻣﺘﻨﻮﻋﺔ ﻣﻦ
أﺟﻬﺰة اﻹدﺧﺎل )ﻟﻮﺣﺔ اﳌﻔﺎﺗﻴﺢ ،اﻟﻔﺄرة ،ﻣﺪﺧﻞ ﺻﻮﰐ( واﻹﺧﺮاج )اﻟﺸﺎﺷﺔ ،ﳐﺮج ﺻﻮﰐ( إﺿﺎﻓﺔ إﱃ وﺣﺪات اﺗﺼﺎل ﳏﻴﻄﻴﺔ )اﻟﻄﺎﺑﻌﺔ،
اﻟﻔﺎﻛﺲ ،اﻟﺸﺒﻜﺔ ،اﳌﺎﺳﺢ اﻟﻀﻮﺋﻲ .(... ،إن وﺟﻮد ﻫﺬﻩ اﳌﻴﺰات ﻳﺘﻄﻠﺐ وﺟﻮد ﻣﻌﺎﰿ ذي أداء ٍ
ﻋﺎل وﺳﺮﻋﺔ ﻛﺒﲑة ،واﻟﺬي ﻳﻨﺘﺞ ﻋﻨﻪ
اﺳﺘﻬﻼك ﻛﺒﲑ ﻟﻠﺘﻐﺬﻳﺔ ،ﻛﻤﺎ أن ﺣﺠﻢ اﻟﻨﻈﺎم ﺳﻴﻜﻮن ﻛﺒﲑاً ﺟﺪاً وﺳﻌﺮﻩ ﻣﺮﺗﻔﻊ ﺟﺪاً .اﻟﺸﻜﻞ 2-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﺤﻮاﺳﺐ اﻟﻌﺎﻣﺔ
).(PC
ﻋﻠﻰ ﺧﻼف اﳊﻮاﺳﺐ اﻟﻌﺎﻣﺔ ،ﻓﺈن اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﺴﺘﺨﺪم اﳌﺘﺤﻜﻤﺎت أو اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ،واﻟﱵ ﲤﺘﺎز ﺑﺄن وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﺳﻮف
ﺗﻜﻮن ﻣﺪﳎﺔ ﻣﻊ ﲨﻴﻊ اﶈﻴﻄﻴﺎت واﻟﺬواﻛﺮ ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة .ﻳﺒﲔ اﻟﺸﻜﻞ 3-1اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ اﻟﻌﺎم ﻟﺒﻨﻴﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ.
3 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﲤﺘﻠﻚ ﻣﻌﻈﻢ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة اﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ اﻟﺮﺋﻴﺴﻴﺔ اﻟﺘﺎﻟﻴﺔ :وﺣﺪة ﻣﻌﺎﳉﺔ ﻣﺮﻛﺰﻳﺔ ) ،(CPUذاﻛﺮة ﺑﺮﻧﺎﻣﺞ ) ،(ROMذاﻛﺮة
ﻣﻌﻄﻴﺎت داﺋﻤﺔ ) ،(EPROMذاﻛﺮة ﻋﺸﻮاﺋﻴﺔ ) ،(RAMأﻗﻄﺎب اﻟﺪﺧﻞ واﳋﺮج ) ،(I/Oوﺣﺪات اﻟﺘﻮﻗﻴﺖ واﻟﻌﺪ ) ،(T/Cوﺣﺪات
اﺗﺼﺎل ﺗﺴﻠﺴﻠﻲ ) .(I2C, SPI, UARTﻛﻤﺎ أن ﺑﻌﺾ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة اﳌﺘﻘﺪﻣﺔ ﲤﺘﻠﻚ ﻧﻮاﻓﺬ اﺗﺼﺎل ﺗﺴﻠﺴﻠﻲ ﻋﺎﻟﻴﺔ اﻟﺴﺮﻋﺔ ﻣﺜﻞ:
.CAN, USB, Ethernetاﳉﺪول 1-1ﻳﺒﲔ ﺑﻌﺾ أوﺟﻪ اﻻﺧﺘﻼف اﻟﻌﺎﻣﺔ ﺑﲔ ﺧﺼﺎﺋﺺ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ واﳊﻮاﺳﺐ اﻟﺸﺨﺼﻴﺔ.
ﻻ ﻳﺸﱰط ﻋﻤﻠﻬﺎ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ. ﻳﻮﺟﺪ ﻗﻴﻮد ﻟﺸﺮوط اﻟﻌﻤﻞ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ).(RTS
أﻧﻈﻤﺔ اﻟﺘﺸﻐﻴﻞ ﻻ ﺗﻌﻤﻞ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ. أﻧﻈﻤﺔ اﻟﺘﺸﻐﻴﻞ ﺗﻌﻤﻞ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ).(RTOS
ﻓﺸﻞ اﻟﻨﻈﺎم ﻻ ﻳﺸﻜﻞ ﺧﻄﺮاً. إن ﻧﺘﺎﺋﺞ ﻓﺸﻞ اﻟﻨﻈﺎم ﺧﻄﲑة ﺟﺪاً وﳝﻜﻦ أن ﺗﻜﻮن ﻗﺎﺗﻠﺔً.
ﻻ ﻳﻮﺟﺪ ﻗﻴﻮد ﺣﻮل اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ. ﻳﻮﺟﺪ ﻗﻴﻮد ﻻﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ.
ﻏﺎﻟﺒﺎً ﺗﻮﺟﺪ ﰲ ﻇﺮوف اﻟﻌﻤﻞ اﻟﻄﺒﻴﻌﻲ. ﳚﺐ أن ﺗﻌﻤﻞ ﰲ ﻇﺮوف ﺑﻴﺌﻴﺔ ﻗﺎﺳﻴﺔ أﺣﻴﺎﻧﺎً.
ﻣﺼﺎدر اﻟﻨﻈﺎم ﻻ�ﺎﺋﻴﺔ ).(...،PCI, ISA, AGP, LPT ﻣﺼﺎدر اﻟﻨﻈﺎم ﳏﺪودة.
ﻳﺘﻢ ﲣﺰﻳﻦ ﻧﻈﺎم اﻟﺘﺸﻐﻴﻞ واﻟﱪاﻣﺞ اﳋﺪﻣﻴﺔ ﰲ .HDD ﻳﺘﻢ ﲣﺰﻳﻦ ﻛﺎﻣﻞ ﺑﺮﻧﺎﻣﺞ اﳌﻌﺎﰿ ﰲ ذاﻛﺮة .ROM
اﻷدوات اﳌﺴﺘﺨﺪﻣﺔ ﻋﺎﻣﺔ. ﺗﺘﻄﻠﺐ أدوات وﻃﺮﻗﺎً ﺧﺎﺻﺔ ﻟﻴﺘﻢ ﺗﺼﻤﻴﻤﻬﺎ ﺑﻜﻔﺎءة.
ﻻ ﲤﻠﻚ أي دارات ذات وﻇﺎﺋﻒ ﺗﺘﺒﻊ اﻷﺧﻄﺎء. ﻣﺰودة ﺑﺪارات Debuggerﳐﺼﺼﺔ ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 4
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﻌﻮاﻣﻞ اﻟﻤﺆﺛﺮة ﻓﻲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﻤﺪﻣﺠﺔ ):(Requirements Affect in ESs Design 4-1
ﻋﻨﺪ ﺗﺼﻤﻴﻢ أي ﻧﻈﺎم ﻣﺪﻣﺞ ﻓﺈﻧﻪ ﳚﺐ ﻣﺮاﻋﺎة ﳎﻤﻮﻋﺔ ﻣﻦ اﳌﺘﻄﻠﺒﺎت واﻻﻋﺘﺒﺎرات ﻳﺘﻢ ﲢﺪﻳﺪﻫﺎ ﰲ اﻟﺪرﺟﺔ اﻷوﱃ وﻓﻘﺎً ﻟﻌﺎﻣﻞ اﻟﻜﻠﻔﺔ
اﳌﻄﻠﻮب ،ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :إذا ﺗﺘﻄﻠﺐ إﻧﺘﺎج ﻧﻈﺎم ﲢﻜﻢ ﻣﺪﻣﺞ ﺑﻜﻠﻔﺔ ﻻ ﺗﺘﺠﺎوز 1000ﻟﲑة ﺳﻮرﻳﺔ؛ ﻓﺈﻧﻪ رﲟﺎ ﻣﻦ اﻟﻀﺮوري اﻻﺳﺘﻐﻨﺎء ﻋﻦ
ﺑﻌﺾ اﳌﻴﺰات اﻟﻜﻤﺎﻟﻴﺔ ﻟﻠﻮﺻﻮل إﱃ اﻟﻜﻠﻔﺔ اﳌﻄﻠﻮﺑﺔ.
.2ﻋﺮض اﻟﻨﺎﻗﻞ اﻟﺪاﺧﻠﻲ ) :(Data-Busوﻫﻲ ﻋﺮض ﻧﺎﻗﻞ اﻟﺒﻴﺎﻧﺎت ﺑﲔ وﺣﺪة اﳌﻌﺎﳉﺔ واﻟﺬاﻛﺮة ،وﻳﱰاوح ﻋﺮض اﻟﻨﺎﻗﻞ ﻣﻦ 4-bit
.3ﺣﺠﻢ اﻟﺬاﻛﺮة ) :(Memory Spaceوﻫﻲ اﳌﺴﺎﺣﺔ اﳌﻄﻠﻮﺑﺔ ﻟﺘﺨﺰﻳﻦ ﺑﺮﻧﺎﻣﺞ ﺗﻨﻔﻴﺬ اﻟﺘﻌﻠﻴﻤﺎت ) (ROMواﻟﺒﻴﺎﻧﺎت )اﳌﻌﻄﻴﺎت(
اﻟﱵ ﻳﺘﻢ ﻣﻌﺎﳉﺘﻬﺎ آﻧﻴﺎً ) .(RAMﻋﻤﻮﻣﺎً ،ﻓﺈن ﻣﺴﺎﺣﺔ اﻟﺬاﻛﺮة اﳌﻄﻠﻮﺑﺔ ﺗﺘﻌﻠﻖ ﺑﺎﳌﻌﺎﰿ اﳌﺴﺘﺨﺪم واﳌﻴﺰات اﶈﻴﻄﻴﺔ اﳌﱰاﻓﻘﺔ ﻣﻌﻪ
وﺣﺠﻢ اﻟﱪﻧﺎﻣﺞ.
.4اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ ) :(Power Consumptionﻫﻲ ﻣﻦ أﻫﻢ اﻻﻋﺘﺒﺎرات ﺧﺼﻮﺻﺎً ﰲ اﻷﺟﻬﺰة اﻟﻨﻘﺎﻟﺔ اﻟﱵ ﺗﻌﻤﻞ ﻋﻠﻰ
اﳌﺪﺧﺮات ،وﺗﺴﺘﻌﻤﻞ وﺣﺪة اﻟﻘﻴﺎس mW/MIPSﻟﺘﺤﺪﻳﺪ ﻛﻤﻴﺔ اﻟﻄﺎﻗﺔ اﳌﻄﻠﻮﺑﺔ ﺗﺒﻌﺎً ﻟﺴﻌﺔ اﳌﻌﺎﳉﺔ ،ﺣﻴﺚ أﻧﻪ ﺑﺎزدﻳﺎد ﺳﻌﺔ
اﳌﻌﺎﳉﺔ ﺗﺰداد ﻛﻤﻴﺔ اﻟﻄﺎﻗﺔ اﳌﻄﻠﻮﺑﺔ ﻟﻌﻤﻞ اﳌﻌﺎﰿ .ﻋﻤﻠﻴﺎً ،ﻓﺈن اﻷﻧﻈﻤﺔ اﻟﱵ ﺗﺴﺘﻬﻠﻚ ﻃﺎﻗﺔ ﻣﻨﺨﻔﻀﺔ ﺗﺘﻤﻴﺰ ﲞﺼﺎﺋﺺ ﻣﺮﻏﻮﺑﺔ ﺟﺪاً
ﻣﺜﻞ :ﺣﺮارة أﻗﻞ ،وزن أﻗﻞ ،ﺣﺠﻢ أﺻﻐﺮ ،ﺗﺼﻤﻴﻢ ﻣﻴﻜﺎﻧﻴﻜﻲ أﺑﺴﻂ .ﻟﺬﻟﻚ ﺗﺴﺘﺨﺪم اﳌﻌﺎﳉﺎت ﻣﺘﻌﺪدة اﻟﻨﻮى ) Multi-core
(Processorsﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﱵ ﺗﺘﻄﻠﺐ ﻧﻈﺎﻣﺎً ﻣﻨﺨﻔﺾ اﻻﺳﺘﻬﻼك واﳊﺠﻢ وذا ﺳﻌﺔ ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ.
.5ﻛﻠﻔﺔ اﻟﺘﻄﻮﻳﺮ ) :(Development Costﻫﻲ ﻛﻠﻔﺔ ﺗﺼﻤﻴﻢ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) (ES.HWواﻟﱪﳎﻴﺎت اﳌﱰاﻓﻘﺔ )،(ES.SW
وﺗﻌﺮف أﻳﻀﺎً ﺑﺎﳌﺼﻄﻠﺢ ،(Non-Recurring Engineering) NREوﻫﻲ ﻛﻠﻔﺔ ﺛﺎﺑﺘﺔ ﺗﺪﻓﻊ ﳌﺮة واﺣﺪة ﻓﻘﻂ أﺛﻨﺎء ﻣﺮﺣﻠﺔ
ﺗﺼﻤﻴﻢ اﻟﻨﻈﺎم -ﻫﺬﻩ اﻟﻜﻠﻔﺔ ﻳﺘﻢ ﺗﻮزﻳﻌﻬﺎ ﻋﻠﻰ ﻋﺪد ﻗﻄﻊ اﻹﻧﺘﺎج.
.6ﻛﻤﻴﺔ اﻹﻧﺘﺎج ) :(Number of Unitsإن اﳌﻮازﻧﺔ ﺑﲔ ﻛﻠﻔﺔ اﻹﻧﺘﺎج وﻛﻠﻔﺔ اﻟﺘﻄﻮﻳﺮ ﺗﺘﻌﻠﻖ ﻣﺒﺎﺷﺮة ﺑﻜﻤﻴﺔ اﻹﻧﺘﺎج اﳌﻄﻠﻮﺑﺔ ،إذ ﻳﺘﻢ
ﺗﻮزﻳﻊ اﻟﻜﻠﻔﺔ اﻟﺜﺎﺑﺘﺔ ﻋﻠﻰ ﻋﺪد اﻟﻌﻨﺎﺻﺮ اﳌﻄﻠﻮﺑﺔ .أﻣﺎ ﻣﻦ أﺟﻞ ﺗﺼﻤﻴﻢ ذي ﻛﻤﻴﺔ ﳏﺪودة ﻣﻦ اﻟﻘﻄﻊ؛ ﻓﺈن ﻛﻠﻔﺔ اﻟﺘﻄﻮﻳﺮ ﳌﺜﻞ ﻫﺬا
اﻟﻨﻈﺎم ﺳﺘﻜﻮن ﻛﺒﲑة ﺟﺪاً.
.7ﺣﻴﺎة اﳌﻨﺘﺞ ) :(Lifetimeوﻫﻮ اﻟﻌﻤﺮ اﻻﻓﱰاﺿﻲ اﳌﺘﻮﻗﻊ ﻟﺒﻘﺎء اﳌﻨﺘﺞ ﰲ اﻻﺳﺘﺨﺪام اﻟﻔﻌﺎل .إن ﻫﺬا اﻻﻋﺘﺒﺎر ﻳﺆﺛﺮ ﻣﺒﺎﺷﺮة ﰲ ﲨﻴﻊ
ﻗﺮارات اﻟﺘﺼﻤﻴﻢ اﻧﻄﻼﻗﺎً ﻣﻦ اﺧﺘﻴﺎر ﻋﻨﺎﺻﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ وﺻﻮﻻً إﱃ ﻛﻠﻔﺔ اﻟﺘﻄﻮﻳﺮ.
.8اﻟﻮﺛﻮﻗﻴﺔ ) :(Reliabilityوﻫﻲ ﻣﻘﺪرة اﻟﻨﻈﺎم ﻋﻠﻰ اﻻﺳﺘﺠﺎﺑﺔ ﰲ ﳐﺘﻠﻒ اﻟﻈﺮوف ،وﺗﺘﻨﺎﺳﺐ اﻟﻮﺛﻮﻗﻴﺔ ﻃﺮداً ﻣﻊ ﻛﻠﻔﺔ اﻟﻨﻈﺎم.
5 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
إﺿﺎﻓﺔً إﱃ ﻫﺬﻩ اﳌﺘﻄﻠﺒﺎت اﻷﺳﺎﺳﻴﺔ اﻟﺜﻤﺎﻧﻴﺔ ،ﻓﺈن ﻟﻜﻞ ﻧﻈﺎم ﻣﺪﻣﺞ ﻣﺘﻄﻠﺒﺎت وﻇﻴﻔﻴﺔ أﺧﺮى ﺎﺻﺔ ﺗﺘﻌﻠﻖ ﻬﺑﻮﻳﺔ اﻟﻨﻈﺎم وﺗﻮﻇﻴﻔﻪ
)ﻣﺎﻳﻜﺮووﻳﻒ ،ﻣﻨﻈﻢ دﻗﺎت اﻟﻘﻠﺐ ،ﻧﻈﺎم اﻟﻄﲑان اﻵﱄ ،ﻧﻈﺎم اﻟﺘﻮﺟﻴﻪ اﳌﻼﺣﻲ ،(...وﻣﻦ ﻫﺬﻩ اﳌﺘﻄﻠﺒﺎت :اﳌﻌﺎﳉﺔ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ
).(Real-time Processing
ﻣﻼﺣﻈﺔ :إن ﺳﻠﻮك اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲨﻴﻌﻬﺎ ﻣﻘﻴﺪ ﺑﺎﻟﺰﻣﻦ ﺑﺸﻜﻞ ﻛﻠﻲ ،وذﻟﻚ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أﻧﻪ ﰲ ﺑﻌﺾ اﻷﺣﻴﺎن ﻻ ﻳﺘﻄﻠﺐ اﻟﻌﻤﻞ ﰲ
اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ] "Embedded is almost synonymous with real-time" .[7ﻫﺬا ﻣﺎ ﻧﺺ ﻋﻠﻴﻪ اﻟﺒﺎﺣﺚ Zaveﻣﺸﲑاً إﱃ أن
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻣﱰاﻓﻘﺔ اﳌﻌﲎ ﳌﺼﻄﻠﺢ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ] ،[8ﻛﻤﺎ أن ﺻﺤﺔ ﻋﻤﻞ اﻟﻨﻈﺎم ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﻻ ﺗﻌﺘﻤﺪ ﻓﻘﻂ ﻋﻠﻰ اﻟﻨﺘﺎﺋﺞ اﳊﺴﺎﺑﻴﺔ،
وإﳕﺎ ﺗﻌﺘﻤﺪ أﻳﻀﺎً ﻋﻠﻰ اﻟﺰﻣﻦ اﻟﺬي ﰎ ﻓﻴﻪ ﻣﻌﺎﳉﺔ ﻫﺬﻩ اﻟﻨﺘﺎﺋﺞ].[9
ﰲ اﻟﺴﻨﻮات اﻷﺧﲑة أﺻﺒﺢ ﻗﻄﺎع ﺻﻨﺎﻋﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺒﻠﺪان اﻟﺼﻨﺎﻋﻴﺔ اﻟﻘﻄﺎع اﻷﻛﺜﺮ ازدﻫﺎراً وﺗﻄﻮراً ،ﺣﻴﺚ ﺗﻌﺘﱪ ﺻﻨﺎﻋﺔ
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﺎﳌﻴﺎً اﳉﺰء اﻷﻛﱪ واﻷﺳﺮع ﳕﻮاً ،وﺧﺼﻮﺻﺎً ﺻﻨﺎﻋﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة اﻟﱵ ﺗﺸﻜﻞ ﺗﻘﺮﻳﺒﺎً 99.99%ﻣﻦ اﻟﻨﺎﺗﺞ اﻟﻌﺎﳌﻲ ﻣﻦ
اﳌﻌﺎﳉﺎت ) (MCU, MPUاﻟﱵ ﻳﺘﻢ إﻧﺘﺎﺟﻬﺎ ﺳﻨﻮﻳﺎً.
إن ﺳﺒﺐ ﻫﺬا اﻟﺘﻜﺎﺛﺮ اﳌﺘﺰاﻳﺪ ﻳﻌﻮد إﱃ أن ﻋﺪد اﳌﻌﺎﳉﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﳊﻮاﺳﺐ اﻟﺸﺨﺼﻴﺔ ﻳﻌﺘﱪ ﺻﻐﲑاً ﺟﺪاً ﻣﻘﺎرﻧﺔً ﻣﻊ اﳌﻌﺎﳉﺎت
اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ .اﻟﺘﻘﺮﻳﺮ اﻷﺧﲑ ﻳﺸﲑ إﱃ أن اﳌﻨﺰل اﻟﻮاﺣﺪ ﳛﻮي ﻋﻠﻰ اﻷﻗﻞ 40~100ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ،ﰲ ﺣﲔ ﳝﻜﻦ أن
ﻳﻮﺟﺪ ﺛﻼﺛﺔ أو أﻗﻞ ﰲ اﳊﺎﺳﺐ اﻟﺸﺨﺼﻲ.
اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﳝﻜﻦ أن ﺗﻮﺟﺪ ﰲ ﲨﻴﻊ اﻟﺘﺠﻬﻴﺰات اﳌﻨﺰﻟﻴﺔ ،ﻣﺜﻼً :اﻟﺘﻠﻔﺎز ،اﳌﺸﻐﻞ اﻟﺮﻗﻤﻲ ،أﻟﻌﺎب اﻷﻃﻔﺎل ،اﳌﻮﻗﺪ] .[10إﺿﺎﻓﺔً إﱃ ذﻟﻚ
ﺋﻴﺴﻲ ﰲ ﺳﻮق اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ ،واﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ﳌﻌﻈﻢ ﺗﻄﺒﻴﻘﺎﺗﻪ اﻟﺼﻨﺎﻋﻴﺔ ،واﻟﱵ ﻣﻨﻬﺎ :وﺳﺎﺋﻞ اﻟﻨﻘﻞ
ﻓﺈن اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲤﺜﻞ اﻟﻘﻄﺎع اﻟﺮ ﱠ
) ،(Automotiveإﻟﻜﱰوﻧﻴﺎت اﳌﺴﺘﻬﻠﻚ ) ،(Consumer Electronicsاﻷﲤﺘﺔ اﻟﺼﻨﺎﻋﻴﺔ ) ،(Industrial Automationاﻟﺘﻄﺒﻴﻘﺎت
اﻟﻌﺴﻜﺮﻳﺔ ) ،(Militaryﺗﻨﺎﻗﻞ اﻟﺒﻴﺎﻧﺎت ) ،(Data-Transmissionاﻻﺗﺼﺎﻻت ) ،(Communicationأﲝﺎث اﻟﻔﻀﺎء
). [11-13](Aerospace
ﺣﺎﻟﻴﺎً ،أﻛﺜﺮ ﻣﻦ 98%ﻣﻦ ﻣﺘﺤﻜﻤﺎت 8/32-bitﺗﺴﺘﺨﺪم ﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ] .[14ﻃﺒﻘﺎً ﻟﻠﺪراﺳﺔ اﻹﺣﺼﺎﺋﻴﺔ اﻟﱵ ﺸﺮﻬﺗﺎ ﺷﺮﻛﺔ
SEMICOﰲ ﻋﺎم 2006ﻓﺈن 55%ﻣﻦ اﳌﺘﺤﻜﻤﺎت اﻟﱵ ﺗﺒﺎع ﺣﻮل اﻟﻌﺎﱂ ﻫﻲ ،8-bitوأﻛﺜﺮ ﻣﻦ 4-billionﻣﺘﺤﻜﻢ 8-bitﺑﻴﻊ ﰲ
ﻋﺎم .[15]2006
اﶈﻠﻠﻮن اﻻﻗﺘﺼﺎدﻳﻮن ﻳﺘﻮﻗﻌﻮن أﻧﻪ ﻣﻊ اﻧﻄﻼﻗﺔ ﻋﺎم 2010ﻓﺈن أﻛﺜﺮ ﻣﻦ 90%ﻣﻦ اﻟﱪاﻣﺞ اﻟﱵ ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﺳﺘﻜﻮن ﳐﺼﺼﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ،
ﻛﻤﺎ أ ّن ﻋﺪد ﻣﱪﳎﻲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺳﻴﺰداد ﲟﻘﺪار ﻋﺸﺮة أﺿﻌﺎف ﻣﻘﺎرﻧﺔً ﻣﻊ ﻣﱪﳎﻲ اﻷﻧﻈﻤﺔ اﻷﺧﺮى] .[16ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﻫﺬﻩ
اﻹﺣﺼﺎءات؛ ﻓﺈن ﻣﻌﻈﻢ ﻣﻨﺎﻫﺞ ﻫﻨﺪﺳﺔ اﳊﺎﺳﺒﺎت واﻟﺘﺤﻜﻢ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﺎﻣﻌﺎت اﻟﻐﺮﺑﻴﺔ ﻋﻠﻰ وﺟﻪ ﻋﺎم ،وﺟﺎﻣﻌﺎﺗﻨﺎ اﶈﻠﻴﺔ ﻋﻠﻰ وﺟﻪ
اﻟﺘﺨﺼﻴﺺ ،ﻣﺎ ﺗﺰال ﺗﻌﻠﻢ ﻣﻬﺎرات اﻟﱪﳎﺔ واﻟﺘﺼﻤﻴﻢ اﳌﺘﻌﻠﻘﺔ ﺑﻠﻐﺎت ﺑﺮﳎﺔ اﳊﻮاﺳﺐ اﻟﻌﺎﻣﺔ ﻓﻘﻂ ،ﺑﺪﻻً ﻣﻦ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻷﻛﺜﺮ
ﲣﺼﺼﺎً].[17
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 6
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
إن اﻻﻧﺘﺸﺎر اﳌﺘﺴﺎرع ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻳﺘﻄﻠﺐ ﻋﺪداً ﻣﺘﺰاﻳﺪاً ﻣﻦ اﳌﻬﻨﺪﺳﲔ اﳌﺆﻫﻠﲔ واﳌﺪرﺑﲔ ﻋﻠﻰ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﻜﻞ
ﻋﺘﺒﺎراﻬﺗﺎ][18ﻣﺜﻞ :ﺗﺼﻤﻴﻢ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﺑﺎﺳﺘﺨﺪام اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،أﻧﻈﻤﺔ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ،اﻟﱪﳎﺔ اﻟﺘﻔﺮﻋﻴﺔ واﳌﻮزﻋﺔ ) Distributed
،(Programmingاﻟﱪﳎﺔ ﻣﺘﻌﺪدة اﳌﻬﺎم ) ،... ،(Multitasking, Multithreadingإﱃ ﻣﺎ ﻫﻨﺎﻟﻚ ﻣﻦ اﻻﻋﺘﺒﺎرات اﳍﺎﻣﺔ واﻟﻜﺜﲑة ﰲ
ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ.
ﰲ اﳊﻘﻴﻘﺔ ،ﺗﻌﺎﱐ ﳎﺘﻤﻌﺎت ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﻴﻮم -ﰲ اﻟﺒﻠﺪان اﳌﺘﻘﺪﻣﺔ وﻏﲑﻫﺎ -ﻣﻦ ﻗﻠﺔ اﳌﻬﻨﺪﺳﲔ ذوي اﳌﻬﺎرات اﻟﻨﻮﻋﻴﺔ اﻟﻘﺎدرﻳﻦ
ﻋﻠﻰ ﺗﻄﻮﻳﺮ ﻣﺜﻞ ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﻋﻠﻰ ﺻﻌﻴﺪ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) (HWأو اﻟﺼﻌﻴﺪ اﻟﱪﳎﻲ ) ،(SWوﺗﻌﺘﱪ ﻫﺬﻩ ﻣﺸﻜﻠﺔ ﻛﺒﲑة ﻣﺸﱰﻛﺔ ﺧﺼﻮﺻﺎً
ﰲ اﻟﺒﻠﺪان اﻟﺼﻨﺎﻋﻴﺔ] ،[19-21إذ ﺗﺰداد اﳊﺎﺟﺔ ﺑﺸﻜﻞ ﻛﺒﲑ إﱃ ﻣﻬﻨﺪﺳﻲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،وﺗﺸﺘﻜﻲ اﻟﺼﻨﺎﻋﺔ ﻣﻦ أن ﻣﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻢ ﰲ
اﳍﻨﺪﺳﺔ اﳊﺎﺳﻮﺑﻴﺔ واﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ ﻏﲑ ﻗﺎدرة ﻋﻠﻰ ﺗﺰوﻳﺪ اﳌﻬﻨﺪﺳﲔ اﳌﺒﺘﺪﺋﲔ ﲟﻬﺎر ٍ
ات أوﻟﻴﺔ ﻛﺎﻓﻴﺔ ﻟﻴﺼﺒﺤﻮا ﻗﺎدرﻳﻦ ﻋﻠﻰ ُ
ﺗﺼﻤﻴﻢ ﻣﺜﻞ ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﺑﺄﺑﺴﻂ أﺷﻜﺎﳍﺎ ،واﻟﺴﺒﺐ ﻳﻌﻮد إﱃ أن ﻣﻨﺎﻫﺞ اﳍﻨﺪﺳﺔ اﳊﺎﺳﻮﺑﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ﺗﺮﻛﺰ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﻋﻠﻰ اﻟﺘﻄﺒﻴﻘﺎت
واﳊﻠﻮل اﻟﱪﳎﻴﺔ اﳊﺎﺳﻮﺑﻴﺔ ) ،(PC SWوﻻ ﺗﺰود اﻟﻄﻼب ﺑﺎﻟﻔﺮﺻﺔ ﻟﻜﺴﺐ اﳌﻬﺎرات اﻟﻀﺮورﻳﺔ ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ.
إن ارﺗﺒﺎط ﻫﺬﻩ اﳊﻘﻴﻘﺔ ﻣﻊ اﻟﺘﻀﺨﻢ اﳌﻌﺮﰲ اﳌﺘﺰاﻳﺪ ﰲ ﳎﺎل ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻳﻨﺸﺄ ﻋﻨﻪ اﻫﺘﻤﺎم ﻋﺎﳌﻲ ﻣﺘﺰاﻳﺪ ﰲ اﻗﱰاح ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ
ﺟﺎﻣﻌﻴﺔ ﻣﺘﺠﺪدة ﺗُﻌﲎ ﺑﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﻄﺒﻴﻘﺎﻬﺗﺎ.
ﻳﻮﺟﺪ ﻣﺴﺎﺋﻞ أﺧﺮى ﻫﺎﻣﺔ ﻣﻮﺿﺤﺔ ﰲ ﲦﺎﻧﻴﺔ أوراق ﲝﺜﻴﺔ ﺣﻮل ﺑﻌﺾ اﳌﺴﺎﺋﻞ اﳊﺎﻟﻴﺔ ﻋﻦ أﳘﻴﺔ ﺗﻌﻠﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،واﻟﱵ ﰎ إﻗﺮارﻫﺎ ﰲ
اﳌﺆﲤﺮ اﻟﻌﺎﳌﻲ ACMﻟﻠﻨﻈﻢ اﳌﺪﳎﺔ ،ﳝﻜﻦ ﻣﺮاﺟﻌﺘﻬﺎ ﰲ اﳌﺮﺟﻊ].[22
ﺗﺆﻛﺪ اﻷﲝﺎث أﻳﻀﺎً ﻋﻠﻰ أن اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ واﻟﺘﺪرﻳﺒﻴﺔ ﰲ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ واﳊﺎﺳﻮﺑﻴﺔ وﻓﺮوﻋﻬﺎ ،ﳚﺐ أن ﺗﻌﻜﺲ أﳘﻴﺔ ﻫﺬا
ﻤﻟﺎل وﺗﻼﺣﻖ اﻟﻨﻤﻮ اﳌﻌﺮﰲ واﻟﺘﻜﻨﻮﻟﻮﺟﻲ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﺗﻄﻮﻳﺮ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﺗﺘﻀﻤﻦ ﻣﻘﺮرات ﺗﺪرﻳﺴﻴﺔ ﺗﺮﻛﺰ ﻋﻠﻰ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ
اﳌﺪﳎﺔ ) .[23](ES.HW & ES.SWإﺿﺎﻓﺔ إﱃ ذﻟﻚ ،ﻓﺈن ﺗﺪرﻳﺲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﳝﻜﻦ أن ﻳﺰﻳﺪ ﻓﺮص ﺗﻮﺳﻊ أﻓﻖ اﳌﻨﺎﻫﺞ اﳉﺎﻣﻌﻴﺔ؛
ﻟﻜﻮن ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﺗﺴﺘﻠﺰم اﳌﻜﻮﻧﺎت اﻷﺳﺎﺳﻴﺔ ﻣﺜﻞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،واﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ ،واﻟﱵ ﺗﺮﺗﺒﻂ ﺑﻌﻨﺎﺻﺮ ﻣﺘﻌﺪدة )ﻣﻴﻜﺎﻧﻴﻜﻴﺔ ،ﻛﻬﺮﺑﺎﺋﻴﺔ،
ﻛﻴﻤﻴﺎﺋﻴﺔ( ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﺗﻌﻠﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻳﻌﺘﱪ ﻣﺜﺎﻻً ﳕﻮذﺟﻴﺎً ﻟﻨﻄﺎق دراﺳﺔ ﺗﺘﻄﻠﺐ ﻋﻤﻘﺎً ودﻗﺔً ﺑﻨﻔﺲ اﻟﻮﻗﺖ اﻟﺬي ﻳﺘﻄﻠﺐ اﺗﺴﺎﻋﺎً
داﺋﻤﺎً؛ ﻟﺘﺤﻘﻴﻖ اﻧﺒﻌﺎث اﻟﻘﻮة اﻟﻌﺎﻣﻠﺔ اﳌﺘﺠﺪدة ،وﻣﺘﻄﻠﺒﺎت اﻟﺘﻌﻠﻴﻢ ﻟﻠﺼﻨﺎﻋﺔ اﻟﻌﺎﳌﻴﺔ] ،[24,25وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻋﻠﻰ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ أن ﻳﺘﻨﺒﺄ وﻳﻘﻮد
اﲡﺎﻩ اﻟﺘﻐﲑ اﻟﺮﺋﻴﺴﻲ ﻟﻠﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﺴﺘﻘﺒﻠﻴﺔ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﺗﻘﺪﱘ ﻋﺪة ﻣﻘﺮرات دراﺳﻴﺔ ﻣﻜﺜﻔﺔ ﺗﺮﺗﺒﻂ ﻣﺒﺎﺷﺮةً ﺑﺎﻟﺘﻄﺒﻴﻖ اﻟﻌﻤﻠﻲ ،ﻋﻮﺿﺎً ﻋﻦ
ﺳﻠﺴﻠﺔ ﻣﻄﻮﻟﺔ ﻣﻦ اﳌﻘﺮرات اﻷﻛﺎدﳝﻴﺔ اﻟﺒﺤﺘﺔ اﻟﱵ ﻳﻌﻤﻞ ﺑﺎ ﰲ ﻣﻨﺎﻫﺞ اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﺎﻣﻌﺎت ﰲ اﻟﺸﺮق اﻷوﺳﻂ!
إن ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﳝﻜﻦ أن ﺗﺘﻮاﺟﺪ ﰲ ﺑﺮاﻣﺞ اﻟﺘﻌﻠﻴﻢ اﳉﺎﻣﻌﻴﺔ ﰲ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ] ،[26-30وﰲ ﻫﻨﺪﺳﺔ
اﳊﻮاﺳﺐ واﳍﻨﺪﺳﺔ اﳌﻌﻠﻮﻣﺎﺗﻴﺔ] .[31-36ﻓﻴﻤﺎ ﺑﲔ ﻫﺬﻩ اﻻﺧﺘﺼﺎﺻﺎت ،ﻓﺈﻧﻪ رﲟﺎ ﻳﻮﺟﺪ ﺗﻔﺎوت ﰲ اﻟﱰﻛﻴﺰ ﻋﻠﻰ ﻣﻮﺿﻮﻋﺎت اﻟﻜﻴﺎن اﻟﺼﻠﺐ
ﻣﻘﺎﺑﻞ اﳌﻮﺿﻮﻋﺎت اﻟﱪﳎﻴﺔ ،وﻟﻜﻦ ﻋﻤﻮﻣﺎً ،ﻓﺈن ﲨﻴﻊ ﻫﺬﻩ اﻻﺧﺘﺼﺎﺻﺎت ﳍﺎ ﻗﻮاﺳﻢ ﻣﺸﱰﻛﺔ ﻋﺪﻳﺪة .أﻳﻀﺎً ،وﳑﺎ ﻻ ﺷﻚ ﻓﻴﻪ أﻧﻪ ﻻ ﻳﻮﺟﺪ
ﺗﻘﺼﲑ ﰲ ﻣﺴﺎﻋﻲ اﳉﺎﻣﻌﺎت اﻟﻐﺮﺑﻴﺔ ﻟﺘﻀﻤﲔ ﻣﻘﺮرات ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﰲ ﻣﻨﺎﻫﺠﻬﺎ اﻟﺪراﺳﻴﺔ] ،[37-42ﻏﲑ أن اﻟﻌﺪﻳﺪ ﻣﻦ ﻫﺬﻩ اﳉﻬﻮد
7 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﳌﺬﻛﻮرة ﺗﺮﻛﺰ ﻋﻠﻰ ﳎﻤﻮﻋﺔ ﺛﺎﻧﻮﻳﺔ ﻣﻦ اﻋﺘﺒﺎرات ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺪﻻً ﻣﻦ اﳌﻨﺎﻫﺞ اﳌﻜﺜﻔﺔ .ﻣﺎ ﳓﺘﺎج إﻟﻴﻪ ﺣﻘﻴﻘﺔ ﻫﻮ اﻻﺳﺘﻔﺎدة ﻣﻦ
ﻫﺬﻩ اﳌﻨﺎﻫﺞ واﳉﻬﻮد وﺗﻮﻇﻴﻔﻬﺎ وﺗﻄﻮﻳﺮﻫﺎ.
ﺣﺎﻟﻴﺎً وﺑﺎﻟﺘﻌﺎون اﳌﺸﱰك ﺑﲔ اﳌﻨﻈﻤﺘﲔ اﻟﻌﺎﳌﻴﺘﲔ IEEE/ACMﻳﻮﺟﺪ ورﻗﺔ ﲝﺜﻴﺔ ﺻﺎدرة ﻋﻨﻬﻤﺎ ﺗﺸﺮح إﺻﻼﺣﺎً ﺟﺬرﻳﺎً ﻗﺎﺋﻤﺎً ﻋﻠﻰ ﳕﻮذج
ﻋﺎﳌﻲ ﳌﻨﻬﺞ دراﺳﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻌﻨﺎﺻﺮ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﻓﺮوﻋﻬﺎ ،ﳝﻜﻦ اﻻﺳﺘﻔﺎدة ﻣﻨﻬﺎ ﰲ اﳌﺮﺟﻊ].[43
إن اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ اﳌﺨﱪي ﳝﺜﻞ اﳌﺮﺣﻠﺔ اﳌﺒﻜﺮة ﻟﺒﻨﺎء اﳋﱪة اﻟﻌﻤﻠﻴﺔ اﳍﻨﺪﺳﻴﺔ اﻟﺼﻨﺎﻋﻴﺔ ،ﻛﻤﺎ أن اﻟﻐﺮض اﻷﺳﺎﺳﻲ ﻣﻦ أي ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻫﻮ
ﲢﻘﻴﻖ أﻫﺪاف اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻣﻦ ﺧﻼل ﺗﻘﻠﻴﺺ اﻟﻔﺠﻮة اﳌﻌﺮﻓﻴﺔ ﺑﲔ اﻟﺒﻴﺌﺔ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﻟﺼﻨﺎﻋﻴﺔ واﻟﺒﻴﺌﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﳑﺎ أُﲨﻊ ﻋﻠﻴﻪ ﰲ اﻟﻔﺮوع
اﻟﻌﻠﻤﻴﺔ واﳍﻨﺪﺳﻴﺔ ،أن أﻫﻢ أﻫﺪاف اﳌﺨﺘﱪات اﻟﺘﻄﺒﻴﻘﻴﺔ ﻣﺴﺎﻋﺪة اﻟﻄﻼب ﰲ ﺑﻨﺎء اﳌﻌﺮﻓﺔ اﳉﺪﻳﺪة واﳌﺮﺗﺒﻄﺔ ﺑﺎﳌﻔﻬﻮم اﻟﺘﻄﺒﻴﻘﻲ اﻟﺘﺼﻮري
ﻟﻠﻔﻜﺮة .ﺗﺆﻛﺪ اﻷﲝﺎث ﺑﺸﻜﻞ ﻣﺴﺘﻤﺮ ﻋﻠﻰ أن اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ﻫﻮ اﳉﺰء اﻷﻫﻢ ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ ﻓﺮوع اﳍﻨﺪﺳﺔ واﻟﻌﻠﻮم اﻟﺘﻄﺒﻴﻘﻴﺔ،
ﺣﻴﺚ أﻧﻪ ﻳﻠﻌﺐ اﻟﺪور اﻷﺳﺎﺳﻲ ﰲ رﺑﻂ اﻷﺳﺲ اﻟﻨﻈﺮﻳﺔ ﺘﻄﺒﻴﻘﺎﻬﺗﺎ اﻟﻌﻤﻠﻴﺔ ،وﺑﺎﻟﺘﺎﱄ ﺗﻘﻠﻴﺺ اﻟﻔﺠﻮة ﺑﲔ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﻮاﻗﻊ
اﻟﻌﻤﻠﻲ )اﻟﺼﻨﺎﻋﺔ( ،وﺑﲔ اﻟﻌﻠﻮم اﻷﻛﺎدﳝﻴﺔ )اﳉﺎﻣﻌﺔ(.
إن أﳘﻴﺔ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ﰲ اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ وﻓﺮوﻋﻬﺎ ،ودورﻩ ﰲ ﺗﻌﻤﻴﻖ اﻟﻔﻬﻢ ﻟﻠﻔﻜﺮة اﻟﻨﻈﺮﻳﺔ ،وﲢﻮﻳﻠﻬﺎ ﻣﻦ اﳌﻔﻬﻮم اﻟﺘﺠﺮﻳﺪي إﱃ اﳌﻔﻬﻮم
اﻟﺘﻄﺒﻴﻘﻲ اﳌﻠﻤﻮس ،ﰎ اﻟﺘﺄﻛﻴﺪ ﻋﻠﻰ أﳘﻴﺘﻪ ﺗﻘﺮﻳﺒﺎً ﰲ ﻛﻞ ورﻗﺔ ﲝﺜﻴﺔ ﺗﻌﲎ ﺑﺎﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي].[44-51
إن ﻣﺴﺄﻟﺔ ﺗﻌﻠﻴﻢ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﺘﻄﻠﺐ ﺑﺸﻜﻞ ﻛﺒﲑ ﺟﺪاً ﻋﻨﺎﺻﺮ وأدوات ﻋﻤﻠﻴﺔ ﺗﻄﺒﻴﻘﻴﺔ ﺗﺮﺗﺒﻂ ﻣﺒﺎﺷﺮة ﻣﻊ ﻣﻀﻤﻮن اﶈﺘﻮى
اﻟﺘﻌﻠﻴﻤﻲ اﻟﻨﻈﺮي؛ إن اﳌﻮاد اﻟﺘﻌﻠﻴﻤﻴﺔ واﶈﺎﺿﺮات اﻟﻨﻈﺮﻳﺔ ﻫﻲ اﳋﻄﻮة اﻷوﱃ ﻟﻼﻧﻄﻼق ﰲ ﻫﺬا اﳊﻘﻞ اﻟﺘﻌﻠﻴﻤﻲ وﺗﻄﻮﻳﺮﻩ ،وﻟﻜﻦ ﺑﺪون ارﺗﺒﺎط
ﺗﻠﻚ اﳌﻮارد اﻟﺘﻌﻠﻴﻤﻴﺔ اﻷﻛﺎدﳝﻴﺔ ﺑﺎﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ،ﻓﺈن اﳌﻬﺎرات اﳌﻜﺘﺴﺒﺔ ﻣﻦ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻴﻢ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ -
ﻛﻤﺤﺎﺿﺮات ﻧﻈﺮﻳﺔ ﺑﺪون اﳉﻠﺴﺎت اﻟﻌﻤﻠﻴﺔ اﳌﺨﱪﻳﺔ -ﺳﺘﻜﻮن ﺑﻌﻴﺪة ﺟﺪاً ﻋﻦ اﳌﻀﻤﻮن اﻟﻮاﻗﻌﻲ اﻟﺘﻄﺒﻴﻘﻲ ﰲ اﻟﻌﺎﱂ اﳋﺎرﺟﻲ )اﻟﺼﻨﺎﻋﺔ( ،وإن
ﻫﺬا ﻳﻌﻮد إﱃ ﻛﻮن اﳊﻘﻞ اﻟﺘﻌﻠﻴﻤﻲ واﻟﺘﻄﺒﻴﻘﻲ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ذو ﻃﺒﻴﻌﺔ ﻣﺘﻌﺪدة اﻻﺧﺘﺼﺎﺻﺎت واﻟﺘﻔﺮﻋﺎت.
ﰲ اﳊﻘﻴﻘﺔ ﺗﻌﺘﱪ اﳌﺨﺘﱪات اﻟﻌﻤﻠﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ اﻟﱵ ﲤﺎرس وﻓﻖ ﻣﻨﻬﺞ ﻳﺴﻤﻰ ﺑـ " – "Hands-onاﺳﱰاﺗﻴﺠﻴﺔ ﺗﺘﻄﻠﺐ اﳌﺸﺎرﻛﺔ اﻟﻔﻌﺎﻟﺔ ﻟﻠﻤﺘﻌﻠﻢ
ﰲ ﺗﻨﻔﻴﺬ اﻟﺘﺠﺮﺑﺔ ﺑﺸﻜﻞ ﻣﺴﺘﻘﻞ ﻛﻠﻴﺎً ،وﺗﺴﺘﻠﺰم ﻮﻧﻪ ﻋﻠﻰ اﺗﺼﺎل ﻣﺒﺎﺷﺮ ﻣﻊ ﻣﺎدة اﻟﺘﺠﺮﺑﺔ وأدواﻬﺗﺎ )وﳝﻜﻦ اﻹﺷﺎرة إﻟﻴﻬﺎ ﻋﻠﻰ أ�ﺎ ﻣﻨﻬﺠﻴﺔ
ﺗﻄﻮﻳﺮ ذاﰐ ﰲ اﳌﺨﺘﱪات اﻟﻌﻤﻠﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ( – اﻟﺸﻜﻞ اﻷﻗﺪم واﻷﻛﺜﺮ اﻧﺘﺸﺎراً ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﻳﺸﲑ اﻟﺒﺎﺣﺚ Feiselﺧﻼل ﲝﺜﻪ إﱃ أن
ﻗﺮون ٍ
ﺛﻼث اﳌﺨﺘﱪات اﻟﱵ ﺗﻌﲎ ﺑﺎﳌﻤﺎرﺳﺔ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ اﳌﺒﺎﺷﺮة ﻫﻲ اﳌﻜﺎن اﻷول واﻟﻮﺣﻴﺪ اﻟﺬي اﻧﻄﻠﻖ ﻣﻨﻪ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﺧﻼل ٍ
ﻣﻀﺖ].[47
ﺗﺸﲑ اﻟﺪراﺳﺎت اﻻﺳﺘﻘﺼﺎﺋﻴﺔ إﱃ أن 100%ﻣﻦ اﻷوراق اﻟﺒﺤﺜﻴﺔ اﳌﺘﻌﻠﻘﺔ ﺑﺎﳌﺨﺘﱪات اﻟﺘﻄﺒﻴﻘﻴﺔ اﻟﻌﻤﻠﻴﺔ ﺗﺆﻛﺪ ﻋﻠﻰ أن اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ﳚﺐ
أن ﺗﻜﻮن ﺗﻄﺒﻴﻘﻴﺔ ﻋﻤﻠﻴﺔ ﻣﺴﺘﻨﺪة إﱃ أدوات ﺗﺴﺎﻋﺪ ﰲ ﺗﺴﻬﻴﻞ وﺗﻌﺰﻳﺰ اﻟﻔﻬﻢ اﻟﺘﺼﻮري اﻟﻮاﻗﻌﻲ ﻟﺪى اﻟﻄﻼب ،ﻛﻤﺎ أن 65%ﻣﻦ اﻟﺪراﺳﺎت
ﺗﺆﻛﺪ ﻋﻠﻰ أن اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ﳚﺐ أن ﺗﺮﻋﻰ ﻟﻴﺲ ﻓﻘﻂ ﻣﻬﺎرات اﻟﺘﻄﺒﻴﻖ واﻟﺘﺸﻐﻴﻞ وإﳕﺎ ﻣﻬﺎرات اﻟﺘﺼﻤﻴﻢ أﻳﻀﺎً].[49
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 8
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ اﻷﳘﻴﺔ اﳊﺘﻤﻴﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ﻗﺎﻣﺖ ﻋﻠﻰ اﺳﺘﺒﺪال اﳌﺨﺘﱪات اﻟﺘﻄﺒﻴﻘﻴﺔ اﻟﻌﻤﻠﻴﺔ
ﲟﺨﺘﱪات اﻓﱰاﺿﻴﺔ ) (Virtual Labsﺗﻌﺘﻤﺪ ﻋﻠﻰ اﶈﺎﻛﺎة ) (Simulationﻋﻮﺿﺎً ﻋﻦ اﻟﺘﻄﺒﻴﻖ اﻟﻌﻤﻠﻲ ،ذﻟﻚ ﻬﺑﺪف ﲣﻔﻴﺾ اﳌﻴﺰاﻧﻴﺔ ﲢﺖ
وﻃﺄة اﻟﻀﻐﻮط واﳊﺎﺟﺎت اﳌﺎدﻳﺔ].[48-52
إن ﻣﻨﺤﲏ اﻟﺘﻌﻠﻢ ﳝﺜﻞ اﻟﻌﻼﻗﺔ ﺑﲔ اﳉﻬﺪ واﻟﻮﻗﺖ اﳌﺒﺬوﻟﲔ ﻟﺘﺤﻘﻴﻖ ﻓﻬﻢ ﻣﻮﺿﻮع ﻣﺎ ،ﻓﺈذا ﻛﺎﻧﺖ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﺗﺴﺘﻐﺮق وﻗﺘًﺎ ﻃﻮﻳﻼً وﺟﻬﺪاً
ﻣﻀﻨﻴﺎً؛ ﻓﺈن ﻣﻨﺤﲏ اﻟﺘﻌﻠﻢ ﻳﺴﻤﻰ " ،"Steep Learning Curveاﻟﺸﻜﻞ .4-1أﻣﺎ إذا ﻛﺎﻧﺖ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﲢﺼﻞ ﻋﻨﺪ أﻗﻞ وﻗﺖ وﺟﻬﺪ؛
ﻓﺈن ﻣﻨﺤﲏ اﻟﺘﻌﻠﻢ ﻳﺴﻤﻰ " ،"Shallow Learning Curveاﻟﺸﻜﻞ.5-1
اﻟﺸﻜﻞ Shallow Learning Curve 5-1 اﻟﺸﻜﻞ Steep Learning Curve 4-1
ﳑﺎ ﻻ ﺷﻚ ﻓﻴﻪ أن ﻋﻤﻠﻴﺔ ﺗﺼﻤﻴﻢ وﺗﻄﻮﻳﺮ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲣﺘﻠﻒ ﻋﻦ ﻣﺴﺎﺋﻞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ﰲ أﻣﻮر ﻛﺜﲑة .إن ﻣﺴﺄﻟﺔ ﺗﻌﻠﻢ
ﺗﺼﻤﻴﻢ وﺗﻄﻮﻳﺮ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲢﺎج إﱃ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺴﺎﺋﻞ اﻷوﻟﻴﺔ اﻟﻀﺮورﻳﺔ )ﻣﺜﻼً :ﺗﺼﻤﻴﻢ اﻟﺪارات اﻟﺮﻗﻤﻴﺔ واﻟﺘﺸﺎﻬﺑﻴﺔ( ،وذﻟﻚ ﻟﻜﻮن
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﰲ اﻟﻐﺎﻟﺐ ﻣﺮﺗﺒﻄﺔ إﱃ ﻋﻨﺎﺻﺮ ﺧﺎرﺟﻴﺔ ﻣﺜﻞ :ﺣﺴﺎﺳﺎت ،ﳏﺮﻛﺎت ،ﻣﻔﺎﺗﻴﺢ ...،وﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﲢﺘﺎج إﱃ دارات ﳏﻴﻄﻴﺔ
وﺳﻴﻄﻴﺔ ﻻ ﻋﻼﻗﺔ ﳍﺎ ﺑﺎﻟﻘﺮﻳﺐ ﻣﻦ اﳌﻮﺿﻮﻋﺎت اﻷﺳﺎﺳﻴﺔ ﰲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،أﺿﻒ إﱃ ذﻟﻚ اﻷﻣﻮر اﻷﺧﺮى اﳌﺘﻔﺮﻋﺔ ﻋﻦ اﻷﻧﻈﻤﺔ
اﳌﺪﳎﺔ واﻋﺘﺒﺎرات ﺗﺼﻤﻴﻤﻬﺎ ﻣﺜﻞ :اﺧﺘﺒﺎرات ﺗﺘﺒﻊ اﻷﺧﻄﺎء ﺑﺪون وﺟﻮد ﺷﺎﺷﺔ إﻇﻬﺎر ﻣﺮﺋﻴﺔ -ﻛﻤﺎ ﻫﻮ اﳊﺎل ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻌﺎﻣﺔ -ﻛﻤﺎ
أن ﻟﻐﺎت ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﻌﺘﱪ ﻣﻦ اﻟﻠﻐﺎت اﻟﱵ ﲤﻠﻚ اﻟﻜﺜﲑ ﻣﻦ اﻟﺘﻌﻘﻴﺪات] .[53ﻛﻞ ذﻟﻚ ﳛﺘﺎج إﱃ ﻣﻌﺮﻓﺔ وﻓﻬﻢ ﻣﻦ ﻗﺒﻞ اﳌﺘﻌﻠﻢ،
وﻫﺬا ﺑﺪورﻩ ﳛﺘﺎج إﱃ ﺟﻬﻮد ﻛﺒﲑة ووﻗﺖ ﻃﻮﻳﻞ ﻳﺒﺬﻻن ﰲ ﻣﺮﺣﻠﺔ اﻟﺘﻌﻠﻴﻢ؛ وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﳋﱪاء ﻳﺸﲑون إﱃ أن ﻣﻨﺤﲏ اﻟﺘﻌﻠﻢ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ
ﻫﻮ ﻣﻦ اﻟﻨﻮع "."Steep
إن ﻋﻤﻠﻴﺔ ﺑﻨﺎء أي ﻣﻨﻬﺞ ﺗﻌﻠﻴﻤﻲ ﻳﺴﺘﻨﺪ ﰲ اﻟﻐﺎﻟﺐ إﱃ ﺧﻄﺔ زﻣﻨﻴﺔ ﻟﺘﺪرﻳﺲ ﻫﺬا اﳌﻨﻬﺞ ،ﻛﻤﺎ أن اﳌﻨﻬﺞ ﳚﺐ أن ﻳﺮاﻋﻲ ﺗﻐﻄﻴﺔ اﳌﻮﺿﻮﻋﺎت
اﻷﺳﺎﺳﻴﺔ ﺿﻤﻦ اﳋﻄﺔ زﻣﻨﻴﺔ .ﰲ اﻟﻮاﻗﻊ وﻃﺒﻘﺎً ﳌﺎ ﺗﻘﺪم ﻣﻦ ﻛﻮن اﻟﻨﻈﻢ اﳌﺪﳎﺔ ذات ﺗﻔﺮﻋﺎت ﻛﺜﲑة وﻣﺘﻌﺪدة ،وﲢﺘﺎج إﱃ أﻣﻮر أﺧﺮى ﻣﺮﺗﺒﻄﺔ
إﺿﺎﻓﺔ إﱃ اﻟﺘﻌﻘﻴﺪات اﳌﺘﻌﻠﻘﺔ ﺑﺒﻴﺌﺎت اﻟﺘﻄﻮﻳﺮ ،ﻓﺈن ﻋﻤﻠﻴﺔ ﺑﻨﺎء ﻣﻨﻬﺞ ﺗﻌﻠﻴﻤﻲ ﻣﻜﺜﻒ ﻟﻠﻨﻈﻢ اﳌﺪﳎﺔ ﲢﺘﺎج إﱃ ﲝﺚ ﻛﺒﲑ ووﻗﺖ وﺟﻬﺪ.
9 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
إن اﻟﻌﻮﳌﺔ اﻟﺼﻨﺎﻋﻴﺔ واﻟﻘﺪرة اﻟﺘﻨﺎﻓﺴﻴﺔ أﺻﺒﺤﺖ ﳑﻜﻨﺔ اﻵن ﺑﻔﻀﻞ ﻣﻨﺘﺠﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،وﻫﺬا ﳚﻌﻠﻬﺎ أﻛﺜﺮ أﳘﻴﺔ ﻣﻦ أي وﻗﺖ ﻣﻀﻰ
ﻟﻸﻧﻈﻤﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وذﻟﻚ ﺑﺪف ﺗﻮﻓﲑ ﳎﺘﻤﻊ ﻣﻦ اﳌﻬﻨﺪﺳﲔ اﳌﺆﻫﻠﲔ واﳌﺨﺘﺼﲔ ﰲ ﳎﺎل اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،ﺑﻴﺪ أن إﳒﺎز ﻫﺬﻩ اﳌﻬﻤﺔ ﻟﻴﺲ
ﺑﺎﻷﻣﺮ اﻟﺴﻬﻞ.
إن ﺗﻜﻨﻮﻟﻮﺟﻴﺎ وﻣﻨﺘﺠﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﺘﻄﻮر ﺑﺸﻜﻞ ﻣﺘﺴﺎرع ،ﻛﻤﺎ أن اﳋﺪﻣﺎت اﻟﱵ ﺗﻘﺪﻣﻬﺎ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﺘﻄﻮر ﺗﺪرﳚﻴﺎً ﻟﺘﺸﻤﻞ
وﻇﺎﺋﻒ ﻣﺘﻘﺪﻣﺔ وﻣﺴﺘﻘﻠﺔ ،وﻣﻊ اﺗﺴﺎع ﻧﻄﺎق اﳌﻨﺘﺠﺎت ﻣﻦ أﻧﻈﻤﺔ اﻟﻘﻴﺎس اﳌﺴﺘﻘﻠﺔ ،وأﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ اﻟﺬﻛﻴﺔ ،إﱃ اﻷﻧﻈﻤﺔ اﳌﻮزﻋﺔ واﳌﱰاﺑﻄﺔ
ﻣﻊ أﺟﻬﺰة أﺧﺮى ﻣﻦ ﺧﻼل وﺻﻼت ﺳﻠﻜﻴﺔ أو ﻻ ﺳﻠﻜﻴﺔ ،ﻓﺈن اﳌﻨﺘﺠﺎت اﻟﻨﺎﲡﺔ أﺻﺒﺤﺖ أﻛﺜﺮ ﺗﻌﻘﻴﺪاً .إن ﻫﺬا اﻟﺘﻌﻘﻴﺪ اﳌﺘﺰاﻳﺪ ﰲ اﻷﻧﻈﻤﺔ
اﳌﺪﳎﺔ ﻳﺘﻄﻠﺐ ﺑﺪورﻩ ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻫﻨﺪﺳﻴﺔ ﻣﺘﺠﺪدة ﻣﻦ أﺟﻞ ﺗﻄﻮﻳﺮ ﻧﺎﺟﺢ ،وﺑﺴﺒﺐ اﻻﺳﺘﺤﺪاث اﳌﺘﺠﺪد ﻧﺴﺒﻴﺎً ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ؛
ﻓﺈﻧﻪ ﻻ ﻳﻮﺟﺪ ﻋﻠﻮم ﻣﻘﺮرة )ﻣﺆﺳﺴﺔ وﻣﻌﺘﻤﺪة( أو ﻃﺮق ﺗﺮﺑﻮﻳﺔ ﺗﻌﻠﻴﻤﻴﺔ ﻣﻮﺛﻘﺔ ﻟﺘﻌﻠﻴﻢ ﻫﻨﺪﺳﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،ﻛﻤﺎ أن اﻟﺘﻨﻮع اﳌﺨﺘﻠﻒ ﻷﺻﻨﺎف
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،ووﺟﻮد ﻣﺘﻄﻠﺒﺎت ذات ﺻﻔﺎت ﻧﻮﻋﻴﺔ ﳐﺘﻠﻔﺔ ،وأﺑﻌﺎد ﺗﺼﻤﻴﻤﻴﺔ ﻣﺘﻌﺪدة ذات ﻋﻮاﻣﻞ ﻣﺘﻐﲑة إﺿﺎﻓﺔً إﱃ اﻟﺘﻘﻨﻴﺎت اﳌﺨﺘﻠﻔﺔ،
ﻓﺈن ﻛﻞ ذﻟﻚ ﳚﻌﻞ ﻣﻦ اﻟﺼﻌﺐ ﺟﺪاً اﻟﻮﺻﻮل إﱃ ﺗﻌﺮﻳﻒ ﻣﻨﺎﺳﺐ ﻳﺴﺘﻄﻴﻊ أن ﳛﺪد ﻫﻮﻳﺔ واﺿﺤﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻹﳚﺎد ﻃﺮﻳﻘﺔ ﻣﺜﻠﻰ
ﻟﺘﺪرﻳﺴﻬﺎ].[31
ﰲ ﻋﺎم 2005وﺿﻤﻦ ﺧﻄﺔ ورﺷﺔ ﻋﻤﻞ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﰲ ﻣﺪﻳﻨﺔ ﺟﲑﺳﻲ اﻷﻣﺮﻳﻜﻴﺔ ،ﰎ اﻗﱰاح ﻣﻨﻬﺠﲔ أو ﻃﺮﻳﻘﲔ
أﺳﺎﺳﻴﲔ ﻟﺘﻄﻮﻳﺮ ﻣﻨﺎﻫﺞ ﻫﻨﺪﺳﺔ ﺗﻌﻠﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﳘﺎ:
-1اﳌﻨﻬﺞ اﻟﺘﺄﺳﻴﺴﻲ اﻟﻌﻠﻤﻲ ) :(Scientific foundation approachﻫﺬا اﳌﻨﻬﺞ ﻳﻘﱰح ﺑﺄن اﻟﺘﻌﻠﻴﻢ ﻳﻄﻤﺢ إﱃ ﺑﻨﺎء اﳌﻌﺮﻓﺔ اﻟﻌﻠﻤﻴﺔ
اﳍﻨﺪﺳﻴﺔ ﳉﻮﻫﺮ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻣﺘﻀﻤﻨﺔً ﻣﺴﺎﺋﻞ ﺣﻮل اﻟﻨﻤﺎذج اﳊﺴﺎﺑﻴﺔ واﻟﺘﺤﻠﻴﻼت اﳌﻨﻬﺠﻴﺔ].[54
-2ﻣﻨﻬﺞ ﺗﻄﻮﻳﺮ اﳌﻨﺘﺞ ) :(Product development approachوﻓﻴﻪ ﳝﻠﻚ اﻟﺘﻌﻠﻴﻢ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻌﻨﺎﺻﺮ واﳌﻘﻮﻣﺎت اﻟﱵ ﺗﻘﺎﺑﻞ ﺗﻄﻮﻳﺮ
ﻣﻨﺘﺞ ﺻﻨﺎﻋﻲ ﲟﺎ ﰲ ذﻟﻚ اﳌﺸﺎرﻳﻊ اﳌﻮﺟﻬﺔ وﻓﺮﻳﻖ اﻟﻌﻤﻞ وﺣﻞ ﻣﺸﺎﻛﻞ اﻟﻨﻤﺎذج اﻟﻮﻇﻴﻔﻴﺔ].[55
ﺣﺼﻠﻬﺎ اﻟﻄﻼب ﻋﻠﻰ أﻓﻀﻞ وﺟﻪ ﻫﻲ ﺟﺰءٌ ﻣﻦ ﺑﻨﺎءً ﻋﻠﻴﻪ ﻓﺈن اﻟﻔﺮﺿﻴﺔ وراء اﳍﺪف ﻣﻦ اﳌﻨﻬﺞ ﻫﻲ أن اﳌﻬﺎرات واﳌﻌﺎرف اﳌﺘﺼﻠﺔ ،واﻟﱵ ّ
ﻣﺸﺎرﻳﻊ اﻟﺘﻄﻮﻳﺮ واﻟﺘﻨﻤﻴﺔ اﳊﻘﻴﻘﻴﺔ؛ ﻫﺬﻩ اﻟﻔﺮﺿﻴﺔ ﻳﺴﺘﻨﺪ إﻟﻴﻬﺎ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث اﳌﺘﻌﻠﻘﺔ ﺑﺎﻟﺘﻌﻠﻴﻢ اﻋﺘﻤﺎداً ﻋﻠﻰ اﺳﱰاﺗﻴﺠﻴﺔ ﺣﻞ
اﳌﺸﻜﻼت] (Problem Based Learning) [56-58واﻟﱵ ﺳﻮف ﻧﻔﺼﻠﻬﺎ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ.
وﻋﻠﻴﻪ ،ﻳﺴﺘﻨﺘﺞ اﶈﻠﻠﻮن ﰲ ﳎﺎل اﻟﺘﻌﻠﻴﻢ ﺑﺄن ﻣﻮﺿﻮﻋﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ذات ﻫﻮﻳﺔ ﻣﻮﺿﻮﻋﻴﺔ إﻧﺸﺎﺋﻴﺔ وﻇﻴﻔﻴﺔ ،واﻟﺬي ﺑﺪورﻩ ﻳﻘﺘﻀﻲ أن
اﻟﻄﺮﻳﻘﺔ اﳌﺜﻠﻰ ﻟﺘﻌﻠﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻫﻲ ﻣﻦ ﺧﻼل رﺑﻂ ﻛﻞ ﻓﻜﺮة ﲟﺜﺎل ﻋﻤﻠﻲ ﰲ ﺑﻴﺌﺔ ﺗﻔﺎﻋﻠﻴﺔ واﻗﻌﻴﺔ.
10-1ﻧﺤﻮ ﺗﻄﻮﻳﺮ ﻣﻨﺎﻫﺞ ﻣﻌﺎﺻﺮة ﻟﻸﻧﻈﻤﺔ اﻟﻤﺪﻣﺠﺔ ):(Toward Modern E.Systems Curriculums
إن ﻋﻤﻠﻴﺔ ﺗﻄﻮﻳﺮ واﻧﺘﺸﺎر ﻣﻨﺎﻫﺞ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﻌﺘﱪ ﻣﻦ اﳌﻬﻤﺎت اﻟﺮﺋﻴﺴﻴﺔ ﰲ ﻛﺜﲑ ﻣﻦ اﳉﺎﻣﻌﺎت واﻟﺒﻠﺪان اﳌﺘﻄﻮرة] [59واﻟﱵ ﻣﻨﻬﺎ :اﻟﻮﻻﻳﺎت
اﳌﺘﺤﺪة] ،[60أورﺑﺎ] ،[61اﻟﺼﲔ] ،[62ﺗﺎﻳﻮان] ،[63ﻛﻮرﻳﺎ] ،[64واﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪول اﻷﺧﺮى اﻟﱵ ﺗﺪرك ﲤﺎﻣﺎً أﳘﻴﺔ إﳚﺎد ﻣﻨﺎﻫﺞ ﻣﺘﺠﺪدة ﻟﺘﻌﻠﻴﻢ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 10
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻫﻨﺪﺳﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ؛ ﻛﻤﺎ أن اﻟﺪراﺳﺎت اﳌﺘﻌﻠﻘﺔ ﺑﺘﻄﻮﻳﺮ اﳌﻨﺎﻫﺞ ﻻ ﺗﻘﺘﺼﺮ ﻓﻘﻂ ﻋﻠﻰ أﲝﺎث ﻋﻠﻰ ﻣﺴﺘﻮى دوﻳﻼت ،وإﳕﺎ ﺗﺴﻌﻰ اﻷﲝﺎث
ﺑﺪف اﻻﺳﺘﻔﺎدة ﻣﻦ اﻟﺘﺠﺎرب ﰲ ﻫﺬا اﳌﻴﺪان اﻟﺬي ][65-66
ﺑﺸﻜﻞ داﺋﻢ إﱃ دراﺳﺎت ﻣﻘﺎرﻧﺔ ﻟﻠﻤﻨﺎﻫﺞ اﳌﻘﺮرة ﰲ ﳐﺘﻠﻒ اﳉﺎﻣﻌﺎت اﻟﻌﺎﳌﻴﺔ
ﻳﺘﺴﺎﺑﻖ ﻓﻴﻪ اﳉﻤﻴﻊ.
إن ﻣﺴﺄﻟﺔ ﺗﻄﻮﻳﺮ اﳌﻨﺎﻫﺞ ﲢﺘﺎج إﱃ ﻓﺮﻳﻖ ﻣﺘﺨﺼﺺ ﻳﺒﺤﺚ ﰲ اﳌﻨﺎﻫﺞ اﻟﺪراﺳﻴﺔ ﺣﻮل اﳌﻮﺿﻮﻋﺎت اﻷﺳﺎﺳﻴﺔ ﰲ ﻫﻨﺪﺳﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ واﻟﱵ
ﻫﻲ :ﺑﺮﳎﻴﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ) ،(ES-SWاﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ) ،(ES-HWﻋﻠﻮم اﳊﺎﺳﺐ ) CS: Computer
،(Scienceوﲨﻴﻊ ﻫﺬﻩ اﳌﻨﺎﻫﺞ ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﻣﻦ ﻗﺒﻞ اﳍﻴﺌﺘﲔ ACM & IEEE-CEﺑﺎﻹﺿﺎﻓﺔ إﱃ اﳉﺎﻣﻌﺎت واﻟﺪول اﻟﺮاﺋﺪة ﰲ ﻫﺬا
ﻤﻟﺎل] ،[67-76ﻛﻤﺎ أﻧﻪ ﺑﺎﻹﺿﺎﻓﺔ إﱃ ﻛﻮن ﻫﺬﻩ اﳌﻨﺎﻫﺞ ﺗﺮاﻋﻲ اﻟﺘﻄﻮر اﻟﺘﻜﻨﻮﻟﻮﺟﻲ ،ﻓﺈ�ﺎ أﻳﻀﺎً ﺗﺄﺧﺬ ﺑﻌﲔ اﻻﻋﺘﺒﺎر ﺣﺎﺟﺎت اﻟﺘﻄﻮﻳﺮ ﻟﻠﺼﻨﺎﻋﺔ
اﶈﻠﻴﺔ ﻣﺘﻄﻠﺒﺎﻬﺗﺎ.
إن ﻋﺪداً ﻛﺒﲑاً ﻦ اﳉﺎﻣﻌﺎت اﻟﱵ ﻗﺎﻣﺖ ﺑﺘﻄﻮﻳﺮ ﻣﻨﺎﻫﺞ ﻟﻠﻨﻈﻢ اﳌﺪﳎﺔ اﻋﺘﻤﺪت ﰲ ﻣﻘﺮراﻬﺗﺎ اﻟﺘﻤﻬﻴﺪﻳﺔ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ
ﺑﺎﺳﺘﺨﺪام اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،8-bitﺣﻴﺚ ﲤﻜﻦ اﻟﻄﻼب ﻣﻦ ﺗﻌﻠﻢ ﺑﺮﳎﺔ اﳌﺘﺤﻜﻤﺎت وﺑﻨﺎء اﻟﻜﻴﺎن اﻟﺼﻠﺐ ورﺑﻄﻪ ﻣﻊ اﻟﻌﺎﱂ اﳋﺎرﺟﻲ
ﻷﻏﺮاض اﻟﺘﺤﻜﻢ .ﺑﻌﺾ اﳉﺎﻣﻌﺎت ﻻﺣﻈﺖ اﻻﺳﺘﺨﺪام اﳌﺘﺰاﻳﺪ ﳌﺘﺤﻜﻤﺎت 32-bitﰲ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،واﻟﺬي ﻛﺎن ﺑﺪورﻩ
ﺣﺎﻓﺰاً ﻟﺘﻄﻮﻳﺮ ﻣﻨﺎﻫﺞ ﻣﻌﺎﺻﺮة ﻟﺘﻌﻠﻴﻢ ﺑﺮﳎﺔ وﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﻣﺘﺤﻜﻤﺎت [77]32-bitﺑﺎﻹﺿﺎﻓﺔ إﱃ اﻟﻌﺪﻳﺪ ﻣﻦ ﻣﻮﺿﻮﻋﺎت
ﲢﻠﻴﻞ وﺗﻄﻮﻳﺮ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﳌﺘﻘﺪﻣﺔ][78اﻟﱵ ﺗﺴﺘﺨﺪم اﳌﺼﻔﻮﻓﺎت اﳊﻘﻠﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) ،(FPGAsوﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ
).(DSPs
11-1ﻣﻨﺎﻗﺸﺔ ):(Discussion
إن اﻟﺘﺤﻠﻴﻞ ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺗﻌﻠﻴﻤﻴﺔ ﳝﻜﻦ أن ﻳﻠﺨﺺ ﻣﺎﻳﻠﻲ:
-ﲤﻠﻚ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻃﺎﺑﻊ ﻣﻮﺿﻮﻋﻲ ﻣﺘﺠﺪد ﺧﻼﻓﺎً ﳌﻌﻈﻢ اﳌﻮاﺿﻴﻊ اﻷﻛﺎدﳝﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ واﻟﱵ ﳍﺎ ﻃﺎﺑﻊ ﳏﺪد وواﺿﺢ.
-ﺗﻌﻠﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﳚﺐ أن ﻳﺮﻛﺰ ﻋﻠﻰ اﻟﺴﻤﺎت اﻟﻮﻇﻴﻔﻴﺔ ﻋﻮﺿﺎً ﻋﻦ ﻛﻮﻧﻪ ﻗﺎﺋﻤﺎً ﻋﻠﻰ ﻃﺮق اﻟﺘﺪرﻳﺲ اﻟﺘﻘﻠﻴﺪﻳﺔ ،ﻛﻤﺎ ﳚﺐ أن ﻳﺪرس
ﻣﻦ ﺧﻼل ﻃﺮح أﻣﺜﻠﺔ ﻣﺘﻌﺪدة ﻋﻮﺿﺎً ﻋﻦ اﻟﺘﻤﺜﻴﻞ اﳌﻮﺿﻮﻋﻲ اﻟﻌﺎم واﻟﺴﺎﺋﺪ ﰲ اﳌﻮﺿﻮﻋﺎت اﻷﻛﺎدﳝﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ.
-ﻋﻤﻠﻴﺔ ﺗﻌﻠﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﳚﺐ أن ﺗﺘﻢ ﰲ ﺑﻴﺌﺔ ﺗﻔﺎﻋﻠﻴﺔ ﺗﺸﺎرﻛﻴﺔ ﻋﻮﺿﺎً ﻋﻦ اﻟﻄﺮﻳﻘﺔ اﻷﻛﺎدﳝﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﱵ ﺗﺴﺘﻌﺮض ﺑﺸﻜﻞ داﺋﻢ
وﺟﻬﺔ ﻧﻈﺮ اﳌﻌﻠﻢ ﻓﻘﻂ.
ﺗﻘﺪم ﻣﻦ ﺧﻼل اﻟﻔﻘﺮات اﻟﺴﺎﺑﻘﺔ أﺻﻮل اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وأﳘﻴﺘﻬﺎ ﰲ اﻟﺘﻌﻠﻴﻢ اﻷﻛﺎدﳝﻲ واﳌﻴﺪان اﻟﺼﻨﺎﻋﻲ ،وﺳﻮف ﻧﻨﺎﻗﺶ ﰲ اﻟﻔﻘﺮات اﻟﺘﺎﻟﻴﺔ
ﻓﺮوع اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وأﻧﻮاﻋﻬﺎ.
ﰲ ﻋﺎم 1965ﻻﺣﻆ Gordon Mooreﻣﺪﻳﺮ ﺷﺮﻛﺔ Intelأن ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﺗﺘﻄﻮر ﲟﻌﺪل ﻣﺬﻫﻞ ﲝﻴﺚ أن ﻋﺪد
اﻟﱰاﻧﺰﺳﺘﻮرات اﻟﱵ ﳝﻜﻦ أن ﺗﻮﺿﻊ ﻋﻠﻰ ﳓﻮ رﺧﻴﺺ )ﺑﺪون أي ﻛﻠﻔﺔ زاﺋﺪة( ﻋﻠﻰ دارة ﻣﺘﻜﺎﻣﻠﺔ – أي أن ﺗﻌﻘﻴﺪ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﻊ اﻋﺘﺒﺎر
اﻟﻜﻠﻔﺔ اﻷﺧﻔﺾ ﻟﻠﻌﻨﺎﺻﺮ – ﻳﺘﻀﺎﻋﻒ ﻛﻞ ﺳﻨﺘﲔ ﺗﻘﺮﻳﺒﺎً .إن ﻗﺎﺑﻠﻴﺔ ودرﺟﺔ ﺗﻄﻮر اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﺟﻬﺰة اﻹﻟﻜﱰوﻧﻴﺔ اﻟﺮﻗﻤﻴﺔ )ﺳﻌﺔ وﺳﺮﻋﺔ
اﳌﻌﺎﳉﺔ ،ﺣﺠﻢ اﻟﺬاﻛﺮة (... ،ﻳﺮﺗﺒﻂ ﺑﺸﻜﻞ وﺛﻴﻖ ﺑﺬا اﻟﻘﺎﻧﻮن ﺣﻴﺚ أن ﻫﺬﻩ اﳌﻼﺣﻈﺔ أدت ﻋﻠﻰ ﳓﻮ ﻛﺒﲑ ﺟﺪاً إﱃ زﻳﺎدة ﻓﺎﺋﺪة اﺳﺘﺨﺪام
ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻹﻟﻜﱰوﻧﻴﺎت ﺗﻘﺮﻳﺒﺎً ﰲ ﲨﻴﻊ ﻗﻄﺎﻋﺎت اﻻﻗﺘﺼﺎد اﻟﻌﺎﳌﻲ][79,80وﻗﺪ ﰎ ﺗﺴﻤﻴﺔ ﻫﺬا اﻟﻘﺎﻧﻮن ﻋﻠﻤﻴﺎً ﺑﺎﺳﻢ "ﻗﺎﻧﻮن ﻣﻮر" ) Moore's
(Lawﻧﺴﺒﺔً إﱃ Gordon E. Mooreاﻟﺬي ﻗﺪم ﻫﺬا اﻟﻘﺎﻧﻮن][81-83وﻣﻨﺬ ذاك اﻟﻮﻗﺖ ﻳﻌﺘﱪ ﻫﺬا اﻟﻘﺎﻧﻮن ﳏﻮر اﻟﺘﺨﻄﻴﻂ واﻟﺘﻮﺟﻴﻪ ﻃﻮﻳﻞ
اﻷﻣﺪ ﰲ وﺿﻊ أﻫﺪاف اﻟﺒﺤﺚ واﻟﺘﻄﻮﻳﺮ ﰲ ﺻﻨﺎﻋﺔ أﻧﺼﺎف اﻟﻨﻮاﻗﻞ][84ﻳﺘﻮﻗﻊ أن ﻳﺴﺘﻤﺮ اﻟﻌﻤﻞ ﻬﺑﺬا اﻟﻘﺎﻧﻮن إﱃ ﻣﺎ ﺑﻌﺪ ﻋﺎم .2020
اﻟﺸﻜﻞ 7-1ﻳﺒﲔ ﳐﻄﻄﺎً ﻟﻮﻏﺎرﲤﻴﺎً ﻟﻌﺪد اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة ﰲ ﻣﺮاﺣﻞ زﻣﻨﻴﺔ ﻣﺘﻌﺪدة ) (1960-2010وﻳﻼﺣﻆ ﺑﺄن ﻣﻌﺪل
اﻟﺘﺰاﻳﺪ ﻳﺘﻀﺎﻋﻒ ﻛﻞ ﺳﻨﺘﲔ.
ﺗﻌﺘﱪ ﻣﺴﺄﻟﺔ ﲢﺪﻳﺪ اﻟﺘﻘﻨﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻣﻦ اﻷﻣﻮر اﳍﺎﻣﺔ واﻷﺳﺎﺳﻴﺔ ﰲ اﳌﺮاﺣﻞ اﳌﺒﻜﺮة ﻟﻠﺘﺼﻤﻴﻢ وﻫﻲ ﺗﺴﺘﻨﺪ إﱃ
اﻟﻮﻇﺎﺋﻒ اﻷﺳﺎﺳﻴﺔ اﳌﻄﻠﻮﺑﺔ ﻣﻦ اﻟﻨﻈﺎم ،إذ ﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ اﳋﻴﺎرات اﳌﺘﺎﺣﺔ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺪءاً ﻣﻦ اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة
) (MPUsواﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ) (MCUsواﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (PLDsواﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ذات اﻟﺘﻄﺒﻴﻘﺎت اﳋﺎﺻﺔ
) ،(ASICsﻛﻤﺎ أن اﻻﺧﺘﻴﺎر ﺑﲔ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ اﳌﺨﺘﻠﻔﺔ ﻳﻌﺘﻤﺪ ﻋﻠﻰ ﻣﺘﻄﻠﺒﺎت اﻟﻨﻈﺎم اﳌﻄﻠﻮب ﺗﺼﻤﻴﻤﻪ أﻛﺜﺮ ﻣﻦ ﻛﻮﻧﻪ ﻣﻌﺘﻤﺪاً ﻋﻠﻰ
اﻋﺘﺒﺎرات وﻣﻴﻮل ﺷﺨﺼﻴﺔ ﻟﻠﻤﺼﻤﻢ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 12
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻓﺈذا ﻛﺎن اﳌﻄﻠﻮب ﻧﻈﺎﻣﺎً ﻗﺎﺑﻼً ﻟﻠﱪﳎﺔ ﻟﺘﻨﻔﻴﺬ ﺧﻮارزﻣﻴﺎت ذات ﻋﻤﻠﻴﺎت ﺣﺴﺎﺑﻴﺔ ﻣﻌﻘﺪة ،ﻓﺈن اﻻﺧﺘﻴﺎر اﻷﻣﺜﻞ ﳍﺬﻩ اﻟﺘﻄﺒﻴﻘﺎت ﻫﻲ ﻣﻌﺎﳉﺎت
اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ )(DSP؛ أﻣﺎ إذا ﱂ ﻳﻜﻦ ﻻﻋﺘﺒﺎرات اﻟﺴﺮﻋﺔ أﳘﻴﺔ ﺑﺎﻟﻐﺔ ﰲ ﻋﻤﻞ اﻟﻨﻈﺎم وﻛﺎﻧﺖ اﻟﺘﻜﻠﻔﺔ وﻇﺮوف اﻟﻌﻤﻞ ﻻ ﺗﺴﻤﺢ ﺑﺎﺳﺘﺨﺪام
ﺷﺮاﺋﺢ ﻣﺘﻄﻮرة ،ﻓﻴﻜﻮن اﺳﺘﺨﺪام اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ) (MCUs | MPUsﻣﺜﺎﻟﻴﺎً ﳍﺬﻩ اﳊﺎﻟﺔ؛ وﰲ ﺣﺎل ﻛﺎن اﻟﻨﻈﺎم ﻳﺘﻄﻠﺐ ﻣﺴﺘﻮﻳﺎت أداء
ﻋﺎﻟﻴﺔ ،وﺳﺮﻋﺎت ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ ﺟﺪاً ،وﺑﻨﻴﺔ ﺗﻨﻔﻴﺬ ﺗﻔﺮﻋﻴﺔ ،ﻓﺈن ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ) (FPGAsﺗﻘﺪم اﻷداء اﳌﻄﻠﻮب
وﺗﺘﻴﺢ ﻟﻠﻤﺼﻤﻢ ﻣﺮوﻧﺔ ﻛﺒﲑة ﰲ ﺗﺼﻤﻴﻢ ﺧﻮارزﻣﻴﺔ اﻟﻨﻈﺎم ،ﺣﻴﺚ أن ﺑﻨﻴﺔ اﻟـ FPGAsﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ اﻟﻌﻤﻞ اﳌﺘﺰاﻣﻦ ) (Parallelismﻟﻮﻇﺎﺋﻒ
اﻟﻨﻈﺎم ،وﻫﺬا ﻣﺎ ﻻ ﺗﺴﺘﻄﻴﻊ ﺗﺄﻣﻴﻨﻪ اﳌﻌﺎﳉﺎت أو اﳊﻠﻮل اﳌﺘﻜﺎﻣﻠﺔ اﻷﺧﺮى اﻟﱵ ﺗﻌﺘﻤﺪ ﰲ ﺗﻨﻔﻴﺬ ﺧﻮارزﻣﻴﺘﻬﺎ ﻋﻠﻰ اﻟﻌﻤﻞ اﻟﺘﺴﻠﺴﻠﻲ.
،(Tubesﻣﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ ،8-1ﺣﻴﺚ اﺳﺘﻌﻤﻠﺖ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﺟﻬﺰة اﻹﻟﻜﱰوﻧﻴﺔ ﰲ ذاك اﻟﻮﻗﺖ :اﻟﺮادﻳﻮ واﻟﺘﻠﻔﺎز وﻏﲑﻫﺎ].[85-88
ﰲ ﲬﺴﻴﻨﻴﺎت اﻟﻘﺮن اﻟﻌﺸﺮﻳﻦ ﻇﻬﺮت اﻟﱰاﻧﺰﺳﺘﻮرات ،وﺑﺪأت اﻷﲝﺎث ﺣﻮل اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﱵ ﳝﻜﻦ أن ﲢﻮي ﻋﻠﻰ ﻋﺪة ﺗﺮاﻧﺰﺳﺘﻮرات،
وﻛﺎن ﻫﻨﺎك ﳏﺎوﻻت ﻋﺪﻳﺪة ﻟﺒﻨﺎء دارة ﻣﺘﻜﺎﻣﻠﺔ ،وﻛﺎﻧﺖ اﻟﺘﺠﺮﺑﺔ اﻟﻨﺎﺟﺤﺔ اﻷوﱃ ﰲ ﻋﺎم 1958ﺣﻴﺚ ﻗﺎم ﻋﺎﱂ اﻟﻔﻴﺰﻳﺎء Jack Kilby
13 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﺻﻨﺎﻋﺔ أﻧﺼﺎف اﻟﻨﻮاﻗﻞ ﻇﻬﺮت ﺑﻮﺿﻮح ﰲ أواﺋﻞ اﻟﺴﺘﻴﻨﻴﺎت ،وﺑﺪأت ﺑﺎﻟﺘﻄﻮر ﺑﺸﻜﻞ ﻣﺘﺴﺎرع ﻣﻨﺬ ذاك اﻟﻮﻗﺖ .اﳉﻴﻞ اﻷول ﻣﻦ اﻟﺪارات
اﳌﺘﻜﺎﻣﻠﺔ ﻋﺮف ﺑـ (Small-scale Integration) SSIﺣﻴﺚ ﻛﺎﻧﺖ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﺗﺘﻜﻮن ﻣﻦ ﻋﺪد ﻗﻠﻴﻞ ﺟﺪاً ﻣﻦ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ
) (OR, NOR, AND, etc.ﺗﺘﺸﻜﻞ ﻣﻦ ﻋﺸﺮات اﻟﱰاﻧﺰﺳﺘﻮرات .ﺗﻼﻩ ﻋﺼﺮ اﳉﻴﻞ اﻟﺜﺎﱐ ﻣﻦ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﰲ أواﺳﻂ اﻟﺴﺘﻴﻨﻴﺎت
وﻋﺮف ﺑـ ،(Medium-scale Integration) MSIوﻗﺪ ﲤﻴﺰ ﺑﺰﻳﺎدة ﻛﺒﲑة ﰲ ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ ﻣﺘﻜﺎﻣﻠﺔ وﺣﻴﺪة ،ﺣﻴﺚ
اﺳﺘﺨﺪﻣﺖ اﻟﺸﺮاﺋﺢ ﰲ اﳌﺆﻗﺘﺎت واﻟﻌﺪادات واﳌﺴﺠﻼت ،وﲤﻴﺰت ﺑﻜﻠﻔﺔ أﺧﻔﺾ ﺑﻜﺜﲑ ﻣﻦ ﺳﺎﺑﻘﺘﻬﺎ وإﻣﻜﺎﻧﻴﺔ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ أﻛﺜﺮ ﺗﻌﻘﻴﺪاً.
اﳉﻴﻞ اﻟﺜﺎﻟﺚ ﻣﻦ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻇﻬﺮ ﰲ أواﺳﻂ اﻟﺴﺒﻌﻴﻨﻴﺎت وﻋﺮف ﺑـ ،(Large-scale Integration) LSIوﻓﻴﻪ ﰎ ﺗﻀﻤﲔ ﻋﺸﺮات
اﻵﻻف ﻣﻦ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ ﻣﺘﻜﺎﻣﻠﺔ وﺣﻴﺪة ﻣﺜﻞ اﻟـ ،1K-bit RAMs, calculator chipsوﻛﺬﻟﻚ اﳉﻴﻞ اﻷول ﻣﻦ اﳌﻌﺎﳉﺎت
اﳌﺼﻐﺮة .اﳋﻄﻮة اﻷﺧﲑة ﰲ ﻋﻤﻠﻴﺔ ﺗﻄﻮر اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻛﺎﻧﺖ ﺑﻈﻬﻮر ﺗﻘﻨﻴﺔ (Very-large-scale Integration) VLSIﺣﻴﺚ أن
ﻋﻤﻠﻴﺔ اﻟﺘﻄﻮر ﺑﺪأت ﰲ أواﺋﻞ اﻟﺜﻤﺎﻧﻴﻨﻴﺎت ﻣﻦ ﺧﻼل دﻣﺞ ﻣﺌﺎت اﻵﻻف ﻣﻦ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة ،واﺳﺘﻤﺮت ﻟﺘﺼﻞ ﰲ ﻋﺎم
2009إﱃ ﻋﺪة ﺑﻼﻳﲔ ﻣﻦ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة .إن اﳌﻌﺎﳉﺎت ﻣﺘﻌﺪدة اﻟﻨﻮى اﻟﱵ ﻇﻬﺮت ﻣﺆﺧﺮاً ذات ﻋﺮض ﻧﺎﻗﻞ ،64-bit
واﻟﱵ ﻳﺪﻣﺞ ﻣﻌﻬﺎ ذاﻛﺮة وﺳﻴﻄﺔ ) (cache memoryووﺣﺪات ﺣﺴﺎب وﻣﻌﺎﳉﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺸﺮﻳﺔ ﺗﻌﺘﱪ ﻣﻦ اﻷﺟﻴﺎل اﳌﺘﻄﻮرة ﻟﻠﺪارات
اﳌﺘﻜﺎﻣﻠﺔ .VLSIاﻟﺸﻜﻞ 10-1ﻳﺒﲔ ﳐﻄﻄﺎً زﻣﻨﻴﺎً ﳌﺮاﺣﻞ ﻟﺘﻄﻮر ﺗﻘﻨﻴﺎت ﺗﺼﻨﻴﻊ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ .اﳉﺪول 2-1ﻳﺒﲔ ﺗﺼﻨﻴﻔﺎً ﻟﺘﻘﻨﻴﺎت ﺗﺼﻨﻴﻊ
اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ وﻓﻘﺎً ﻟﻌﺪد اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة أو ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ واﻟﺘﻄﺒﻴﻘﺎت ﻟﻜﻞ ﺟﻴﻞ.
ﳑﺎ ﳚﺐ اﻹﺷﺎرة إﻟﻴﻪ أن اﻟﺒﻌﺾ )ﻛﻤﺎ ﰲ اﻟﻴﺎﺑﺎن( ﻳﺴﺘﺨﺪم ﻣﺼﻄﻠﺢ اﻟـ ULSIاﺧﺘﺼﺎراً ﻟـ Ultra-large-scale Integrationوﻛﺬﻟﻚ
اﳌﺼﻄﻠﺢ GSIاﺧﺘﺼﺎراً Giga-scale Integrationواﻟﺬي ﻳﺸﲑ إﱃ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﱵ ﲢﻮي ﻋﻠﻰ ﺑﻼﻳﲔ اﻟﱰاﻧﺰﺳﺘﻮرات ،إﻻ أن
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 14
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﳌﻌﻈﻢ وﻗﻒ ﻋﻨﺪ اﳌﺼﻄﻠﺢ VLSIوأدرج اﻟﺘﻘﻨﻴﺎت اﳌﺬﻛﻮرة ﻛﻔﺮوع ﻟﺘﻘﻨﻴﺔ اﻟـ ،VLSIوإﻻ ﻓﺈﻧﻪ ﺳﻮف ﻳﺘﻮﺟﺐ إﳚﺎد ﻣﺼﻄﻠﺤﺎت ﻣﺘﺠﺪدة
ﺑﺸﻜﻞ داﺋﻢ -ﻋﻠﻰ اﻷﻗﻞ ﻛﻞ ﺳﻨﺘﲔ .اﻟﺸﻜﻞ 11-1ﻳﺒﲔ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﻤﻌﺎﰿ IBM Power-7واﻟﺬي ﳝﻠﻚ 8-coreوﳛﻮي
ﻋﻠﻰ 1.2ﺑﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر وﻋﻠﻰ اﻟﻴﺴﺎر ﻟﻮح اﻟـ ـ Waferوﳛﻮي ﻣﺌﺎت اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻗﺒﻞ ﻓﺼﻠﻬﺎ .اﻟﺸﻜﻞ 12-1ﻳﺒﲔ اﻟﺸﺮﳛﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ وﻟﻮح اﻟـ Waferﻟﻠﻤﻌﺎﰿ Intel Itaniumواﻟﺬي ﳝﻠﻚ 4-coreوﳛﻮي ﻋﻠﻰ 2.046ﺑﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر.
اﻟﺸﻜﻞ 11-1اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﻤﻌﺎﰿ 8-core) IBM Power7وﳛﻮي ﻋﻠﻰ 1.2ﺑﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر( وﻟﻮح اﻟ ـ""Wafer
اﻟﺸﻜﻞ 12-1اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﻤﻌﺎﰿ Intel Itaniumرﺑﺎﻋﻲ اﻟﻨﻮى وﳛﻮي ﻋﻠﻰ 2.046ﺑﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر
ﻣﻊ ﺑﺪاﻳﺎت اﻟﺜﻤﺎﻧﻴﻨﻴﺎت ﰎ اﺳﺘﺒﺪال ﺑﻮاﺑﺎت اﻟﱰاﻧﺰﺳﺘﻮرات اﳌﺼﻨﻌﺔ ﻣﻦ اﻷﳌﻨﻴﻮم ﺑﺒﻮاﺑﺎت اﻟـ Polysiliconواﻟﱵ أدت إﱃ ﲢﺴﲔ ﻛﺒﲑ ﰲ ﺗﻘﻨﻴﺔ
CMOSﺣﻴﺚ أ�ﺎ ﻣﻜﻨﺖ ﻣﻦ اﺳﺘﺨﺪام ﻧﻮﻋﲔ ﻣﻦ اﻟﱰاﻧﺰﺳﺘﻮرات ) (NMOS, PMOSﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،وﺑﺎﻟﺘﺎﱄ
15 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
أﺻﺒﺤﺖ ﻋﻤﻠﻴﺔ اﻟﺘﺼﻨﻴﻊ أﺳﻬﻞ ،ﻛﻤﺎ أن اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ أﺻﺒﺢ أﺧﻔﺾ ،وﻫﺬا ﲨﻴﻌﻪ ﺳﺎﻋﺪ ﰲ ﺗﺼﻤﻴﻢ دارات ﻣﺘﻜﺎﻣﻠﺔ أﺻﻐﺮ ﺣﺠﻤﺎً.
اﳉﺪول 3-1ﻳﺒﲔ أﺟﻴﺎل اﻟﺘﻘﻨﻴﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺑﻨﺎء اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ.
إن اﻟﺘﻄﻮر اﻟﺬي ﺣﺼﻞ ﺧﻼل 40ﻋﺎﻣﺎً -اﺑﺘﺪاءً ﻣﻦ اﻟﻌﺎم 1971وﺣﱴ ﻋﺎم - 2010أدى إﱃ اﻧﺘﻘﺎل ﻣﺴﺘﻮى ﺗﻘﻨﻴﺔ اﻟﺘﺼﻨﻴﻊ ﻣﻦ اﳊﺠﻢ
10µmإﱃ اﳊﺠﻢ 32nmﻟﻠﺨﻠﻴﺔ اﻟﱰاﻧﺰﺳﺘﻮرﻳﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ ،وﺑﺎﻟﺘﺎﱄ ﺗﻀﺎﻋﻒ ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﻮاﺣﺪة
ﲝﻮاﱄ 1000ﻣﺮة! اﻟﺸﻜﻞ 13-1ﻳﺒﲔ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﻤﻌﺎﰿ Intel-4004واﻟﱵ ﰎ إﻧﺘﺎﺟﻬﺎ ﰲ ﻋﺎم 1970ﻋﻠﻰ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ
ﲟﺴﺎﺣﺔ 10umﻣﻘﺎرﻧﺔً ﻣﻊ ﺣﺠﻤﻬﺎ ﰲ ﻋﺎم 2010ﲟﺴﺎﺣﺔ .32nmاﻟﺸﻜﻞ 14-1ﻳﺒﲔ اﳋﻂ اﻟﺰﻣﲏ ﻟﺘﻄﻮر ﺗﻘﻨﻴﺔ ﺗﺼﻨﻴﻊ اﻟﺪارات
اﳌﺘﻜﺎﻣﻠﺔ.
اﻟﺸﻜﻞ 13-1ﺣﺠﻢ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﻊ ﺗﻄﻮر ﺗﻘﻨﻴﺔ اﻟﺘﺼﻨﻴﻊ ﻣﻦ اﻟﻌﺎم 1970وﺣﱴ 2014
إن ﻣﺘﻮﺳﻂ ﻧﺼﻒ ﺣﺠﻢ اﻟﱰاﻧﺰﺳﺘﻮر ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻳﻄﻠﻖ ﻋﻠﻴﻪ ﺑـ Process Technologyوﻫﻮ اﻟﺬي ﻳﺘﻀﺎﻋﻒ وﻓﻖ ﻗﺎﻧﻮن
Moorﻛﻞ ﺳﻨﺘﲔ .ﻓﻤﺜﻼً ﻣﻦ أﺟﻞ ﺗﻘﻨﻴﺔ اﻟﺘﺼﻨﻴﻊ 65nmﻓﺈن ﻋﺸﺮات اﻵﻻف ﻣﻦ اﻟﱰاﻧﺰﺳﺘﻮرات ﳝﻜﻦ أن ﺗﺘﺴﻊ ﰲ ﻣﺴﺎﺣﺔ ﺗﻌﺎدل
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 16
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻣﺴﺎﺣﺔ ﺧﻠﻴﺔ دم ﲪﺮاء – ﳝﻜﻦ ﻟﻌﺸﺮ ﻣﻼﻳﲔ ﺗﺮاﻧﺰﺳﺘﻮر أن ﺗﺘﺴﻊ ﰲ ﻣﺴﺎﺣﺔ ﺗﻌﺎدل .1mm2اﻟﺸﻜﻞ 15-1ﻳﺒﲔ ﺻﻮرة ﻣﻴﻜﺮوﻳﺔ ﻟﱰاﻧﺰﺳﺘﻮر
ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﻤﻌﺎﰿ Intel-Quad-coreﻳﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ 65nmوﳒﺪ أن ﻣﺘﻮﺳﻂ ﻧﺼﻒ ﺣﺠﻢ اﳌﺴﺎﺣﺔ ﻫﻮ .35nm
اﻟﺸﻜﻞ 15-1ﺗﺮاﻧﺰﺳﺘﻮر ﻋﻠﻰ ﻣﻘﻄﻊ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻳﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟﺘﺼﻨﻴﻊ 65nm
ﺑﺸﻜﻞ ﻋﺎم ،ﺗﺼﻨﻒ ﺣﻠﻮل اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﺮﻗﻤﻴﺔ ﺿﻤﻦ ﻓﺮوع رﺋﻴﺴﻴﺔ ﺛﻼث:
-1اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﻘﻴﺎﺳﻴﺔ ).(Standard Logic ICs
-2اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ذات اﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﺎﻣﺔ ).(General Purposes ICs
-3اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ذات اﻟﺘﻄﺒﻴﻘﺎت اﳋﺎﺻﺔ ).(Special Purposes ICs
ﻓﻤﻨﻬﺎ ﻣﺎ ﻫﻮ ﻏﲑ ﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ،وﻣﻨﻬﺎ ﻣﺎ ﻫﻮ ﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ ) ،(Softwareوﻣﻨﻬﺎ ﻣﺎ ﻫﻮ ﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ﻋﻠﻰ
ﻣﺴﺘﻮى اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) .(Hardwareاﻟﺸﻜﻞ 16-1ﻳﺒﲔ اﻟﻔﺮوع اﻟﺮﺋﻴﺴﺔ ﻟﻠﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﺮﻗﻤﻴﺔ.
17 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
Digital ICs
Semiconductors Solution
إن ﻫﺬا اﻟﺘﺼﻨﻴﻒ ﳝﺜﻞ ﺗﺼﻨﻴﻔﺎً ﻋﺎﻣﺎً إذ ﳝﻜﻦ أن ﻳﻮﺟﺪ ﺗﺼﻨﻴﻔﺎت ﻓﺮﻋﻴﺔ أﺧﺮى ﺗﺼﻨﻒ ﺑﺄ�ﺎ دارات ﻣﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت أو ﻋﺎﻣﺔ
اﻟﺘﻄﺒﻴﻘﺎت؛ ﻓﻴﻤﺎ ﻳﻠﻲ ﻧﻔﺼﻞ ﰲ ﻫﺬﻩ اﻟﻔﺮوع.
وﺷﺮاﺋﺢ اﻟﻌﺎﺋﻠﺔ ،(4018: Counter) 40xxxxوﺷﺮاﺋﺢ اﻟﺘﻮﻗﻴﺖ ) ،(NE555وﻏﲑﻫﺎ ﻣﻦ اﻟﺸﺮاﺋﺢ اﻟﻘﻴﺎﺳﻴﺔ اﻟﱵ ﺗﺼﻨﻊ ﻣﻦ ﻗﺒﻞ اﻟﻌﺪﻳﺪ
ﻣﻦ اﻟﺸﺮﻛﺎت.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 18
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﰲ ﻋﺎم 1970ﻇﻬﺮ اﳌﻌﺎﰿ Intel 4004ذو ﻧﺎﻗﻞ ﺑﻌﺮض 4-Bitواﺳﺘﺨﺪم ﰲ ﺗﺼﻤﻴﻤﻪ 2300ﺗﺮاﻧﺰﺳﺘﻮر وﻗﺪ ﺗﻀﻤﻦ ذاﻛﺮة RAM
وذاﻛﺮة ROMووﺣﺪة ﻣﻌﺎﳉﺔ ﻣﺮﻛﺰﻳﺔ ﺑﱰدد ﻋﻤﻞ ،108KHzاﺳﺘﺨﺪم ﻫﺬا اﳌﻌﺎﰿ ﺑﺸﻜﻞ رﺋﻴﺴﻲ ﰲ اﻵﻻت اﳊﺎﺳﺒﺔ ،وﻳﻌﺘﱪ أول ﻣﻌﺎﰿ
ﻣﺼﻐﺮ] ،[93-95وﻗﺪ ﺑﻠﻎ ﺳﻌﺮﻩ آﻻف اﻟﺪوﻻرات] .[96ﰲ ﻋﺎم 1974أﻋﻠﻨﺖ ﺷﺮﻛﺔ Intelﻋﻦ أول ﻣﻌﺎﰿ ﻟﻸﻏﺮاض اﻟﻌﺎﻣﺔ ) (GPPوﻫﻮ
اﳌﻌﺎﰿ 8080ﺑﻌﺮض ﻧﺎﻗﻞ ،8-Bitواﺳﺘﺨﺪم ﰲ ﺗﺼﻤﻴﻤﻪ 4500ﺗﺮاﻧﺰﺳﺘﻮر ووﺻﻠﺖ ﺳﺮﻋﺔ ﺗﻨﻔﻴﺬﻩ إﱃ 290000ﺗﻌﻠﻴﻤﺔ ﰲ اﻟﺜﺎﻧﻴﺔ ﻋﻨﺪ ﺗﺮدد
ﻋﻤﻞ ،2MHzوﺗﻀﻤﻦ أﻳﻀﺎً 64KBﻣﻦ اﻟﺬاﻛﺮة اﳌﻌﻨﻮﻧﺔ وأﺻﺒﺢ اﳌﻌﺎﰿ 8080ﻣﻌﻴﺎراً ﺻﻨﺎﻋﻴﺎً وﺑﻠﻎ ﺳﻌﺮﻩ $395واﺳﺘﺨﺪم ﰲ ﺑﻨﺎء أول
ﺣﺎﺳﺐ ﺷﺨﺼﻲ .ﻣﻨﺬ ذاك اﳊﲔ ﺗﻄﻮرت ﻣﻌﺎﳉﺎت اﻷﻏﺮاض اﻟﻌﺎﻣﺔ – ﺳﺮﻋﺔً وأداءً – ﻓﻈﻬﺮت اﳌﻌﺎﳉﺎت ذات ﻋﺮض اﻟﻨﺎﻗﻞ 16-Bit
) ،(Intel 8086وﻣﻌﺎﳉﺎت ،(Intel/AMD x86) 32-bitوﻣﻌﺎﳉﺎت ،(Intel/AMD x64) 64-Bitﻛﻤﺎ ﻇﻬﺮت ﻣﺆﺧﺮاً اﳌﻌﺎﳉﺎت
ﻣﺘﻌﺪدة اﻟﻨﻮى ) (multi-coreﻟﺘﺴﻴﻄﺮ ﻋﻠﻰ ﻣﺴﺘﻘﺒﻞ ﺻﻨﺎﻋﺔ اﳌﻌﺎﳉﺎت .اﻟﺸﻜﻞ 17-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ )ﻋﻠﻰ اﻟﻴﻤﻦ( واﳋﺮﻳﻄﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ )ﻋﻠﻰ اﻟﻴﺴﺎر( ﻟﻠﻤﻌﺎﰿ .Intel® 4004اﻟﺸﻜﻞ 18-1ﻳﺒﲔ ﺷﺮﳛﺔ اﳌﻌﺎﰿ .Intel® 4004
اﻟﺸﻜﻞ 18-1ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel® 4004ذو ﻧﺎﻗﻞ ﺑﻌﺮض 4-Bitوﳛﻮي ﻋﻠﻰ 2300ﺗﺮاﻧﺰﺳﺘﻮر
اﻟﺸﻜﻞ 19-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ )ﻋﻠﻰ اﻟﻴﻤﻦ( واﳋﺮﻳﻄﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ )ﻋﻠﻰ اﻟﻴﺴﺎر( ﻟﻠﻤﻌﺎﰿ .Intel®8085أﻳﻀﺎً اﻟﺸﻜﻞ 20-1ﻳﺒﲔ
ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel®8085اﻟﺬي ﰎ إﻧﺘﺎﺟﻪ ﰲ ﻋﺎم 1976وﳛﻮي ﻋﻠﻰ 4500ﺗﺮاﻧﺰﺳﺘﻮر وﻳﻌﻤﻞ ﺑﱰدد .3MHzاﻟﺸﻜﻞ 20-1ﻳﺒﲔ
ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel®8086ذو ﻧﺎﻗﻞ ﺑﻴﺎﻧﺎت ﺑﻌﺮض 16-Bitوﰎ إﻧﺘﺎﺟﻪ ﰲ ﻋﺎم 1978وﳛﻮي ﻋﻠﻰ 29000ﺗﺮاﻧﺰﺳﺘﻮر وﻳﻌﻤﻞ ﺑﱰدد
.5MHz
19 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﻟﺸﻜﻞ 20-1ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel® 8085ذو ﻧﺎﻗﻞ ﺑﻌﺮض 8-Bitوﳛﻮي ﻋﻠﻰ 4500ﺗﺮاﻧﺰﺳﺘﻮر
اﻟﺸﻜﻞ 21-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ )ﻋﻠﻰ اﻟﻴﻤﻦ( واﳋﺮﻳﻄﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ )ﻋﻠﻰ اﻟﻴﺴﺎر( ﻟﻠﻤﻌﺎﰿ .Intel®8086
اﻟﺸﻜﻞ 21-1ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel® 8086ذو ﻧﺎﻗﻞ ﺑﻌﺮض 16-Bitوﳛﻮي ﻋﻠﻰ 29000ﺗﺮاﻧﺰﺳﺘﻮر
اﻟﺸﻜﻞ 23-1ﻳﺒﲔ ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel®P4ذو ﻧﺎﻗﻞ ﺑﻴﺎﻧﺎت ﺑﻌﺮض ،32-Bitوﰎ إﻧﺘﺎﺟﻪ ﰲ ﻋﺎم ،2000وﳛﻮي ﻋﻠﻰ 125ﻣﻠﻴﻮن
ﺗﺮاﻧﺰﺳﺘﻮر ،وﻳﻌﻤﻞ ﺑﱰدد .1,3~3,8GHzاﻟﺸﻜﻞ 24-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ )ﻋﻠﻰ اﻟﻴﻤﻦ( واﳋﺮﻳﻄﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ )ﻋﻠﻰ اﻟﻴﺴﺎر( ﻟﻠﻤﻌﺎﰿ
.Intel®P4
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 20
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﺸﻜﻞ 23-1ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel®P4ذو ﻧﺎﻗﻞ ﺑﻌﺮض 32-Bitوﳛﻮي ﻋﻠﻰ 125ﻣﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر
اﻟﺸﻜﻞ 25-1ﻳﺒﲔ ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel® i7ﻣﺘﻌﺪدة اﻟﻨﻮى ) (4-Coreذو ﻧﺎﻗﻞ ﺑﻴﺎﻧﺎت ﺑﻌﺮض ،64-Bitﰎ إﻧﺘﺎﺟﻪ ﰲ ﻋﺎم ،2008
وﳛﻮي ﻋﻠﻰ 731ﻣﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر] ،[97وﻳﻌﻤﻞ ﺑﱰدد .1.6~3.47GHzاﻟﺸﻜﻞ 26-1ﻳﺒﲔ ﲤﺜﻴﻞ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ اﻟﻌﺎﻣﺔ )ﻋﻠﻰ اﻟﻴﻤﻦ(
واﳋﺮﻳﻄﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ )ﻋﻠﻰ اﻟﻴﺴﺎر( ﻟﻠﻤﻌﺎﰿ .Intel® i7
اﻟﺸﻜﻞ 25-1ﺷﺮﳛﺔ اﳌﻌﺎﰿ Intel®i7رﺑﺎﻋﻲ اﻟﻨﻮى وذو ﻧﺎﻗﻞ ﺑﻌﺮض 64-Bitوﳛﻮي ﻋﻠﻰ 731ﻣﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر
ﺗﺘﺴﻢ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ﺑﺎﻟﺘﻌﻘﻴﺪ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻜﻴﺎن اﻟﺼﻠﺐ واﻟﱪﳎﻲ ،وذﻟﻚ ﻟﻜﻮن اﳌﻌﺎﰿ ﳛﻮي ﻋﻠﻰ وﻇﺎﺋﻒ
وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ) (CPUوذاﻛﺮة اﻟﱪﻧﺎﻣﺞ ) (ROMﻓﻘﻂ ،وأﻣﺎ ﺑﺎﻗﻲ اﶈﻴﻄﻴﺎت ﻛﻮﺣﺪات اﻟﺘﻮﻗﻴﺖ وذاﻛﺮة اﳌﻌﻄﻴﺎت RAM
21 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ووﺣﺪات اﳌﻘﺎﻃﻌﺎت وﻏﲑﻫﺎ ،ﻓﺠﻤﻴﻌﻬﺎ ﻳﺘﻢ وﺻﻠﻬﺎ ﺧﺎرﺟﻴﺎً ﻋﱪ اﻟﻨﺎﻗﻞ اﻟﺮﺋﻴﺴﻲ ) ،(BUSﻟﺬﻟﻚ ﻓﺈن ﻣﻌﺎﳉﺎت اﻷﻏﺮاض اﻟﻌﺎﻣﺔ ﺗﺴﺘﺨﺪم
ﻓﻘﻂ ﰲ اﳊﻮاﺳﺐ اﻟﺸﺨﺼﻴﺔ ،وﻻ ﺗﺴﺘﺨﺪم ﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ .اﻟﺸﻜﻞ 27-1ﻳﺒﲔ ﳐﻄﻄﺎً ﺗﻮﺿﻴﺤﻴﺎً ﳌﻜﻮﻧﺎت اﳊﺎﺳﺐ اﻟﺮﺋﻴﺴﻴﺔ ،ﺣﻴﺚ أن
اﳌﻌﺎﰿ اﳌﺼﻐﺮ ﻫﻮ اﻟﻌﻨﺼﺮ اﻟﺬي ﻳﻘﻮد ﲨﻴﻊ اﶈﻴﻄﻴﺎت اﻷﺧﺮى اﳌﺘﺼﻠﺔ ﻣﻌﻪ ﻋﱪ اﻟﻨﺎﻗﻞ اﻟﺮﺋﻴﺴﻲ أو ﻋﱪ ﻣﻨﺎﻓﺬ اﻹدﺧﺎل واﻹﺧﺮاج اﳋﺎرﺟﻴﺔ.
اﻟﺸﻜﻞ 28-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﳉﻬﺎز ﺣﺎﺳﺐ ﺷﺨﺼﻲ ﻳﺴﺘﺨﺪم اﳌﻌﺎﰿ اﳌﺼﻐﺮ ﻣﻦ اﳉﻴﻞ .Intel-P3
ﺗﺼﻤﻢ ﻣﻌﺎﳉﺎت اﻷﻏﺮاض اﳋﺎﺻﺔ ﲝﻴﺚ ﺗﺆﻣﻦ ﺳﻌﺔ ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ ووﻇﺎﺋﻒ ﳐﺼﺼﺔ ﻣﺘﻘﺪﻣﺔ .ﻣﺜﺎﳍﺎ :وﺣﺪة ﻣﻌﺎﳉﺔ اﻟﺮﺳﻮﻣﻴﺎت GPU
) .(Graphics Processing Unitاﻟﺸﻜﻞ 29-1ﻳﺒﲔ اﳌﻌﺎﰿ واﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻮﺣﺪة ﻣﻌﺎﳉﺔ اﻟﺮﺳﻮﻣﻴﺎت NVIDIA nForce
.680i SLI
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 22
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﰲ ﻋﺎم 2001ﻗﺮرت ﺷﺮﻛﺔ Sonyﺑﺎﻟﺘﻌﺎون ﻣﻊ ﺷﺮﻛﺔ IBMوﺷﺮﻛﺔ Toshibaﺗﻄﻮﻳﺮ ﻣﻌﺎﰿ Cell-Processorﻋﺎﱄ اﻷداء ،واﺳﺘﻤﺮ
ﺗﻄﻮﻳﺮ ﻫﺬا اﳌﻌﺎﰿ أرﺑﻊ ﺳﻨﻮات ،وﰎ ﺻﺮف ﻣﺒﻠﻎ 400ﻣﻠﻴﻮن دوﻻر ﻋﻠﻰ أﲝﺎث اﻟﺘﻄﻮﻳﺮ ،وﻳﺸﺎر إﻟﻴﻪ ﻋﺎدةً ﺑـ Cell ) CBEA
ﻳﻀﻢ اﳌﻌﺎﰿ Cell-Processorﻣﻌﺎﰿ أﻏﺮاض ﻋﺎﻣﺔ ﻣﻦ اﻟﻨﻮع 64-bit Power-PC Coreﻳﺴﻤﻰ ﺑـ ـ PPEإﺿﺎﻓﺔً إﱃ ﳎﻤﻮﻋﺔ
ﻣﻌﺎﳉﺎت ﻣﺆازرة ﻣﻦ اﳌﻌﺎﳉﺎت اﳋﺎﺻﺔ ﻣﻦ اﻟﻨﻮع SoCsﻟﺘﺴﺮﻳﻊ اﻟﺮﺳﻮﻣﻴﺎت واﻟﻮﺳﺎﺋﻂ ﺗﺴﻤﻰ ﺑـ ،SPEﻫﺬﻩ اﳌﻌﺎﳉﺎت اﳌﺆازرة ﻣﺘﺼﻠﺔ ﻣﻊ
اﻟﻮﺣﺪة اﻟﺮﺋﻴﺴﻴﺔ PPEﻋﱪ ﻧﺎﻗﻞ ﻳﺴﻤﻰ ﺑـ ،EIBوﻛﻼﳘﺎ ﻣﺘﺼﻞ ﻣﻊ ذاﻛﺮة اﻟﻨﻈﺎم ﻋﱪ ﻣﺘﺤﻜﻢ ﻳﺪﻋﻰ ﺑـ DMICاﻟﺬي ﻳﻠﺞ ذاﻛﺮة ﻣﻦ ﻧﻮع
XDRﺑﺴﻌﺔ ،25GB/sوﻛﺬﻟﻚ ﳝﻠﻚ وﺣﺪات إدﺧﺎل وإﺧﺮاج ﻣﻦ اﻟﻨﻮع FlexIOذات ﺳﺮﻋﺔ ﺗﺼﻞ إﱃ ،76.8GBsواﻟﺘﺸﻐﻴﻞ اﻷول
ﳍﺬا اﳌﻌﺎﰿ ﻋﻨﺪ ﺗﺮدد .[99]4GHz
ﻳﺘﻤﻴﺰ اﳌﻌﺎﰿ Cell-Processorﺑﺎﻹﻣﻜﺎﻧﻴﺎت اﳍﺎﺋﻠﺔ ﰲ ﻣﻌﺎﳉﺔ اﻟﻌﻤﻠﻴﺎت اﳊﺴﺎﺑﻴﺔ اﳌﻌﻘﺪة ،وﺧﺼﻮﺻﺎً اﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﻣﻠﺔ )Floating-
(pointإﺿﺎﻓﺔً إﱃ اﻟﱪﳎﺔ اﳌﻮزﻋﺔ واﳌﺘﻌﺪدة اﳌﻬﺎم ،وﺣﺎﻟﻴﺎً ﻳﺴﺘﺨﺪم ﻫﺬا اﳌﻌﺎﰿ ﰲ ﺟﻬﺎز Playstation3وﳝﻠﻚ .9-coreاﻟﺸﻜﻞ30-1
ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ [100]Cell-Processorاﳌﺴﺘﺨﺪم ﰲ ﺟﻬﺎز .Playstation3اﻟﺸﻜﻞ 31-1ﻳﺒﲔ اﳋﺮﻳﻄﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ
ﻟﻠﻤﻌﺎﰿ .Cell-Processor
23 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻌﺮف اﳌﻌﻴﺎرﻳﺔ ﺑﺄ�ﺎ اﻟﻄﺮﻳﻘﺔ اﻟﱵ ﻳﺘﻌﺎﻣﻞ ﻬﺑﺎ اﳌﻌﺎﰿ ﻣﻊ اﻟﺬاﻛﺮة ﰲ ﺟﻠﺐ وﺗﻨﻔﻴﺬ اﻟﺘﻌﻠﻴﻤﺎت وﲣﺰﻳﻦ اﻟﺒﻴﺎﻧﺎت ،وﻳﻮﺟﺪ ﻣﻌﻴﺎرﻳﺘﲔ أﺳﺎﺳﻴﺘﲔ ﰲ
ﺗﺼﻤﻴﻢ اﳌﻌﺎﳉﺎت]:[101-103
-ﻣﻌﻴﺎرﻳﺔ .Harvard
-ﻣﻌﻴﺎرﻳﺔ .Von-Neumann
1-3-2-15-1ﻣﻌﻴﺎرﻳﺔ :Von-Neumann
ﺗﻌﺘﻤﺪ ﻫﺬﻩ اﳌﻌﻴﺎرﻳﺔ ﻋﻠﻰ اﳌﻌﺎﰿ وﻧﺎﻗﻞ وﺣﻴﺪ ﻟﻨﻘﻞ اﻟﺘﻌﻠﻴﻤﺎت واﻟﺒﻴﺎﻧﺎت ﺑﲔ اﻟﺬاﻛﺮة ووﺣﺪة اﳌﻌﺎﳉﺔ ،وﺑﺎﻟﺘﺎﱄ ﺳﻮف ﳛﺘﺎج إﱃ ﻧﺒﻀﺎت
ﺗﻮﻗﻴﺖ أﻛﺜﺮ ﻣﻦ أﺟﻞ ﺗﻨﻔﻴﺬ ﻋﻤﻠﻴﺔ واﺣﺪة ،ﻟﺬﻟﻚ ﺗﺘﺼﻒ ﻫﺬﻩ اﻟﻨﻈﻢ ﺑﻜﻮ�ﺎ ﺑﻄﻴﺌﺔ ﻧﺴﺒﻴﺎً ،ﻣﺒﺪأ ﻋﻤﻠﻬﺎ ﻳﺘﻠﺨﺺ ﲟﺎ ﻳﻠﻲ:
ﻳﻘﻮم اﳌﻌﺎﰿ ﲜﻠﺐ اﻟﺘﻌﻠﻴﻤﺎت ﻣﻦ اﻟﺬاﻛﺮة. -1
ﻳﻘﻮم ﺑﻘﺮاءة اﻟﺒﻴﺎﻧﺎت ﻣﻦ اﻟﺬاﻛﺮة. -2
إﺟﺮاء اﻟﻌﻤﻠﻴﺎت ﻋﻠﻰ اﻟﺒﻴﺎﻧﺎت. -3
إﻋﺎدة ﻛﺘﺎﺑﺔ ﺗﻠﻚ اﻟﺒﻴﺎﻧﺎت ﻋﻠﻰ اﻟﺬاﻛﺮة. -4
2-3-2-15-1ﻣﻌﻴﺎرﻳﺔ :Harvard
ﺗﺘﻜﻮن ﻫﺬﻩ اﳌﻌﻴﺎرﻳﺔ ﻣﻦ اﳌﻌﺎﰿ وﻧﺎﻗﻠﲔ ﻣﻨﻔﺼﻠﲔ أﺣﺪﳘﺎ ﻟﻨﻘﻞ
اﻟﺘﻌﻠﻴﻤﺎت واﻵﺧﺮ ﻟﻨﻘﻞ اﻟﺒﻴﺎﻧﺎت ،وﲣﺘﻠﻒ ذاﻛﺮة اﻟﺒﻴﺎﻧﺎت ﻋﻦ
ذاﻛﺮة اﻟﺘﻌﻠﻴﻤﺎت ﺣﻴﺚ أن ﻟﻜﻞ ذاﻛﺮة ﺧﻄﻮط ﻋﻨﻮﻧﺔ وﲢﻜﻢ
وﳑﺮ ﻣﻌﻄﻴﺎت ﳐﺘﻠﻔﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻋﻤﻠﻴﺔ ﻗﺮاءة اﻟﺘﻌﻠﻴﻤﺎت
واﻟﺒﻴﺎﻧﺎت ﺗﺘﻢ ﰲ ﻧﻔﺲ اﻟﻮﻗﺖ ،وﺳﺘﻜﻮن ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ أﻛﱪ.
اﻟﺸﻜﻞ 33-1ﻣﻌﻴﺎرﻳﺔ Harvardوﻃﺮﻳﻘﺔ رﺑﻂ اﳌﻌﺎﰿ ﻣﻊ اﻟﺬاﻛﺮة
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 24
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﺣﱴ ﻣﻨﺘﺼﻒ اﻟﺜﻤﺎﻧﻴﻨﺎت ﰲ اﻟﻘﺮن اﻟﺴﺎﺑﻖ ﻛﺎن اﻟﺘﻮﺟﻪ اﻟﺴﺎﺋﺪ ﰲ ﻋﺎﱂ ﺻﻨﺎﻋﺔ اﳌﻌﺎﳉﺎت ﻫﻮ ﺑﻨﺎء ﻣﻌﺎﳉﺎت ذات ﺗﻌﻠﻴﻤﺎت أﻋﻘﺪ وأﻛﺜﺮ ﻋﺪداً
ﺑﺪف ﺗﺴﻬﻴﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ ،وﻟﻜﻦ ﰲ ﺗﻠﻚ اﻷﺛﻨﺎء ﻇﻬﺮ ﺗﻮﺟﻪ آﺧﺮ ﻣﻌﺎﻛﺲ ﲤﺎﻣﺎً ،وﻫﻮ اﻟﺴﻌﻲ ﻟﺒﻨﺎء ﻣﻌﺎﳉﺎت ذات ﺗﻌﻠﻴﻤﺎت ﺑﺴﻴﻄﺔ
وﳏﺪودة اﻟﻌﺪد ﳝﻜﻦ ﺗﻨﻔﻴﺬﻫﺎ ﺑﺴﺮﻋﺎت ﻋﺎﻟﻴﺔ ﺟﺪاً.
1-4-2-15-1اﻟﺒﻨﻴﺔ :CISC
وﻫﻲ ﳎﻤﻮﻋﺔ أواﻣﺮ اﳊﺎﺳﺐ اﳌﻌﻘﺪة ""Complex Instruction Set Computer؛ ﻣﻌﻈﻢ ﻣﻌﺎﳉﺎت اﳊﻮاﺳﺐ اﻟﺸﺨﺼﻴﺔ ﺗﺴﺘﺨﺪم
ﻣﻌﻤﺎرﻳﺔ ،CISCواﻟﱵ ﺗﺪﻋﻢ ﳎﻤﻮﻋﺔ ﺗﻌﻠﻴﻤﺎت ﻗﺪ ﻳﺼﻞ ﻋﺪدﻫﺎ إﱃ 3000ﺗﻌﻠﻴﻤﺔ أو أﻛﺜﺮ.
اﻟﺪاﻓﻊ اﻷﺳﺎﺳﻲ ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻫﻮ ﲣﻔﻴﺾ اﻟﺘﻜﻠﻔﺔ اﻟﻌﺎﻣﺔ ﻟﻠﺤﻮاﺳﺐ ،وذﻟﻚ ﻋﻦ ﻃﺮﻳﻖ ﺟﻌﻞ اﻟﱪﳎﺔ – وﻫﻲ اﻟﻌﻨﺼﺮ اﻷﻛﺜﺮ ﺗﻜﻠﻔﺔ ﰲ أي
ﻧﻈﺎم ﺣﺎﺳﻮﰊ – أﻛﺜﺮ ﺳﻬﻮﻟﺔ وﺑﺎﻟﺘﺎﱄ أﻗﻞ ﺗﻜﻠﻔﺔ.
ﻳﺘﻠﺨﺺ ﲨﻴﻊ ذﻟﻚ ﺑﺘﻄﺒﻴﻖ ﻣﺒﺪأ ﺑﺴﻴﻂ وﻫﻮ :ﻧﻘﻞ اﻟﺘﻌﻘﻴﺪ ﻣﻦ اﻟﱪﳎﻴﺎت إﱃ اﻟﻌﺘﺎد اﻟﺼﻠﺐ ،ﳍﺬا اﻟﺴﺒﺐ ﻳﺘﻢ ﲣﺼﻴﺺ ﺗﻌﻠﻴﻤﺔ ﻟﻜﻞ ﺣﺪث
ﻳﺘﻢ ﰲ اﳌﻌﺎﰿ ،وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ أن ﺗﺼﻞ ﳎﻤﻮﻋﺔ ﺗﻌﻠﻴﻤﺎت ﻫﺬﻩ اﳌﻌﺎﳉﺎت إﱃ آﻻف اﻟﺘﻌﻠﻴﻤﺎت ،ﻛﻤﺎ أن اﻟﻘﺎﻋﺪة اﻷﺳﺎﺳﻴﺔ ﺗﻘﻮل :إن أداء
اﻟﻜﻴﺎن اﻟﺼﻠﺐ "داﺋﻤﺎً" أﺳﺮع ﺑﻜﺜﲑ ﻣﻦ اﻷداء اﻟﱪﳎﻲ.
ﻋﻠﻰ اﻟﻨﻘﻴﺾ ﻣﻦ ذﻟﻚ ،ﻓﺈن زﻳﺎدة ﻋﺪد اﻟﺘﻌﻠﻴﻤﺎت ﻳﺰﻳﺪ ﻣﻦ ﺳﻬﻮﻟﺔ اﻟﱪﳎﺔ ،وﻳﺴﺮع زﻣﻦ ﺗﺴﻮﻳﻖ اﳌﻨﺘﺞ ) ،(Time to Marketوﻟﻜﻦ ﺑﻨﻔﺲ
اﻟﻮﻗﺖ ﻳﺆدي إﱃ زﻳﺎدة ﺗﻌﻘﻴﺪ اﻟﻌﺘﺎد اﻟﺼﻠﺐ ﻟﻠﻤﻌﺎﰿ ،ﺣﻴﺚ ﺳﻴﺤﺘﺎج إﱃ وﺣﺪة ﺗﺮﲨﺔ ﻣﻌﻘﺪة داﺧﻞ ﻧﻔﺲ اﳌﻌﺎﰿ ﻟﻠﺘﻌﺮف ﻋﻠﻰ ﻛﻢ
اﻟﺘﻌﻠﻴﻤﺎت اﻟﻜﺒﲑ ،ﻛﻤﺎ أن دورة ﺗﻨﻔﻴﺬ اﻟﺘﻌﻠﻴﻤﺔ ﺳﺘﺴﺘﻐﺮق وﻗﺘﺎً إﺿﺎﻓﻴﺎً داﺧﻞ وﺣﺪة اﻟﱰﲨﺔ ﺣﱴ ﻳﺘﻢ ﺗﻔﺴﲑﻫﺎ ﳑﺎ ﻳﻌﲏ ﺗﺒﺎﻃﺆاً ﰲ اﻷداء ،ﻛﻤﺎ
أﻧﻪ وﺑﺴﺒﺐ اﳊﺎﺟﺔ إﱃ ﻣﺴﺠﻼت داﺧﻠﻴﺔ إﺿﺎﻓﻴﺔ ﳍﺬﻩ اﻟﺘﻌﻠﻴﻤﺎت؛ ﻓﺈن ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات ﻟﺒﻨﻴﺔ اﳌﻌﺎﰿ ﺳﺘﺰداد ،وﺑﺎﻟﺘﺎﱄ ﺳﺘﺰداد ﺿﻴﺎﻋﺎت
اﻟﻄﺎﻗﺔ ﰲ اﳌﻌﺎﰿ ﳑﺎ ﻳﻨﺘﺞ ﻋﻨﻪ ارﺗﻔﺎع ﰲ درﺟﺔ ﺣﺮارة اﳌﻌﺎﰿ ،وﺳﻴﺤﺘﺎج إﱃ وﺣﺪة ﺗﱪﻳﺪ ﺧﺎﺻﺔ ،وﻫﺬا ﺑﺎﻟﻔﻌﻞ ﻣﺎ ﻧﻼﺣﻈﻪ ﰲ ﻣﻌﺎﳉﺎت
AMD & INTELاﳌﺴﺘﺨﺪﻣﺔ ﰲ اﳊﻮاﺳﺐ اﻟﺸﺨﺼﻴﺔ .إن اﻟﺴﺒﺐ اﻷﺳﺎﺳﻲ ﰲ زﻳﺎدة ﻋﺪد اﻟﺘﻌﻠﻴﻤﺎت ﰲ اﳌﻌﺎﳉﺎت اﻟﱵ ﺗﺘﺒﲎ اﻟﺒﻨﻴﺔ
– CISCﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ اﳉﺎﻧﺐ اﻟﺴﻠﱯ ﳍﺬا اﻷﻣﺮ – ﻫﻮ أن ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﺗﻜﻮن ﻣﻜﺮﺳﺔ ﻷﻏﺮاض ﻋﺎﻣﺔ ذات ﻣﻬﺎم ﻣﻌﻘﺪة ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن
ﺑﺮﻧﺎﻣﺞ ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﻳﻜﻮن ﰲ ﻏﺎﻳﺔ اﻟﺘﻌﻘﻴﺪ ،ﳍﺬا اﻟﺴﺒﺐ ﻳﺘﻢ ﺗﺰوﻳﺪ اﳌﻌﺎﰿ ﲟﺴﺠﻼت ﺗﻌﻠﻴﻤﺎت ﻟﻜﺎﻓﺔ اﻟﻌﻤﻠﻴﺎت اﻟﺮﻳﺎﺿﻴﺔ ) Sin, Cos,
ﻣﻦ أﺷﻬﺮ ﻋﺎﺋﻼت اﳌﻌﺎﳉﺎت اﻟﱵ ﺗﺘﺒﲎ اﻟﺒﻨﻴﺔ CISCﻫﻲ System/360, PDP-11, VAX, 68000, and x86 :إﺿﺎﻓﺔً إﱃ Intel,
.AMD, Cyrix, and IBM
25 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
2-4-2-15-1اﻟﺒﻨﻴﺔ :RISC
وﻫﻲ ﳎﻤﻮﻋﺔ أواﻣﺮ اﳊﺎﺳﺐ اﳌﺨﺘﺼﺮة " ،"Reduced Instruction Set Computerوﻫﻲ ﻧﻮع ﻣﻦ اﳌﻌﺎﳉﺎت اﻟﱵ ﲤﻠﻚ ﳎﻤﻮﻋﺔ
ﳏﺪودة ﻧﺴﺒﻴﺎً ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ اﻟﻌﺎﻣﺔ واﻷﺳﺎﺳﻴﺔ ،واﻟﱵ ﺗﺒﻠﻎ ﺣﻮاﱄ 200ﺗﻌﻠﻴﻤﺔ ﻛﺤﺪ أﻋﻈﻤﻲ.
ﻣﻦ ﻣﻴﺰات ﺗﻌﻠﻴﻤﺎت اﳌﻌﺎﳉﺎت ذات اﻟﺒﻨﻴﺔ RISCأ�ﺎ ﻗﺼﲑة وﻻ ﲢﺘﺎج ﻟﻮﺣﺪة ﺗﺮﲨﺔ ﺧﺎﺻﺔ ) ،(Microcodeﳑﺎ ﻳﺴﺮع ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻨﻔﻴﺬ
ﺣﻴﺚ ﳝﻜﻦ أن ﺗﺼﻞ ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ ﰲ ﺑﻌﺾ اﳌﻌﺎﳉﺎت إﱃ دورة آﻟﺔ واﺣﺪة ﻟﻜﻞ ﺗﻌﻠﻴﻤﻴﺔ .ﻣﻴﺰة أﺧﺮى ﻗﺪ ﺗﻜﻮن أﻛﺜﺮ أﳘﻴﺔ ،وﻫﻲ أﻧﻪ ﺑﺴﺒﺐ
ﻗﻠﺔ وﺑﺴﺎﻃﺔ ﺗﻌﻠﻴﻤﺎت ﻫﺬا اﻟﻨﻮع ﻓﻘﺪ أﺻﺒﺢ ﺑﺎﻹﻣﻜﺎن ﺗﻘﻠﻴﻞ ﻋﺪد اﳌﺴﺠﻼت اﻟﺪاﺧﻠﻴﺔ ،واﻟﺬي ﻳﺆدي إﱃ ﺗﻘﻠﻴﻞ ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات ،وﺑﺎﻟﺘﺎﱄ
ﲣﻔﻴﺾ ﺗﻜﻠﻔﺔ اﻟﺘﺼﻨﻴﻊ واﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ .ﻋﻠﻰ اﻟﻨﻘﻴﺾ ﻣﻦ ذﻟﻚ ،ﻓﺈن ﻗﻠﺔ ﻋﺪد اﻟﺘﻌﻠﻴﻤﺎت وﻋﻤﻮﻣﻴﺘﻬﺎ ﻳﻨﻌﻜﺲ ﺳﻠﺒﺎً ﻋﻠﻰ ﺗﻌﻘﻴﺪات ﻛﺘﺎﺑﺔ
ﺑﺮﻧﺎﻣﺞ اﳌﻌﺎﰿ وﻃﻮﻟﻪ.
ﻣﺆﺧﺮاً وﻣﻊ وﺟﻮد ﻟﻐﺎت ﺑﺮﳎﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﱂ ﺗﻌﺪ ﻫﻨﺎك ﻣﺸﻜﻠﺔ ﰲ ﺗﻌﻘﻴﺪ ﺑﺮاﻣﺞ اﳌﻌﺎﳉﺎت ذات اﻟﺒﻨﻴﺔ ،RISCوﻫﺬﻩ اﻟﺒﻨﻴﺔ ﺗﻌﺘﻤﺪﻫﺎ
ﻣﻌﻈﻢ أﻧﻮاع اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ) (Microcontrollersوﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ).(DSPs
ﻣﻦ أﺷﻬﺮ ﻋﺎﺋﻼت اﳌﻌﺎﳉﺎت اﻟﱵ ﺗﺘﺒﲎ اﻟﺒﻨﻴﺔ CISCﻫﻲDEC Alpha, AMD 29k, ARC, ARM, Atmel AVR, :
3-4-2-15-1اﻟﺒﻨﻴﺔ :MISC
ﺗﻘﻮم ﻫﺬﻩ اﻟﺒﻨﻴﺔ ﻋﻠﻰ ﻋﺪد ﻗﻠﻴﻞ ﺟﺪاً ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت اﻷﺳﺎﺳﻴﺔ ﺑﺪف ﺗﻘﻠﻴﻞ ﻋﺪد اﳌﺴﺠﻼت اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻤﻌﺎﰿ ،ﻛﻤﺎ أن ﻫﺬا اﻟﻨﻮع ﻣﻦ
اﻟﺘﻌﻠﻴﻤﺎت ﻳﻌﺘﻤﺪ ﻋﻠﻰ اﳌﻜﺪس ) – (Stack-basedاﻟﺬي ﻳﺴﺘﺨﺪم ﻟﺘﺨﺰﻳﻦ ﻋﻨﻮان اﻟﻌﻮدة ﻋﻨﺪ اﻟﻘﻔﺰ إﱃ اﻟﱪاﻣﺞ اﻟﻔﺮﻋﻴﺔ ﰲ ﺑﲎ اﻟﺘﻌﻠﻴﻤﺎت
اﻟﱵ ﺗﺴﺘﺨﺪم اﳌﺴﺠﻼت – ﺑﺪﻻً ﻣﻦ ﻛﻮﻧﻪ ﻣﻌﺘﻤﺪاً ﻋﻠﻰ اﳌﺴﺠﻼت ) ،(Register-basedوﺑﺎﻟﺘﺎﱄ ﻳﺘﻢ ﻓﻚ ﺗﺸﻔﲑ اﻟﺘﻌﻠﻴﻤﺎت ﺑﺴﺮﻋﺔ أﻛﱪ
ﻏﲑ أن ﻫﺬا ﻳﺆدي إﱃ ﻛﻮن اﻟﺘﻨﻔﻴﺬ ﻳﻌﺘﻤﺪ ﻋﻠﻰ اﻟﺘﺴﻠﺴﻞ اﻟﺘﺘﺎﺑﻌﻲ ﻟﻠﺘﻌﻠﻴﻤﺔ .ﻫﺬا اﻟﻨﻮع ﻣﻦ ﺑﲎ اﻟﺘﻌﻠﻴﻤﺎت ﺷﺎﺋﻊ ﰲ Java Virtual
،Machineوﻣﻦ أﺑﺮز اﻟﺘﻄﺒﻴﻘﺎت اﻟﺘﺠﺎرﻳﺔ اﻟﱵ ﺗﺒﻨﺖ ﻫﺬﻩ اﻟﺒﻨﻴﺔ ﻫﻮ اﳊﺎﺳﻮب INMOS Transputerﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.34-1
ﻋﺪد ﻗﻠﻴﻞ ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ ﻻ ﻳﺘﺠﺎوز 200 ﻋﺪد ﻛﺒﲑ ﺟﺪاً ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ ﻳﺼﻞ إﱃ 3000
ﺗﻌﻠﻴﻤﺎت ﺑﺮﳎﻴﺔ أﺳﺎﺳﻴﺔ ﺑﺴﻴﻄﺔ ﳝﻜﻦ ﺗﻨﻔﻴﺬﻫﺎ ﺑﺪورة واﺣﺪة ﻓﻘﻂ ﺗﻌﻠﻴﻤﺎت ﺑﺮﳎﻴﺔ ﻣﻌﻘﺪة ﻳﺴﺘﻐﺮق ﺗﻨﻔﻴﺬﻫﺎ زﻣﻨﺎًﻛﺒﲑاً ﻳﺼﻞ إﱃ 12دورة
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 26
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﺗﻨﻔﻴﺬ اﻟﺘﻌﻠﻴﻤﺎت ﻳﺘﻢ ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ دون اﳊﺎﺟﺔ ﻟﻮﺣﺪة ﺗﺮﲨﺔ اﻟﺘﻌﻠﻴﻤﺎت ﲢﺘﺎج إﱃ Microcodeﰲ اﳌﻌﺎﰿ ﻟﱰﲨﺘﻬﺎ ﻗﺒﻞ اﻟﺘﻨﻔﻴﺬ
ﻛﺘﻠﺔ اﻟﺘﻌﻠﻴﻤﺎت ﺑﺴﻴﻄﺔ وﻣﻮﺣﺪة اﻟﻄﻮل )(8, 16, 32-bit ﻛﺘﻠﺔ اﻟﺘﻌﻠﻴﻤﺎت ﺗﺘﻔﺎوت ﰲ اﻟﻄﻮل واﻟﺘﻌﻘﻴﺪ
ﻻ ﺣﺎﺟﺔ ﻟﻠﻮﺻﻮل ﻟﻠﺬاﻛﺮة )اﻷواﻣﺮ ﰲ اﳌﺴﺠﻼت( ﲢﺘﺎج ﻟﻠﻮﺻﻮل إﱃ اﻟﺬاﻛﺮة أﺛﻨﺎء اﻟﺘﻨﻔﻴﺬ
ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ Pipeliningﺑﺸﻜﻞ واﺳﻊ ﻧﺎدراً ﻣﺎ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ Pipelining
ذات ﺗﻌﻘﻴﺪ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﱪﳎﻴﺎت )(Compiler ذات ﺗﻌﻘﻴﺪ ﰲ اﻟﻜﻴﺎن اﻟﺼﻠﺐ )(Microcode Unit
اﻟﻌﺪﻳﺪ ﻣﻦ ﳎﻤﻮﻋﺎت اﳌﺴﺠﻼت وﻳﺘﻢ اﻟﺘﻨﻔﻴﺬ ﻣﻨﻬﺎ ﳎﻤﻮﻋﺔ ﻣﺴﺠﻼت وﺣﻴﺪة واﻟﻨﻘﻞ ﻳﺘﻢ ﻣﻦ اﻟﺬاﻛﺮة
ﺗﻌﺘﱪ اﳌﺘﺤﻜﻤﺎت اﻟﺮﻗﻤﻴﺔ اﳌﺼﻐﺮة اﻟﻘﻠﺐ اﻟﻨﺎﺑﺾ ﰲ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ وﰲ اﻟﺘﺠﻬﻴﺰات اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ ،وﺑﻘﺪر ازدﻳﺎد ﺗﻌﻘﻴﺪ اﻟﻮﻇﺎﺋﻒ
اﳌﻄﻠﻮﺑﺔ ﻣﻦ ﻫﺬﻩ اﻷﻧﻈﻤﺔ ،ﻳﺰداد ﺗﻌﻘﻴﺪ ﺑﻨﻴﺔ ﻫﺬﻩ اﳌﺘﺤﻜﻤﺎت؛ ﻟﺬﻟﻚ ﺗﺘﻮﻓﺮ ﻫﺬﻩ اﳌﺘﺤﻜﻤﺎت ﺿﻤﻦ ﻃﻴﻒ واﺳﻊ ﺟﺪاً ﻣﻦ اﻟﻌﺎﺋﻼت اﻟﱵ
ﺗﺘﻨﻮع ﺑﺘﻨﻮع ﻇﺎﺋﻔﻬﺎ وﺗﻄﺒﻴﻘﺎﻬﺗﺎ ،ﻓﻤﻨﻬﺎ اﳋﺎص وﻣﻨﻬﺎ اﻟﻌﺎم.
ﺗﻌﺘﱪ ﺻﻨﺎﻋﺔ اﻟﺴﻴﺎرات اﻟﻘﻮة اﶈﺮﻛﺔ ﰲ ازدﻳﺎد ﳕﻮ ﺗﻄﻮﻳﺮ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،وﺗﺸﲑ اﻹﺣﺼﺎءات إﱃ أن 33%ﻣﻦ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻨﻌﺔ
ﺗﺴﺘﺨﺪم ﰲ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﰲ اﻟﺴﻴﺎرات اﳊﺪﻳﺜﺔ] ،[107ﻛﻤﺎ ﺗﺸﲑ اﻹﺣﺼﺎءات إﱃ أن ﻋﺪد اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة اﻟﱵ ﺗﺴﺘﺨﺪم ﰲ اﻟﺴﻴﺎرات
ذات اﻟﻜﻠﻔﺔ اﳌﻨﺨﻔﻀﺔ ﻳﱰاوح ،30~40ﰲ ﺣﲔ ﻳﺴﺘﺨﺪم 70~100ﻣﺘﺤﻜﻢ ﰲ اﻟﺴﻴﺎرات ذات اﻟﻜﻠﻔﺔ اﳌﺮﺗﻔﻌﺔ].[107
ﻣﻦ اﳉﺪﻳﺮ ذﻛﺮﻩ أن ﻣﺘﻄﻠﺒﺎت ﻗﻄﺎع ﺻﻨﺎﻋﺔ اﻟﺴﻴﺎرات دﻓﻌﺖ ﺷﺮﻛﺎت ﺗﺼﻨﻴﻊ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة إﱃ ﺗﻄﻮﻳﺮ وﺗﺒﲏ ﺑﺮﺗﻮﻛﻮﻻت اﺗﺼﺎل
ﺗﺴﻠﺴﻠﻲ ﺟﺪﻳﺪة ذات وﻇﺎﺋﻒ وﻣﻴﺰات ﺗﺴﺘﻮﻋﺐ رﺑﻂ آﻻف اﳊﺴﺎﺳﺎت ﻣﺜﻞ .CAN & LINاﻟﺸﻜﻞ 35-1ﻳﺒﲔ ﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ اﻟﱵ
ﺗﺴﺘﺨﺪم اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﰲ اﻟﺴﻴﺎرات.
27 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﺗﺼﻨﻒ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﺑﺸﻜﻞ أﺳﺎﺳﻲ وﻓﻘﺎً ﻟﻌﺮض اﻟﻨﺎﻗﻞ اﻟﺮﺋﻴﺴﻲ ) (4-bit, 8-bit, 16-bit, 32-bit, 64-bitاﻟﺬي ﻳﺼﻞ ﺑﲔ
وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ وﺑﲔ ذاﻛﺮة اﳌﺘﺤﻜﻢ.
إن ﻣﻌﻴﺎر اﺧﺘﻴﺎر اﳌﻌﺎﰿ وﻓﻘﺎً ﻟﻌﺮض اﻟﻨﺎﻗﻞ اﻟﺮﺋﻴﺴﻲ ﻳﻌﺘﻤﺪ ﻋﻠﻰ درﺟﺔ ﺗﻌﻘﻴﺪ اﻟﻨﻈﺎم ،ﻓﻤﺜﻼً :ﺗﺴﺘﺨﺪم ﻣﻌﺎﳉﺎت 4-bitﰲ أﺟﻬﺰة اﻟﺘﺤﻜﻢ
ﻋﻦ ﺑﻌﺪ وأﻟﻌﺎب اﻷﻃﻔﺎل ،وﻫﺬﻩ اﳌﻌﺎﳉﺎت ﺗﻜﻮن ﳏﺪودة اﳌﻴﺰات ،وﺗﻌﻤﻞ ﻋﻨﺪ ﺗﺮدد ﻻ ﻳﺘﺠﺎوز .8MHzوأﻣﺎ ﻣﻌﺎﳉﺎت 8-bitﻓﺘﺴﺘﺨﺪم
ﰲ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﺑﺎﻟﻐﺴﺎﻻت واﻷﺟﻬﺰة اﳌﻨﺰﻟﻴﺔ ،وﻫﻲ ﺗﻌﻤﻞ ﻋﻨﺪ ﺗﺮدد ﻻ ﻳﺘﺠﺎوز .20MHzوﺗﺴﺘﺨﺪم ﻣﻌﺎﳉﺎت 16-bitﰲ أﻧﻈﻤﺔ
اﻟﺘﺤﻜﻢ اﻟﺮﻗﻤﻲ ﺑﺎﶈﺮﻛﺎت وﻫﻲ ﺗﻌﻤﻞ ﻋﻨﺪ ﺗﺮدد ﻻ ﻳﺘﺠﺎوز ،40MHzوأﻣﺎ ﻣﻌﺎﳉﺎت 32-bitﻓﺘﺴﺘﺨﺪم ﰲ اﻷﻧﻈﻤﺔ اﳌﺘﻘﺪﻣﺔ اﻟﱵ ﲢﺘﺎج
إﱃ ﻣﻌﺎﳉﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺸﺮﻳﺔ أو ﲢﻮي ﻋﻠﻰ ﻧﻈﺎم ﺗﺸﻐﻴﻞ ﻣﺪﻣﺞ ) (RTOSﻣﺜﻞ ،Linuxوﻫﻲ ﲤﻠﻚ ﻣﻴﺰات واﺳﻌﺔ ﻻ ﲤﻠﻜﻬﺎ اﳌﻌﺎﳉﺎت
اﻷدﱏ ،ﻣﺜﻞ :إﻣﻜﺎﻧﻴﺔ اﻟﺮﺑﻂ ﻣﻊ ﺑﺮوﺗﻮﻛﻮﻻت اﺗﺼﺎل ﺗﺴﻠﺴﻠﻲ ﻋﺎﻟﻴﺔ اﻟﺴﺮﻋﺔ )… (Ethernet, USB, Wi-Fi, CAN, etcوﺗﻜﻮن
ﺑﻨﻴﺘﻬﺎ أﻗﺮب إﱃ ﺑﻨﻴﺔ أﻧﻈﻤﺔ اﳊﻮاﺳﺐ وﺗﻌﻤﻞ ﻋﻦ ﺗﺮددات ﺗﱰاوح ﻣﻦ .60MHz~400MHz
اﻟﺴﺆال اﻟﺬي ﻳﺘﻢ ﻃﺮﺣﻪ ﺑﺎﺳﺘﻤﺮار ﻫﻮ :ﻣﺎ ﻫﻮ اﳌﺘﺤﻜﻢ اﳌﺼﻐﺮ اﻷﻧﺴﺐ وﻓﻘﺎً ﻟﻌﺮض اﻟﻨﺎﻗﻞ اﻷﺳﺎﺳﻲ؟
اﻹﺟﺎﺑﺔ ﻋﻠﻰ ﻫﺬا اﻟﺴﺆال ﺗﺘﺤﺪد ﲟﻮاﺻﻔﺎت اﻟﻨﻈﺎم اﳌﺮاد ﺗﻨﻔﻴﺬﻩ ،واﻟﺬي ﺑﻨﺎءً ﻋﻠﻴﻪ ﻳﺘﻢ ﲢﺪﻳﺪ اﳌﺘﺤﻜﻢ اﳌﻄﻠﻮب .اﻟﻨﻘﻄﺔ اﻷﺳﺎﺳﻴﺔ ﻫﻨﺎ ﻫﻲ
ﺳﺮﻋﺔ اﻷداء اﳌﻄﻠﻮﺑﺔ واﻟﻘﻴﻮد اﳌﻔﺮوﺿﺔ ﻋﻠﻰ اﻟﻨﻈﺎم ،ﻣﺜﻞ ﺳﺮﻋﺔ ﺗﻨﻔﻴﺬ ﺧﻮارزﻣﻴﺔ ﲢﻜﻢ ﻣﻌﻴﻨﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﺗﺮدد ﻋﻤﻞ اﳌﻌﺎﰿ ﻳﻠﻌﺐ دوراً
أﺳﺎﺳﻴﺎً ﰲ ﲢﺪﻳﺪ اﳌﻌﺎﰿ اﳌﻄﻠﻮب.
اﻟﺸﻜﻞ 36-1ﻳﺒﲔ ﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻤﺘﺤﻜﻢ اﳌﺼﻐﺮ ATAM893-Dذو ﻧﺎﻗﻞ ﺑﻌﺮض .[108-109]4-bit
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 28
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﺸﻜﻞ 37-1ﻳﺒﲔ ﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻤﺘﺤﻜﻢ اﳌﺼﻐﺮ ATmega128ذو ﻧﺎﻗﻞ ﺑﻌﺮض .[110] 8-bit
اﻟﺸﻜﻞ 38-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳌﻌﺎﰿ ATMEL Xmega128A1ذو ﻋﺮض ﻧﺎﻗﻞ .[111] 16-bit
29 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
.
][112
اﻟﺸﻜﻞ 39-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳌﻌﺎﰿ AT32UC3B0128ذو ﻧﺎﻗﻞ ﺑﻌﺮض 32-bit
ﲤﺘﻠﻚ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات اﻷﺳﺎﺳﻴﺔ واﳌﻴﺰات اﶈﻴﻄﻴﺔ اﻟﻮﻇﻴﻔﻴﺔ؛ إن اﳍﺪف ﻣﻦ ﺗﻨﻮع ﻫﺬﻩ اﳌﻴﺰات ﻫﻮ ﺗﻘﻠﻴﻞ ﻋﺪد اﻟﻌﻨﺎﺻﺮ
اﳋﺎرﺟﻴﺔ اﶈﻴﻄﻴﺔ ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ ) (PCBوذﻟﻚ ﺑﺪف:
ﺿﺎﻓﺔً إﱃ اﳌﻴﺰات اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﺗﺸﱰك ﻬﺑﺎ ﲨﻴﻊ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات ﻇﻬﺮت ﻣﺆﺧﺮاً ،وأدت إﱃ دﻓﻊ ﻋﺠﻠﺔ
اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻟﺘﻜﻮن ﺑﺪﻳﻼً ﻋﻦ اﺳﺘﺨﺪام اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ ﻣﺸﺎرﻳﻊ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ.
ﻓﻴﻤﺎ ﻳﻠﻲ ﻧﻠﺨﺺ أﻫﻢ ﻫﺬﻩ اﳌﻴﺰات ،وﻧﺒﲔ ﺗﺄﺛﲑﻫﺎ ﻋﻠﻰ ﻣﺴﺘﻮى أداء اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻣﻦ ﺧﻼل ﻣﻘﺎرﻧﺎت وﻇﻴﻔﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 30
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
إن ﻣﻌﺪل ﻧﻘﻞ اﻟﺒﻴﺎﻧﺎت اﻷﻋﻈﻤﻲ اﳌﻤﻜﻦ ﲢﻘﻴﻘﻪ ﻋﻠﻰ ﻧﺎﻓﺬة اﺗﺼﺎل ﺗﺴﻠﺴﻠﻲ SPIأو UARTﰲ ﺣﺎل وﺟﻮد وﺣﺪة ﻣﺘﺤﻜﻢ اﻟﻮﺻﻮل
اﳌﺒﺎﺷﺮ إﱃ اﻟﺬاﻛﺮة ﻫﻮ 33MBit/sﲟﻌﺎﻣﻞ ﲢﻤﻴﻞ ﻟﻮﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﺑﻨﺴﺒﺔ ّ ،15%أﻣﺎ ﰲ ﺣﺎل ﻋﺪم وﺟﻮد ﻫﺬﻩ اﻟﻮﺣﺪة؛ ﻓﺈن ﻣﻌﺪل
ﻧﻘﻞ اﻟﺒﻴﺎﻧﺎت اﻷﻋﻈﻤﻲ اﳌﻤﻜﻦ ﲢﻘﻴﻘﻪ ﻫﻮ 1MBit/sﻓﻘﻂ ،وﲟﻌﺎﻣﻞ ﲢﻤﻴﻞ ﻟﻮﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﺑﻨﺴﺒﺔ ،50%وذﻟﻚ ﻷن ﻋﻠﻰ وﺣﺪة
اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻛﻞ ﺑﺎﻳﺖ ﻣﻦ اﻟﺒﺎﻳﺘﺎت اﳌﺮﺳﻠﺔ .اﻟﺸﻜﻞ 40-1ﻳﻮﺿﺢ ﻣﻨﺤﲏ ﲢﻤﻴﻞ وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ إﱃ ﻣﻌﺪل ﻧﻘﻞ ﺑﻴﺎﻧﺎت
ﰲ ﺣﺎل وﺟﻮد وﻋﺪم وﺟﺪد .DMA
اﻟﺸﻜﻞ 40-1ﻣﻌﺪل ﲢﻤﻴﻞ وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﺑﺎﻟﻨﺴﺒﺔ إﱃ ﻣﻌﺪل اﻟﻨﻘﻞ ﻣﻊ وﺑﺪون اﻟـDMA
اﳉﺪول 5-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﳌﻌﺪﻻت ﻧﻘﻞ ﻣﺘﻌﺪدة وﻧﺴﺒﺔ ﲢﻤﻴﻞ وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﰲ ﺣﺎل ﺗﻔﻌﻴﻞ وﻋﺪم ﺗﻔﻌﻴﻞ ﻣﺘﺤﻜﻢ اﻟﻮﺻﻮل اﳌﺒﺎﺷﺮ
ﻟﻠﺬاﻛﺮة DMAﻣﻦ أﺟﻞ ﻛﻞ ﻣﻌﺪل.
Peripheral DMA
Bit Rate
Enabled Disabled
0Kbit/s 0.0% 0.0%
400Kbit/s 0.4% 17.7%
1.2Mbit/s 1.2% 53.4%
2Mbit/s 2.2% 89.9%
4Mbit/s 4.4% N/A
33Mbit/s 14.7% N/A
اﳉﺪول 5-1ﺗﺄﺛﲑ ﻣﺘﺤﻜﻢ اﻟﻮﺻﻮل اﳌﺒﺎﺷﺮ ﻟﻠﺬاﻛﺮة ﻋﻠﻰ ﻣﻌﺪل ﲢﻤﻴﻞ وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ
(32-bitﺑﻨﺎﻗﻞ ﺑﻴﺎﻧﺎت ﻣﺘﻌﺪد اﻟﻄﺒﻘﺎت ،وﻫﻮ ﳛﻮي ﻋﻠﻰ ﳎﻤﻮﻋﺔ ﻣﻦ ﻧﻮاﻗﻞ اﻟﺒﻴﺎﻧﺎت ﻋﻠﻰ اﻟﺘﻮازي ﺣﻴﺚ أن ﻛﻞ ﻧﺎﻗﻞ رﺋﻴﺴﻲ ) Master
31 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
(Busﻳﺘﺤﻜﻢ ﲟﺠﻤﻮﻋﺔ اﻟﻨﻮاﻗﻞ اﻟﺘﻔﺮﻋﻴﺔ اﳌﺨﺼﺼﺔ ﻟﻪ واﳌﺘﺼﻠﺔ ﻣﻊ اﻟﻮﺣﺪات اﻟﻄﺮﻓﻴﺔ اﻟﺜﺎﻧﻮﻳﺔ ) .(Slavesاﻟﺸﻜﻞ 41-1ﻳﺒﲔ ﻧﺎﻗﻞ اﻟﺒﻴﺎﻧﺎت
ﻣﺘﻌﺪد اﻟﻄﺒﻘﺎت واﺗﺼﺎﻟﻪ ﻣﻊ اﻟﻮﺣﺪات اﻟﻄﺮﻓﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 32
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﺸﻜﻞ 43-1ارﺗﺒﺎط اﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ ﻣﻊ ﺑﻌﻀﻬﺎ ﻋﻦ ﻃﺮﻳﻖ ﻧﺎﻗﻞ ﻧﻈﺎم ﻗﺪح اﻷﺣﺪاث
إن ﻫﺬﻩ اﳌﻴﺰة ﺗﻀﻤﻦ ﺑﻨﺴﺒﺔ 100%ﺳﺘﺠﺎﺑﺔ ﻗﺼﲑة ﻟﻠﻨﻈﺎم ﳝﻜﻦ اﻟﺘﻨﺒﺆ ﻬﺑﺎ ،وﺗﻠﻐﻲ اﻟﺘﺄﺛﲑ اﻟﺴﻠﱯ ﳌﻼﻳﲔ اﳌﻘﺎﻃﻌﺎت اﻟﱵ ﳝﻜﻦ أن ﺗﻘﺎﻃﻊ
ﻋﻤﻞ وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ .إن اﺳﺘﺒﺪال ﻧﻈﺎم ﻧﻘﻞ ﺑﻴﺎﻧﺎت ﻣﻘﺎد ﺑﺎﳌﻘﺎﻃﻌﺎت ) (interrupt-drivenﺑﻨﻈﺎم ﻧﻘﻞ ﺑﻴﺎﻧﺎت ﻣﻘﺪوح ﺑﺎﻷﺣﺪاث
) (event-triggeredﻳﺴﺎﻋﺪ ﰲ ﲣﻔﻴﺾ اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ﰲ اﻟﺘﻄﺒﻴﻘﺎت ﻧﻈﺮاً ﻟﺘﺨﻔﻴﺾ دور وﺣﺪة اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ .اﻟﺸﻜﻞ44-
1ﻳﺒﲔ ﻣﻨﺤﲏ زﻣﻦ اﻻﺳﺘﺠﺎﺑﺔ ﻧﺴﺒﺔً إﱃ درﺟﺔ ﺗﻌﻘﻴﺪ اﻟﺘﻄﺒﻴﻖ ﻟﻨﻈﺎم ﺗﻘﻠﻴﺪي ﻳﻌﺘﻤﺪ ﻋﻠﻰ اﳌﻘﺎﻃﻌﺎت ) (Conventionalوﻧﻈﺎم آﺧﺮ
ﻣﻘﺪوح ﺑﺎﻷﺣﺪاث ).(Event System
اﻟﺸﻜﻞ 44-1ﻣﻘﺎرﻧﺔ ﺑﲔ اﻻﺳﺘﺠﺎﺑﺔ ﻟﻨﻈﺎم ﺗﻘﻠﻴﺪي ﻳﻌﺘﻤﺪ اﳌﻘﺎﻃﻌﺎت وﻧﻈﺎم آﺧﺮ ﻣﻘﺪوح ﺑﺎﻷﺣﺪاث
اﻟﺸﻜﻞ 45-1ﻳﺒﲔ اﻻرﺗﺒﺎط ﺑﲔ اﶈﻴﻄﻴﺎت ووﺣﺪة اﳌﻌﺎﳉﺔ ﻟﻨﻈﺎم ﻣﻘﺎد ﺑﺎﳌﻘﺎﻃﻌﺎت ،وﻛﻴﻒ أن ﻣﻘﺎﻃﻌﺎت اﶈﻴﻄﻴﺎت ﲢﺘﺎج أن ﺗﻘﺎﻃﻊ وﺣﺪة
اﳌﻌﺎﳉﺔ اﳌﺮﻛﺰﻳﺔ ﰲ ﻛﻞ ﻣﺴﺘﻮى .اﻟﺸﻜﻞ 46-1ﻳﺒﲔ اﻻرﺗﺒﺎط ﺑﲔ اﶈﻴﻄﻴﺎت ووﺣﺪة اﳌﻌﺎﳉﺔ ﻟﻨﻈﺎم ﻳﻘﺪح ﺑﺎﻷﺣﺪاث ،وﻛﻴﻒ أن أﺣﺪاث
اﶈﻴﻄﻴﺎت ﳝﻜﻦ أن ﺗﻘﺪح أﺣﺪاث ﳏﻴﻄﻴﺎت أﺧﺮى ﺑﺪون ﻣﻘﺎﻃﻌﺔ وﺣﺪة اﳌﻌﺎﳉﺔ.
33 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﻟﺸﻜﻞ 46-1ارﺗﺒﺎط اﶈﻴﻄﻴﺎت ﻟﻨﻈﺎم ﻳﻘﺪح ﺑﺎﻷﺣﺪاث اﻟﺸﻜﻞ 45-1ارﺗﺒﺎط اﶈﻴﻄﻴﺎت ﺑﻮﺣﺪة اﳌﻌﺎﳉﺔ ﻟﻨﻈﺎم ﻣﻘﺎد ﺑﺎﳌﻘﺎﻃﻌﺔ
ﺗﻘﻮم ﻫﺬﻩ اﻟﻮﺣﺪة ﻋﻠﻰ ﲢﺴﲔ أداء اﳌﻌﺎﳉﺔ ﺑﺎﻟﺴﻤﺎح ﻟﻠﻤﻌﺎﰿ ﺑﺈﳒﺎز ﻋﻤﻠﻴﺎت ﺣﺴﺎﺑﻴﺔ ﻋﻠﻰ أﻋﺪاد ﻋﺸﺮﻳﺔ ﺑﺪﻗﺔ ﻋﺎﻟﻴﺔ ﻣﻦ ﺧﻼل أﻗﻞ ﻋﺪد
ﻣﻜﻦ ﻣﻦ دورات اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ ) .(clock cyclesإن ﻫﺬا ﺳﻮف ﻳﻨﻌﻜﺲ ﺑﺪورﻩ ﻋﻠﻰ ﺟﻮدة أداء اﻟﻨﻈﺎم اﳌﺘﺤﻜﻢ ﺑﻪ ،ﻛﻤﺜﺎل ﻋﻠﻴﻪ :ﻓﺈن
ﺧﻮارزﻣﻴﺔ اﻟﺘﺤﻜﻢ ﺑﺎﶈﺮك ﺳﻮف ﻳﺘﻌﲔ أداؤﻫﺎ ﲝﻴﺚ ﳝﻜﻦ ﺗﺸﻐﻴﻞ اﶈﺮك ﺑﺘﺤﻜﻢ ﻧﺎﻋﻢ ﺑﺎﻟﺴﺮﻋﺔ -ﺿﻴﺎﻋﺎت أﻗﻞ -ﻛﻤﺎ ﳝﻜﻦ ﺗﺮﺷﻴﺢ إﺷﺎرات
اﳊﺴﺎﺳﺎت ﻟﻠﺘﺨﻠﺺ ﻣﻦ اﻟﻀﺠﻴﺞ .اﻟﺸﻜﻞ 47-1ﻳﺒﲔ ﻃﺮﻳﻘﺔ ﲤﺜﻴﻞ رﻗﻢ 32-bitﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ﺣﻴﺚ ﳝﺜﻞ Bit-0إﺷﺎرة اﻟﺮﻗﻢ ،وﲤﺜﻞ
Bit-1~8اﻷس ،وﲤﺜﻞ Bit-9~31اﻟﻜﺴﺮ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 34
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
8-1-3-15-1اﳌﺆﻗﺘﺎت ):(Timers
ﻣﻌﻈﻢ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﲤﺘﻠﻚ ﻣﺆﻗﺘﺎت داﺧﻠﻴﺔ ﺗﺘﻔﺎوت ﻣﻦ ﺣﻴﺚ اﻟﻌﺪد واﻟﻮﻇﺎﺋﻒ واﻟﺪﻗﺔ .ﺗﺘﻮﻓﺮ ﻫﺬﻩ اﳌﺆﻗﺘﺎت ﺑﺪﻗﺔ 8, 16, 24, or
32-bitﺣﻴﺚ أن اﻟﺪﻗﺔ ﲤﺜﻞ اﻟﻘﻴﻤﺔ اﻷﻋﻈﻤﻴﺔ اﻟﱵ ﳝﻜﻦ ﻟﻠﻤﺆﻗﺖ أن ﻳﻌﺪﻫﺎ واﻟﱵ ﻳﻘﺎﺑﻠﻬﺎ ﻗﻴﻤﺔ زﻣﻨﻴﺔ .أﻳﻀﺎً ﻣﻦ اﳌﻴﺰات اﳍﺎﻣﺔ اﻟﱵ ﲤﺘﻠﻜﻬﺎ
اﳌﺆﻗﺘﺎت ﻫﻲ :ﻣﺪﺧﻞ ﺣﺎدﺛﺔ اﳌﺴﻚ ،(Input Capture) ICPأﳕﺎط ﻣﺘﻌﺪدة ﻟﺘﻮﻟﻴﺪ إﺷﺎرة ﺗﻌﺪﻳﻞ ﻋﺮض اﻟﻨﺒﻀﺔ ).(PWM
اﻟﺸﻜﻞ 49-1ﻳﺒﲔ ﳕﻂ اﻟﻌﻤﻞ اﻟﻄﺒﻴﻌﻲ ﻟﻠﻤﺆﻗﺖ )ﻋﺪاد ﺗﺼﺎﻋﺪي ﺗﻨﺎزﱄ(.
ﺘﻮﻓﺮ ﰲ اﳌﺒﺪﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ ﻟﻠﻌﺎﺋﻠﺔ AVR-Xmegaﻣﻴﺰة اﳌﻌﺎﳉﺔ اﳌﺘﻮازﻳﺔ اﻟﺘﺰاﻣﻨﻴﺔ ) (Pipeliningﺑﺄرﺑﻊ ﻗﻨﻮات ،ﺣﻴﺚ ﳝﻜﻦ ﻗﺮاءة
إﺷﺎرات أرﺑﻊ ﻣﺒﺪﻻت وﻣﻌﺎﳉﺘﻬﺎ ﻋﻠﻰ اﻟﺘﻮازي ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.51-1
35 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
12-1-3-15-1اﳌﻘﺎﻃﻌﺎت ):(Interrupts
ﲤﺘﻠﻚ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﳎﻤﻮﻋﺔ واﺳﻌﺔ ﻣﻦ اﳌﻘﺎﻃﻌﺎت ،وﻫﻲ ﺗﻘﺴﻢ ﺑﺸﻜﻞ ﻋﺎم إﱃ ﻣﻘﺎﻃﻌﺎت ﺧﺎرﺟﻴﺔ )أﺣﺪاث ﻓﻴﺰﻳﺎﺋﻴﺔ ﻋﻠﻰ أﻗﻄﺎب
اﳌﺘﺤﻜﻢ( ،وﻣﻘﺎﻃﻌﺎت داﺧﻠﻴﺔ )أﺣﺪاث ﺑﺮﳎﻴﺔ( ،وﻟﻜﻞ ﻣﻘﺎﻃﻌﺔ ﻣﻦ ﻫﺬﻩ اﳌﻘﺎﻃﻌﺎت ﻋﻠﻢ ﻳﺴﻤﻰ ﺷﻌﺎع اﳌﻘﺎﻃﻌﺔ )(Interrupt Vector
ﺴﺘﺨﺪم ﻟﻠﺘﺤﻜﻢ ﺑﺘﻨﻔﻴﺬ اﳌﻘﺎﻃﻌﺎت وﻓﻘﺎً ﻷوﻟﻮﻳﺎﻬﺗﺎ .ﺗﻘﻮم وﺣﺪة اﻟﺘﺤﻜﻢ ﺑﺎﳌﻘﺎﻃﻌﺎت ﺑﺘﻤﻜﲔ ﻣﻴﺰة اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﳌﻘﺎﻃﻌﺎت ﺑﻌﺪة ﻣﺴﺘﻮﻳﺎت
أوﻟﻮﻳﺔ ) ،(multi-level interruptوذﻟﻚ ﻟﻜﻞ وﺣﺪة ﻣﻦ وﺣﺪات اﶈﻴﻄﻴﺎت ،ﺣﻴﺚ أن اﳌﻘﺎﻃﻌﺔ ذات اﻷوﻟﻮﻳﺔ اﻷﻋﻠﻰ ﺗﺴﺘﻄﻴﻊ أن
ﺗﻘﺎﻃﻊ اﳌﻘﺎﻃﻌﺔ اﻷدﱏ ﻣﻨﻬﺎ أوﻟﻮﻳﺔ ﺧﻼل ﺗﻨﻔﻴﺬﻫﺎ ﰒ ﺗﻌﻮد ﻹﻛﻤﺎل اﳌﻘﺎﻃﻌﺔ اﻟﱵ ﰎ ﺗﻮﻗﻴﻔﻬﺎ وﻫﻜﺬا .ﻋﻠﻰ اﻟﺸﻜﻞ 52-1ﲢﺼﻞ أوﻻً اﳌﻘﺎﻃﻌﺔ
" "1وأﺛﻨﺎء ﺗﻨﻔﻴﺬﻫﺎ ﲢﺼﻞ اﳌﻘﺎﻃﻌﺔ " "2اﻟﱵ ﳍﺎ أوﻟﻮﻳﺔ أﻋﻠﻰ ،ﻓﻴﺘﻢ إﻳﻘﺎف اﳌﻘﺎﻃﻌﺔ اﻷوﱃ ﻣﺆﻗﺘﺎً ﻟﻴﺘﻢ ﺗﻨﻔﻴﺬ اﳌﻘﺎﻃﻌﺔ اﻟﺜﺎﻧﻴﺔ ،وﺧﻼل ﺗﻨﻔﻴﺬ
اﳌﻘﺎﻃﻌﺔ اﻟﺜﺎﻧﻴﺔ ﲢﺼﻞ اﳌﻘﺎﻃﻌﺔ " "3اﻟﱵ ﳍﺎ أﻋﻠﻰ أوﻟﻮﻳﺔ ﺑﲔ اﳌﻘﺎﻃﻌﺎت ،ﻓﻴﻨﺘﻘﻞ اﻟﱪﻧﺎﻣﺞ ﻟﺘﻨﻔﻴﺬﻫﺎ ﻟﻴﻌﻮد ﺑﻌﺪﻫﺎ ﻹﻛﻤﺎل اﳌﻘﺎﻃﻌﺔ اﻟﺜﺎﻧﻴﺔ ،وﻣﻦ
ﰒ اﳌﻘﺎﻃﻌﺔ اﻷوﱃ ذات اﻷوﻟﻮﻳﺔ اﻷدﱏ.
ﻫﺰاز ﻛﺮﻳﺴﺘﺎﱄ ﺧﺎرﺟﻲ ) :(External crystal oscillatorﻋﺎﱄ اﻟﺪﻗﺔ واﻟﱰدد ،ﺣﺴﺎس ﲡﺎﻩ اﻟﺼﺪﻣﺎت اﳌﻴﻜﺎﻧﻴﻜﻴﺔ واﻟﻀﺠﻴﺞ ‹
اﻟﻜﻬﺮوﻣﻐﻨﺎﻃﻴﺴﻲ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 36
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻫﺰاز RCﺧﺎرﺟﻲ ) :(External crystal oscillatorﻣﻨﺨﻔﺾ اﻟﺪﻗﺔ واﻟﱰدد ،ﺣﺴﺎس ﲡﺎﻩ اﻟﻀﺠﻴﺞ اﻟﻜﻬﺮوﻣﻐﻨﺎﻃﻴﺴﻲ. ‹
ﻣﺼﺪر ﺗﻮﻗﻴﺖ رﻗﻤﻲ ﺧﺎرﺟﻲ ) :(External digital clockاﻟﺪﻗﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻣﺼﺪر اﻟﺘﻮﻗﻴﺖ ،ﻳﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ ﻋﻤﻞ ﻣﺘﻮاﻗﺖ. ‹
ﻫﺰاز ﻛﺮﻳﺴﺘﺎﱄ 32KHzﺧﺎرﺟﻲ ) :(External 32KHz oscillatorاﺳﺘﻬﻼك ﻃﺎﻗﺔ ﻣﻨﺨﻔﺾ ،دﻗﺔ ﻋﺎﻟﻴﺔ ،ﺣﺴﺎس ﲡﺎﻩ ‹
اﻟﺼﺪﻣﺎت اﳌﻴﻜﺎﻧﻴﻜﻴﺔ.
ﻫﺰاز ﻣﻌﺎﻳﺮ RCداﺧﻠﻲ ) :(Internal calibrated RC oscillatorدﻗﺔ ﻣﺘﻮﺳﻄﺔ ،اﺳﺘﻬﻼك ﻃﺎﻗﺔ ﻣﻨﺨﻔﺾ ﺟﺪاً ،ﻣﺴﺘﻘﺮ ‹
ﺟﺪاً ،ﺗﺮدد ﻋﻤﻞ ﻣﺘﻮﺳﻂ.
ﺣﻠﻘﺔ ﻗﻔﻞ ﻃﻮري داﺧﻠﻲ ) :(Internal PLLﺗﺴﺘﺨﺪم ﻛﻤﻀﺎﻋﻒ ﺗﺮددي ﻟﺘﺰوﻳﺪ ﻣﺼﺪر ﺗﻮﻗﻴﺖ ﻋﺎﱄ اﻟﱰدد ﻟﻠﻮﺣﺪات اﻟﱵ ‹
ﺗﻌﻤﻞ ﺑﺴﺮﻋﺎت ﻋﺎﻟﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﻧﻔﺴﻬﺎ.
ﺣﻠﻘﺔ ﻗﻔﻞ ﺗﺮددي داﺧﻠﻲ ) :(Internal DFLLﻣﺼﺪر ﺗﻮﻗﻴﺖ ﻳﺘﻢ ﺗﻮﻟﻴﺪﻩ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﻧﻔﺴﻬﺎ ،ﻣﺘﻮاﻗﺖ ﻣﻊ ﻣﺼﺪر ﺗﻮﻗﻴﺖ ‹
ﺧﺎرﺟﻲ.
ﺗﱰاوح اﳉﻬﻮد اﻟﻨﻤﻮذﺟﻴﺔ ﻟﺘﻐﺬﻳﺔ اﳌﻌﺎﳉﺎت ﻣﻦ 1.2V to 5.5Vﻏﲑ أن ﺑﻌﺾ اﳌﻌﺎﳉﺎت ﳝﻜﻦ أن ﺗﻌﻤﻞ ﻋﻨﺪ ﺟﻬﻮد أﻗﻞ ﻣﻦ 1Vﻣﺜﻞ
اﳌﺘﺤﻜﻢ] ATtiny43U[113اﻟﺬي ﳝﻜﻦ أن ﻳﻌﻤﻞ ﻋﻨﺪ ﺟﻬﺪ ،0.7Vوﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ ﺗﻐﺬﻳﺘﻪ ﻣﻦ ﺑﻄﺎرﻳﺔ AAواﺣﺪة وﻫﺬا اﻟﻨﻮع ﻣﻦ
اﳌﺘﺤﻜﻤﺎت ﻳﻌﻤﻞ ﻋﻨﺪ ﺗﺮددات ﻣﻨﺨﻔﻀﺔ ،وﺻﻤﻢ ﻣﻦ أﺟﻞ ﺗﻄﺒﻴﻘﺎت ﺧﺎﺻﺔ ﺟﺪاً .إن اﻟﻔﻜﺮة ﰲ ﻫﺬا اﳌﺘﺤﻜﻢ ﻫﻮ أﻧﻪ ﳝﻠﻚ دارة ﺗﻌﺰﻳﺰ
ﺟﻬﺪ ﺗﻘﻮم ﻋﻠﻰ رﻓﻊ ﺟﻬﺪ اﻟﺒﻄﺎرﻳﺔ اﳌﻄﺒﻖ إﱃ .3V
37 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
إن اﻟﻌﻼﻗﺔ ﺑﲔ ﺗﺮدد ﻋﻤﻞ اﳌﻌﺎﰿ وﺟﻬﺪ اﻟﺘﻐﺬﻳﺔ اﳌﻄﺒﻖ ﻋﻠﻴﻪ ﻳﻌﺘﱪ ﻣﻦ اﻻﻋﺘﺒﺎرات اﻷﺳﺎﺳﻴﺔ اﳍﺎﻣﺔ ،واﻟﱵ ﻳﻐﻔﻞ ﻋﻨﻬﺎ ﻛﺜﲑ ﻣﻦ اﳌﺼﻤﻤﲔ ،إذ
أن اﳌﻌﺎﰿ ﻟﻦ ﻳﻌﻤﻞ ﻋﻨﺪ ﻛﺎﻣﻞ ﳎﺎل اﻟﱰدد ﻣﻦ أﺟﻞ ﺟﻬﺪ اﻟﺘﻐﺬﻳﺔ اﻷﺻﻐﺮي .اﻟﺸﻜﻞ 55-1ﺑﲔ ﻣﻨﺤﲏ اﻟﻌﻤﻞ اﻵﻣﻦ ﳌﻌﺎﳉﺎت & AVR
PICﻧﺴﺒﺔ إﱃ اﻟﺘﻐﺬﻳﺔ اﳌﻄﺒﻘﺔ ﻣﻦ أﺟﻞ ﻛﻞ ﺗﺮدد ﻋﻤﻞ ﳝﻜﻦ ﺗﻄﺒﻴﻘﻪ .ﻓﻤﻦ أﺟﻞ ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ﻣﻦ اﻟﻌﺎﺋﻠﺔ ) AVRاﳌﻨﺤﲏ ﻋﻠﻰ اﻟﻴﻤﲔ(
ﻓﺈن اﻟﺘﻐﺬﻳﺔ 4.5Vﺳﺘﺆﻣﻦ ﻋﻤﻞ آﻣﻦ ﻟﻠﻤﻌﺎﰿ ﻋﻨﺪ ﻛﺎﻣﻞ ﳎﺎل ﺗﺮدد ﻋﻤﻞ اﳌﻌﺎﰿ ،أﻣﺎ ﻣﻦ أﺟﻞ ﺟﻬﺪ ﺗﻐﺬﻳﺔ 3Vﻓﺈن أﻗﺼﻰ ﺳﺮﻋﺔ ﻋﻤﻞ
ﻟﻠﻤﺘﺤﻜﻢ ﳚﺐ أن ﻻ ﺗﺰﻳﺪ ﻋﻦ 8MHZﻟﻜﻲ ﻳﺒﻘﻰ اﳌﻌﺎﰿ ﺿﻤﻦ ﻣﻨﻄﻘﺔ اﻟﻌﻤﻞ اﻵﻣﻨﺔ ،وإﻻ ﻓﺈن اﳌﻌﺎﰿ ﺳﻴﻌﻤﻞ ﺿﻤﻦ ﺷﺮوط ﺣﺮﺟﺔ وﻏﲑ
ﻣﺴﺘﻘﺮة.
إن اﻟﻌﻼﻗﺔ ﺑﲔ أداء اﳌﻌﺎﰿ واﺳﺘﻬﻼك اﻟﺘﻐﺬﻳﺔ ) (DMIPS/mWﻫﻲ اﳌﻌﻴﺎر اﻷﺳﺎﺳﻲ ﰲ اﺧﺘﻴﺎر اﳌﻌﺎﳉﺎت اﳌﺨﺼﺼﺔ ﻟﻠﺘﻄﺒﻴﻘﺎت اﶈﻤﻮﻟﺔ
)ﻫﻮاﺗﻒ ﳏﻤﻮﻟﺔ ،أﺟﻬﺰة ﻛﻔﻴﺔ( ﺣﻴﺚ ﳚﺐ أن ﻳﻜﻮن اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ أﺻﻐﺮي وأداء اﳌﻌﺎﰿ أﻋﻈﻤﻲ .اﳉﺪول 6-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ اﻷداء ﻧﺴﺒﺔ
إﱃ اﺳﺘﻄﺎﻋﺔ اﻟﻌﻤﻞ ﻷﺷﻬﺮ ﻋﺎﺋﻼت اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ذات ﻧﺎﻗﻞ 32-bitﺣﻴﺚ أن اﳌﻌﺎﰿ AVR32 UC3Bﻳﻌﻤﻞ ﻋﻨﺪ ﺗﺮدد
60MHzوﻳﺴﺘﺠﺮ ﺗﻴﺎر 13.8 mAﻛﻤﺎ أن اﻻﺳﺘﻄﺎﻋﺔ اﳌﺴﺘﻬﻠﻜﺔ ﻟﻜﻞ 1MHzﻫﻲ 1.26mWﻓﻘﻂ.
AVR32 UC3B AVR32 60 MHz 82 DMIPS 1.08 13.8 mA 1.26
AVR32 UC3A AVR32 66 MHz 89 DMIPS 0.73 20.3 mA 1.86
STM32F103 Cortex-M3 72 MHz 90 DMIPS 0.62 22 mA 2.01
LM3S6965 Cortex-M3 50 MHz 63 DMIPS 0.39 35 mA 3.03
LPC236x ARM7 72 MHz 50 DMIPS 0.13 57 mA 5.22
SAM7X512 ARM7 55 MHz 39 DMIPS 0.32 24 mA -
اﳉﺪول 6-1ﻣﻘﺎرﻧﺔ اﻷداء ﻧﺴﺒﺔ إﱃ اﺳﺘﻄﺎﻋﺔ اﻟﻌﻤﻞ ﻷﺷﻬﺮ ﻋﺎﺋﻼت اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ذات ﻧﺎﻗﻞ ﺑﻌﺮض 32-bit
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 38
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﺗﺼﻨﻒ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺿﻤﻦ اﳌﻌﺎﳉﺎت اﳋﺎﺻﺔ اﻟﱵ ﲤﻠﻚ ﺑﻨﻴﺔ أﻣﺜﻠﻴﺔ ﳐﺼﺼﺔ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺴﺮﻋﺎت
ﻋﺎﻟﻴﺔ] .[114اﻟﺸﻜﻞ 57-1ﻳﺒﲔ ﲤﺜﻴﻼً ﻋﺎﻣﺎً ﻟﻨﻈﺎم ﻣﻌﺎﳉﺔ إﺷﺎرة ﺻﻮﺗﻴﺔ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ.
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن ﺑﻌﺾ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ) (dsPIC, AVR32ﲤﺘﻠﻚ وﺣﺪة ﻣﻌﺎﳉﺔ إﺷﺎرة رﻗﻤﻴﺔ ،إﻻ أن ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﻻ ﳝﻜﻦ
اﺳﺘﺨﺪاﻣﻬﺎ ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﻌﺘﻤﺪ ﻛﻠﻴﺎً ﻋﻠﻰ ﻣﻌﺎﳉﺎت إﺷﺎرة رﻗﻤﻴﺔ ﳐﺼﺼﺔ )أﺟﻬﺰة اﳍﻮاﺗﻒ اﻟﻨﻘﺎﻟﺔ واﳍﻮاﺗﻒ اﻟﺬﻛﻴﺔ( ،وذﻟﻚ ﻟﻜﻮن ﻫﺬﻩ
اﻷﺟﻬﺰة ﺗﺘﻄﻠﺐ اﺳﺘﻬﻼك ﻣﻨﺨﻔﺾ ﻟﻠﻄﺎﻗﺔ ،ﻛﻤﺎ أن ﺧﻮارزﻣﻴﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ )ﺻﻮت ،ﺻﻮرة ،ﻓﻴﺪﻳﻮ( ﺗﺘﻄﻠﺐ ﻋﺪد ﻛﺒﲑ ﻣﻦ
اﻟﻌﻤﻠﻴﺎت اﻟﺮﻳﺎﺿﻴﺔ اﳌﻌﻘﺪة اﻟﱵ ﲢﺘﺎج إﱃ أن ﻳﺘﻢ ﺗﻨﻔﻴﺬﻫﺎ ﺑﺴﺮﻋﺔ وﺑﺸﻜﻞ ﻣﺘﻜﺮر.
ﰲ ﻋﺎم 1979ﻇﻬﺮت أول ﺷﺮﳛﺔ ﻣﺪﳎﺔ ﳌﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ ) (DSP-1ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ ،Bell-Labsواﻟﱵ ﻛﺎﻧﺖ ﻋﺒﺎرة ﻋﻦ ﻣﻌﺎﰿ ﻣﺼﻐﺮ ﰎ
ﺗﺰوﻳﺪﻩ ﺑﺈﻣﻜﺎﻧﻴﺎت ﻣﻌﺎﳉﺔ رﻳﺎﺿﻴﺔ ﻣﻌﻘﺪة ،وﰎ اﺳﺘﺨﺪاﻣﻪ ﰲ أﺟﻬﺰة اﳍﻮاﺗﻒ واﻟﺘﻠﻐﺮاف .[115-116]AT&Tﰲ ﻋﺎم 1980ﻇﻬﺮ أول ﻣﻌﺎﰿ
ﻳﺪﻋﻢ ﻣﻌﺎﳉﺔ رﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ) (µPD7720ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ NECواﻟﺬي ﰎ اﺳﺘﺨﺪاﻣﻪ ﰲ ﺗﻄﺒﻴﻘﺎت voice-bandوﻳﻌﺘﱪ ﻣﻦ
39 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﻷ َُول اﻷﳒﺢ ﲡﺎرﻳﺎً ﰲ ذاك اﻟﻮﻗﺖ .ﰲ ﻋﺎم 1983ﻇﻬﺮ اﳌﻌﺎﰿ TMS32010اﻟﺬي أﻧﺘﺠﺘﻪ اﻟﺸﺮﻛﺔ اﻟﺮاﺋﺪة ﰲ
ﺻﻨﺎﻋﺔ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ Texas Instrumentsوﻗﺪ ﻻﻗﻰ ﳒﺎﺣﺎً ﻛﺒﲑاً آﻧﺬاك ،ﺣﻴﺚ اﺳﺘﺨﺪﻣﺖ ﻓﻴﻪ اﻟﺒﻨﻴﺔ Harvardوﻛﺎن ﻟﻪ
ذاﻛﺮﺗﲔ ﻣﻨﻔﺼﻠﺘﲔ )ذاﻛﺮة ﺑﻴﺎﻧﺎت وذاﻛﺮة ﺗﻌﻠﻴﻤﺎت( ﺑﺎﻹﺿﺎﻓﺔ إﱃ ﻗﺪرﺗﻪ ﻋﻠﻰ اﻟﺘﻌﺎﻣﻞ ﻣﻊ أرﻗﺎم ﺑﻄﻮل .16-bitاﻟﺸﻜﻞ 58-1ﻳﺒﲔ ﺻﻮرة
ﺷﺮﳛﺔ اﳌﻌﺎﰿ TMS320C30اﻷﻛﺜﺮ اﻧﺘﺸﺎراً ﰲ ﻓﱰة اﻟﺜﻤﺎﻧﻴﻨﻴﺎت .اﻟﺸﻜﻞ 59-1ﻳﺒﲔ ﺻﻮرة اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ
ﻟﺸﺮﳛﺔ اﳌﻌﺎﰿ DSP-1أول ﺷﺮﳛﺔ ﻣﺪﳎﺔ ﳌﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ.
ﻣﺒﺪأ اﻟﻌﻤﻞ اﻷﺳﺎﺳﻲ ﻳﺘﻠﺨﺺ ﲟﺎ ﻳﻠﻲ :ﻘﻮم ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻋﻠﻰ ﺗﻄﺒﻴﻖ ﺧﻮارزﻣﻴﺎت رﻳﺎﺿﻴﺔ ﻟﺘﺤﻠﻴﻞ اﻹﺷﺎرات اﻟﺘﺸﺎﻬﺑﻴﺔ ﻣﻦ
ﻣﺼﺎدرﻫﺎ اﻟﻔﻴﺰﻳﺎﺋﻴﺔ ﺑﺪف ﻓﺼﻞ اﳌﻌﻠﻮﻣﺎت اﻷﺳﺎﺳﻴﺔ ﻋﻦ اﻟﻀﺠﻴﺞ اﳌﱰاﻛﺐ ﻋﻠﻰ اﻹﺷﺎرة ،ﺣﻴﺚ ﺘﻢ أوﻻً ﲢﻮﻳﻞ اﻹﺷﺎرة اﻟﺘﺸﺎﻬﺑﻴﺔ إﱃ إﺷﺎرة
ﻗﻤﻴﺔ ﺑﺎﺳﺘﺨﺪام ﻣﺒﺪل ﺗﺸﺎﻬﺑﻲ رﻗﻤﻲ ) ،(ADCﰒ ﻳﺘﻢ ﺗﻄﺒﻴﻖ ﺧﻮارزﻣﻴﺎت رﻳﺎﺿﻴﺔ ﻣﺜﻞ ﻣﺮﺷﺢ ﲢﻮﻳﻞ ﻓﻮرﻳﻪ اﻟﺴﺮﻳﻊ ) (FFTFاﻟﺬي ﻳﻘﻮم
ﻋﻠﻰ اﺳﺘﺨﻼص إﺷﺎرة اﳌﻌﻠﻮﻣﺎت اﻷﺳﺎﺳﻴﺔ ،وﺑﻌﺪ ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﻳﺘﻢ إﻋﺎدة ﲢﻮﻳﻞ اﻟﺒﻴﺎﻧﺎت اﻟﺮﻗﻤﻴﺔ اﳌﺮﺷﺤﺔ إﱃإﺷﺎرة ﺗﺸﺎﻬﺑﻴﺔ ﺑﺎﺳﺘﺨﺪام ﻣﺒﺪل
ﻗﻤﻲ ﺗﺸﺎﻬﺑﻲ ).(DAC
-1ﻣﻌﺎﳉﺎت إﺷﺎرة رﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﺒﺴﻴﻄﺔ ).(Low End Fixed Point DSPs
أﻣﺜﻠﺔ.TMS320C2XX, ADSP21XX, DSP56XXX :
-2ﻣﻌﺎﳉﺎت إﺷﺎرة رﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ﻟﻠﺘﻄﺒﻴﻘﺎت اﳌﺘﻘﺪﻣﺔ ).(High End Fixed Point DSPs
أﻣﺜﻠﺔTMS320C55XX, DSP16XXX, ADSP215XX, DSP56800 :
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 40
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
2-4-15-1ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ واﻟﺜﺎﺑﺘﺔ ):(Fixed Point vs. Floating Point DSPs
ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ) (Fixed Pointﻳﻜﻮن ﻟﻠﻔﺎﺻﻠﺔ اﻟﻌﺸﺮﻳﺔ )اﻟﺜﻨﺎﺋﻴﺔ( ﻣﻮﺿﻊ ﺛﺎﺑﺖ ﰲ اﳌﺴﺠﻞ ،أﻣﺎ ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ
) (Floating Pointﻓﻼ ﻳﻜﻮن ﻟﻠﻔﺎﺻﻠﺔ ﻣﻮﻗﻊ ﺛﺎﺑﺖ.
ﻓﻤﻦ ﻣﻦ أﺟﻞ رﻗﻢ ذو ﻓﺎﺻﻠﺔ ﻋﺎﺋﻤﺔ وﺑﻄﻮل ،n-bitﻓﺈﻧﻪ ﳝﻜﻦ أن ﳝﺜﻞ ﺑﻌﺪد ﺻﺤﻴﺢ أو ﻋﺪد ﻛﺴﺮي ،وﻣﻦ اﻷﻓﻀﻞ اﻻﻗﺘﺼﺎر ﻋﻠﻰ ﲤﺜﻴﻞ
اﻷﻋﺪاد اﻟﻜﺴﺮﻳﺔ ﻓﻘﻂ ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ؛ ﻷﻧﻪ ﻣﻦ اﻟﺼﻌﺐ ﲣﻔﻴﺾ ﻋﺪد اﳋﺎﻧﺎت ﻟﺘﻤﺜﻴﻞ اﻟﻌﺪد اﻟﺼﺤﻴﺢ .اﻟﺸﻜﻞ 60-1ﻳﻌﺮض ﲤﺜﻴﻼً
ﻟﻠﺮﻗﻢ اﻟﻜﺴﺮي xﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ،ﺣﻴﺚ Mﻫﻮ ﻋﺪد ﺧﺎﻧﺎت اﳌﻌﻠﻮﻣﺔ ،أﻣﺎ اﳋﺎﻧﺔ اﻷﻛﺜﺮ أﳘﻴﺔ MSBﻓﺘﻤﺜﻞ ﺧﺎﻧﺔ اﻹﺷﺎرة .b0
ﻓﻤﻦ أﺟﻞ b0=0ﻓﺈن .x>0
𝑀𝑏 𝑑 = 𝑏0 . 𝑏1 𝑏2 … 𝑏𝑀−1
Binary Point
Sign-bit
اﻟﺸﻜﻞ 60-1ﲤﺜﻴﻞ اﻷرﻗﺎم اﻟﺜﻨﺎﺋﻴﺔ اﻟﻜﺴﺮﻳﺔ ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ
ﺗﺴﺘﺨﺪم ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ذات ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ﰲ أﻧﻈﻤﺔ اﳌﻌﺎﳉﺔ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ،وذﻟﻚ ﻷ�ﺎ ﺗﻮﻓﺮ ﺳﺮﻋﺔ ﰲ اﻷداء ،وﺗﻜﻮن
اﻗﺘﺼﺎدﻳﺔ ﻧﺴﺒﻴﺎً .أﻣﺎ ﻣﺴﺎوﺋﻬﺎ ﻓﺘﺘﻤﺜﻞ ﺑﺄن ﳍﺎ ﳎﺎﻻً دﻳﻨﺎﻣﻴﻜﻴﺎً ﺻﻐﲑاً ودﻗﺔً ﻣﻨﺨﻔﻀﺔ.
ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ﻳﺘﻢ ﲤﺜﻴﻞ اﻷﻋﺪاد اﻟﻜﺴﺮﻳﺔ ﺑﺴﻠﺴﻠﺔ ﻣﻦ اﳋﺎﻧﺎت اﻟﺜﻨﺎﺋﻴﺔ ،ﺣﻴﺚ ﺗﻜﻮن اﻟﻔﺎﺻﻠﺔ اﻟﻌﺸﺮﻳﺔ ﻟﻴﺲ ﳍﺎ ﻣﻮﻗﻊ ﺛﺎﺑﺖ ﰲ ﺑﻨﻴﺔ
اﻟﻌﺪد اﻟﻜﺴﺮي -ﻋﺎﺋﻤﺔ -وﺑﺎﻟﺘﺎﱄ ﻻ ﻳﻜﻮن ﻟﻠﻌﺪد اﻟﻜﺴﺮي ﺟﺰء ﺻﺤﻴﺢ وﺟﺰء ﻋﺸﺮي ﳏﺪدﻳﻦ ،وإﳕﺎ ﻳﺘﻢ ﲤﺜﻴﻠﻪ ﺗﺒﻌﺎً ﻟﺼﻴﻐﺔ رﻳﺎﺿﻴﺔ ﻣﻌﻴﻨﺔ –
ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :اﳌﻌﻴﺎر .IEEE Standard 754
إن ﻣﺎ ﳝﻴﺰ ﻧﻈﺎم اﻟﺘﻤﺜﻴﻞ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ﻋﻦ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ﻫﻮ ﻛﻮﻧﻪ ﻳﺪﻋﻢ ﲤﺜﻴﻼً ﻟﺎل واﺳﻊ ﻣﻦ اﻷﻋﺪاد واﻟﻘﻴﻢ ،ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل:
ﻣﻦ أﺟﻞ ﻋﺪد ﺑﻨﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ﻣﻜﻮن ﻣﻦ ﺳﺒﻊ ﺧﺎﻧﺎت ﻋﺸﺮﻳﺔ ،وﺗﺘﻮﺿﻊ اﻟﻔﺎﺻﻠﺔ ﰲ اﳋﺎﻧﺔ اﳋﺎﻣﺴﺔ ،ﻓﺈن اﻷﻋﺪاد اﻟﱵ ﳝﻜﻦ ﲤﺜﻴﻠﻬﺎ
ﺳﺘﻜﻮن ﻣﻦ اﻟﺸﻜﻞ .12345.67, 8765.43, 123.00, … :ﺑﻴﻨﻤﺎ ﰲ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ووﻓﻘﺎً ﻟﻠﻤﻌﻴﺎر ،IEEE Standard 754
ﻓﺈن اﻟﺘﻤﺜﻴﻞ ﳝﻜﻦ أن ﻳﺘﻀﻤﻦ أﻳﻀﺎً اﻷﻋﺪاد ﻣﻦ اﻟﺸﻜﻞ1.234567, 123456.6, 0.00001234567, 1234567000000000, :
ﻛﻤﺎ ﻫﻮ واﺿﺢ ﻣﻦ اﻟﺘﻤﺜﻴﻞ اﻟﺴﺎﺑﻖ ،ﻓﺈن ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ﺳﻴﻜﻮن أﻋﻠﻰ دﻗﺔً ،وﻟﻜﻦ ﺳﻴﺤﺘﺎج إﱃ ﺳﻌﺎت ﲣﺰﻳﻦ أﻛﱪ ،ﻛﻤﺎ أﻧﻪ أﻏﻠﻰ
ﲦﻨﺎً ،وأﻗﻞ ﺳﺮﻋﺔ ﻣﻦ ﻧﻈﺎم اﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ.
اﳉﺪول 7-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﺧﺼﺎﺋﺺ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ،وﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ .اﳉﺪول8-1
ﻳﺴﺘﻌﺮض أﻫﻢ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ واﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ.
41 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻣﻌﺎﻟﺠﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ ﻣﻌﺎﻟﺠﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ
أﻋﻠﻰ ﺳﻌﺮاً أرﺧﺺ ﺳﻌﺮاً اﻟﺴﻌﺮ
أﻛﱪ ﺣﺠﻤﺎً أﺻﻐﺮ ﺣﺠﻤﺎً اﻟﺤﺠﻢ
أﻛﺜﺮ اﺳﺘﻬﻼﻛﺎً ﻟﻠﻄﺎﻗﺔ أﻗﻞ اﺳﺘﻬﻼﻛﺎً ﻟﻠﻄﺎﻗﺔ اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ
أﻗﻞ ﺗﻌﻘﻴﺪاً أﻛﺜﺮ ﺗﻌﻘﻴﺪاً اﻟﺒﺮﻣﺠﺔ
أﻛﺜﺮ دﻗﺔً أﻗﻞ دﻗﺔً اﻟﺪﻗﺔ
ﳎﺎل ﻛﺒﲑ ﳎﺎل ﳏﺪود اﻟﺬاﻛﺮة
ﺗﺴﺘﺨﺪم ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﳌﺘﻘﺪﻣﺔ ﺗﺴﺘﺨﺪم ﰲ 95%ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت اﻟﺘﻄﺒﻴﻘﺎت
32/40/44/96ﺑﺖ 16/24/36/40/56/64ﺑﺖ اﻟﺪﻗﺔ
ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﻟﺠﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﻟﺠﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﻌﺎﺋﻤﺔ
اﻟﺸﻜﻞ 61-1ﻳﺴﺘﻌﺮض اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻨﻈﺎم ﻣﻌﺎﳉﺔ إﺷﺎرة ﺷﺎﻣﻞ ﻳﺴﺘﺨﺪم ﻣﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ ﰲ ﻣﻌﺎﳉﺔ إﺷﺎرات ﺻﻮﺗﻴﺔ وﻣﺮﺋﻴﺔ
واﻟﺘﺤﻜﻢ ﺑﻮﺣﺪات ﳏﻴﻄﻴﺔ ﺧﺪﻣﻴﺔ].[118
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 42
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
43 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
إن اﻟﺘﻄﻮر اﳌﺘﺴﺎرع ﰲ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻻﺗﺼﺎﻻت اﻟﺮﻗﻤﻴﺔ ﻫﻮ ﻧﺘﻴﺠﺔ اﳊﺎﺟﺔ إﱃ ﺗﻘﻨﻴﺎت ذات أداء وﺳﺮﻋﺔ أﻛﱪ ،واﻟﺬي ﺑﺪورﻩ ﻳﺘﻄﻠﺐ وﺟﻮد
ﻣﻌﺎﳉﺎت إﺷﺎرة رﻗﻤﻴﺔ ذات أداء أﻋﻠﻰ وﻗﺎدرة ﻋﻠﻰ اﻟﻌﻤﻞ ﺑﺴﺮﻋﺎت ﻛﺒﲑة ﺟﺪاً ،ﻣﻦ أﺟﻞ ذﻟﻚ ﰎ ﲢﺴﲔ وﺗﻌﺰﻳﺰ ﺑﻨﻴﺔ ﻣﺘﺤﻜﻤﺎت اﻹﺷﺎرة
اﻟﺮﻗﻤﻴﺔ ﻋﻠﻰ اﶈﺎور اﻟﺘﺎﻟﻴﺔ:
زﻳﺎدة ﻋﺪد اﻟﻌﻤﻠﻴﺎت اﻟﺘﻔﺮﻋﻴﺔ اﳌﺘﺰاﻣﻨﺔ اﻟﱵ ﳝﻜﻦ ﺗﻨﻔﻴﺬﻫﺎ ﰲ ﻛﻞ ﺗﻌﻠﻴﻤﺔ ﺧﻼل ﻛﻞ دورة ﻣﻦ دورات اﻟﱪﻧﺎﻣﺞ وذﻟﻚ ﺑﺈﺿﺎﻓﺔ
وﺣﺪات ﺗﻨﻔﻴﺬ إﺿﺎﻓﻴﺔ ).(e.g. Multipliers
إﺿﺎﻓﺔ وﺣﺪات ﻛﻴﺎن ﺻﻠﺐ ذات وﻇﺎﺋﻒ ﻣﺘﻘﺪﻣﺔ ﰲ اﻟﻨﻮاة.
إﺿﺎﻓﺔ وﺣﺪات ﻣﻌﺎﳉﺔ ﻣﺴﺎﻋﺪة ).(Co-processors
ﺗﻄﻮﻳﺮ ﻣﻌﺎﳉﺎت إﺷﺎرة رﻗﻤﻴﺔ ﻣﺘﻌﺪدة اﻟﻨﻮى ).(Multi-Core DSPs
اﻟﺸﻜﻞ 63-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﳌﻌﺎﰿ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ™ TMS320C55xواﻟﺬي ﳝﻠﻚ ﻣﻌﺎﰿ أﻏﺮاض ﻋﺎﻣﺔ ﻣﺴﺎﻋﺪ ﻣﻦ اﳉﻴﻞ
ARM926واﻟﻌﺪﻳﺪ ﻣﻦ وﺣﺪات ذات وﻇﺎﺋﻒ ﻣﺘﻘﺪﻣﺔ ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ.
اﻟﺸﻜﻞ 64-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﳌﻌﺎﰿ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﳌﻌﺰز واﳌﺘﻌﺪد اﻟﻨﻮى ™ TMS320C6472واﻟﺬي ﳝﻠﻚ .6-core DSP
اﻟﺸﻜﻞ 65-1ﻳﺒﲔ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ TI-TMS320C6472-EVMاﳋﺎﺻﺔ ﺑﺘﻄﻮﻳﺮ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻣﺘﻌﺪدة اﻟﻨﻮى.
ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺸﺮﻛﺎت اﻟﱵ ﺗﻘﻮم ﻋﻠﻰ ﺗﺼﻨﻴﻊ ﺷﺮاﺋﺢ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ وﲣﺘﻠﻒ ﺷﺮاﺋﺢ ﻫﺬﻩ اﻟﺸﺮﻛﺎت ﻣﻦ ﺣﻴﺚ ﻣﻌﺪل اﻻﻧﺘﺸﺎر
واﻷداء واﻟﺴﻌﺮ .اﳉﺪول 9-1ﻳﺴﺘﻌﺮض ﺗﺼﻨﻴﻒ اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﳌﻌﺎﳉﺎت اﻟـ DSPﻣﺮﺗﺒﺔً].[119
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 44
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻳﺒﲔ اﻟﺸﻜﻞ 66-1ﳐﻄﻂ ﻧﺴﺒﺔ اﻧﺘﺸﺎر ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻟﻠﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ اﻷواﺋﻞ] .[120اﻟﺸﻜﻞ 67-1ﻳﺒﲔ ﳐﻄﻂ ﻧﺴﺒﺔ اﻟﺘﻄﺒﻴﻘﺎت
اﻟﱵ ﺗﺴﺘﺨﺪم ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ].[121
45 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
Freescale
7.47%
Analog Devices
8% 54% Philips
Agere
14%
Others
6-4-15-1اﻟﺼﻔﺎت اﳋﺎﺻﺔ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ):(DSP Applications Special Features
ﺗﺘﺴﻢ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺼﻔﺎت ﳑﻴﺰة ﺧﺎﺻﺔ وﻫﻲ أن ﻋﺪداً ﻛﺒﲑاً ﻣﻦ اﻟﻌﻴﻨﺎت ﻳﺘﻢ إدﺧﺎﳍﺎ إﱃ اﻟﻨﻈﺎم وﻣﻌﺎﳉﺘﻬﺎ ﰒ إﺧﺮاﺟﻬﺎ
ﺑﺸﻜﻞ دوري .إن ﻫﺬﻩ اﻟﻌﻤﻠﻴﺎت ﻳﺘﻢ ﺗﻄﺒﻴﻘﻬﺎ ﺑﺸﻜﻞ ﻣﺘﻜﺮر ﻋﻠﻰ ﳎﻤﻮﻋﺔ ﳐﺘﻠﻔﺔ ﻣﻦ اﻟﻌﻴﻨﺎت ﻋﻠﻰ ﺷﻜﻞ ﻣﺼﻔﻮﻓﺎت ﺷﻌﺎﻋﻴﺔ ﻛﻤﺎ ﻳﺘﻢ
ﺗﻨﻔﻴﺬﻫﺎ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ،ﻓﻴﻤﺎ ﻳﻠﻲ ﻣﺜﺎل ﻋﻦ ﻣﺮﺷﺢ رﻗﻤﻲ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 46
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
;y[n]=0
)For (n=0; n<N; n++
){For (k = 0; k<N; k++
;]y[n] = y[n] + h[k] * x[n-k
}Next k
Next n
ﺑﺎﻟﻨﻈﺮ إﱃ اﻟﺘﻌﻠﻴﻤﺎت ﻓﺈن اﻟﻌﻤﻠﻴﺎت ﻫﻲ اﻟﻀﺮب ) (Multiplyواﳉﻤﻊ ) (Accumulateﺿﻤﻦ ﺣﻠﻘﺎت ﺗﻜﺮارﻳﺔ.
ﻟﻨﺪرس ﺣﺎﻟﺔ ﺗﻄﺒﻴﻖ اﳌﺮﺷﺢ ﻋﻠﻰ ﻣﺼﻔﻮﻓﺘﲔ ﺗﺘﻜﻮن ﻛﻞ واﺣﺪة ﻣﻨﻬﻤﺎ ﻣﻦ ﺛﻼث أﻋﺪاد ،اﻟﺸﻜﻞ.68-1
دراﺳﺔ اﳊﺎﻟﺔ اﻷوﱃ :ﻋﻤﻠﻴﺎت اﻟﻀﺮب واﳉﻤﻊ ﺑﺎﺳﺘﺨﺪام ﻣﻌﺎﰿ أﻏﺮاض ﻋﺎﻣﺔ ):(MAC using GPP
اﳉﺪول 10-1ﳛﻮي ﻋﻠﻰ ﺑﺮﻧﺎﻣﺞ ﰎ ﻛﺘﺎﺑﺘﻪ ﺑﻠﻐﺔ اﻟـ Assemblyوﻫﻮ ﻳﻘﻮم ﻋﻠﻰ ﺣﺴﺎب ﻣﺼﻔﻮﻓﺎت ﺑﺎﺳﺘﺨﺪام ﻣﻌﺎﰿ أﻏﺮاض ﻋﺎﻣﺔ .إن ﻋﺪد
اﻟﺘﻌﻠﻴﻤﺎت ﻫﻮ 12ﺗﻌﻠﻴﻤﺔ ،وإذا أﺧﺬﻧﺎ ﺑﻌﲔ اﻻﻋﺘﺒﺎر اﻟﻌﻤﻠﻴﺎت اﻟﺘﻜﺮارﻳﺔ ،ﻓﺈن ﺧﻮارزﻣﻴﺔ اﻟﺘﻨﻔﻴﺬ ﺳﻮف ﺗﺴﺘﻐﺮق زﻣﻨﺎً ﻛﺒﲑاً ،وﺳﻴﻔﻘﺪ اﻟﻨﻈﺎم
إﻣﻜﺎﻧﻴﺔ اﻟﺘﻨﻔﻴﺬ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ.
إن اﻟﻌﻘﺒﺎت اﻟﱵ ﺗﺘﻤﺜﻞ ﰲ ﺣﻞ ﻣﺜﻞ ﻫﺬﻩ اﳌﻌﺎدﻻت ﺑﺎﻟﻨﺴﺒﺔ ﳌﻌﺎﳉﺎت اﻷﻏﺮاض اﻟﻌﺎﻣﺔ ﻫﻲ أن اﳌﻌﺎﰿ ﺳﻴﺤﺘﺎج إﱃ ﻋﺪد ﺗﻌﻠﻴﻤﺎت أﻛﺜﺮ
)ﻣﻬﺎم أﻛﺜﺮ( وﺑﺎﻟﺘﺎﱄ زﻣﻦ ﺗﻨﻔﻴﺬ أﻛﱪ ،ﻛﺬﻟﻚ ﻣﺴﺄﻟﺔ وﳏﺪودﻳﺔ ﰲ اﺳﺘﺨﺪام اﻟﻨﺎﻗﻞ اﻟﺪاﺧﻠﻲ ﻟﻠﻤﻌﺎﰿ ﻧﻈﺮاً ﻷن ذاﻛﺮة اﻟﺘﻌﻠﻴﻤﺎت واﻟﺒﻴﺎﻧﺎت
47 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻣﺸﱰﻛﺔ .اﻟﺸﻜﻞ 69-1ﻳﺒﲔ ﻣﺴﺎر اﻟﺒﻴﺎﻧﺎت ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﺣﻴﺚ ﻳﺘﻢ اﺳﺘﺨﺪام ﻧﻔﺲ اﻟﺬاﻛﺮة ﻟﻠﱪﻧﺎﻣﺞ واﻟﺒﻴﺎﻧﺎت ،وﺗﺘﻢ اﻟﻌﻤﻠﻴﺎت ﻋﻠﻰ
ﻣﺴﺘﻮى اﳌﺴﺠﻼت.
دراﺳﺔ اﳊﺎﻟﺔ اﻟﺜﺎﻧﻴﺔ :ﻋﻤﻠﻴﺎت اﻟﻀﺮب اﳉﻤﻊ ﺑﺎﺳﺘﺨﺪام ﻣﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ ):(MAC using DSP
اﳉﺪول 11-1ﳛﻮي ﻋﻠﻰ ﺑﺮﻧﺎﻣﺞ ﰎ ﻛﺘﺎﺑﺘﻪ ﺑﻠﻐﺔ اﻟـ Assemblyوﻫﻮ ﻳﻘﻮم ﻋﻠﻰ ﺣﺴﺎب اﳌﺼﻔﻮﻓﺎت ﺑﻠﻐﺔ اﻟﺘﺠﻤﻴﻊ ﺑﺎﺳﺘﺨﺪام ﻣﻌﺎﰿ إﺷﺎرة
رﻗﻤﻴﺔ .إن ﻋﺪد اﻟﺘﻌﻠﻴﻤﺎت اﻟﻼزم ﻫﻮ أرﺑﻊ ﺗﻌﻠﻴﻤﺎت ﻓﻘﻂ ،وإذا أﺧﺬﻧﺎ ﺑﻌﲔ اﻻﻋﺘﺒﺎر أن اﳌﻌﺎﰿ ﳝﻠﻚ ﺧﺎﺻﻴﺔ اﻟﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻲ اﳌﺘﺰاﻣﻦ
) (Pipelineﺑﺄرﺑﻊ أﻗﻨﻴﺔ ،ﻓﺈن ﻣﻌﺎﳉﺔ ﻛﻞ ﻋﻴﻨﺔ ﺳﻮف ﺗﺴﺘﻐﺮق دورة واﺣﺪة ﻓﻘﻂ!
ﻧﻈﺮاً ﻟﻜﻮن ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﲤﻠﻚ ﺑﻨﻴﺔ ﺧﺎﺻﺔ ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﱵ ﲢﺘﺎج إﱃ ﻣﻌﺎﳉﺔ إﺷﺎرة ،ﻓﺈن اﻟﻌﻘﺒﺎت اﻟﱵ ﻇﻬﺮت ﰲ ﻣﻌﺎﳉﺎت
اﻷﻏﺮاض اﻟﻌﺎﻣﺔ ﻏﲑ ﻣﻮﺟﻮدة ﰲ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة ،ﺣﻴﺚ ﲤﻠﻚ ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﺗﻌﻠﻴﻤﺎت ﺧﺎﺻﺔ ﻣﺜﻞ MACاﻟﱵ ﺗﻨﻔﺬ ﰲ دورة واﺣﺪة ﻣﻦ
دورات ﻋﻤﻞ اﳌﻌﺎﰿ ،ﻛﻤﺎ أن ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﲤﻠﻚ ذاﻛﺮة ﺧﺎﺻﺔ ﻟﻠﱪﻧﺎﻣﺞ وذاﻛﺮة أﺧﺮى ﻟﻠﻤﻌﻄﻴﺎت ،وﻛﻞ واﺣﺪة ﻣﻨﻬﻤﺎ ﳍﺎ ﻧﺎﻗﻞ ﻣﺴﺘﻘﻞ.
اﻟﺸﻜﻞ 70-1ﻳﺒﲔ ﻣﺴﺎر اﻟﺒﻴﺎﻧﺎت ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 48
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﳑﺎ ﻻ ﺷﻚ ﻓﻴﻪ أن ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻛﺎن ﳍﺎ اﻟﺪور اﻟﺒﺎرز ﰲ ﺗﻄﺒﻴﻘﺎت ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻻﺗﺼﺎﻻت اﻟﺮﻗﻤﻴﺔ ﰲ ﺟﻴﻠﻴﻬﺎ اﻷول واﻟﺜﺎﱐ.
ﺑﺎﻷﻣﺲ ﻇﻬﺮ ﺟﻴﻞ ﺟﺪﻳﺪ – وﻫﻮ اﳉﻴﻞ اﻟﺜﺎﻟﺚ – 3Gذو ﺳﻌﺔ ﻧﻘﻞ ﻋﺎﻟﻴﺔ ﺟﺪاً ،واﻟﺬي ﻳﺘﻄﻠﺐ أداءً ﻋﺎﻟﻴﺎً وﻋﺮض ﺣﺰﻣﺔ ﺗﻨﺎﻗﻞ أﻛﱪ ﺑﻜﺜﲑ.
أﻳﻀﺎً ﻳﺘﻢ ﺗﻄﻮﻳﺮ اﳉﻴﻞ اﻟﺮاﺑﻊ ﺑﺴﺮﻋﺎت ﻧﻘﻞ ﺗﺘﺠﺎوز ﻣﺌﺎت اﳉﻴﻐﺎ ﻫﺮﺗﺰ .ﻣﺜﻞ ﻫﺬﻩ اﻟﺘﻄﺒﻴﻘﺎت ﻻ ﳝﻜﻦ ﳌﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﻟﺘﻌﺎﻣﻞ ﻣﻌﻬﺎ
ﻣﻬﻤﺎ ﺑﻠﻐﺖ ﺳﻌﺔ اﳌﻌﺎﳉﺔ ﳍﺎ .إذاً ،ﻓﻤﺎ ﻫﻲ اﻟﺒﺪاﺋﻞ اﳌﺘﻮﻓﺮة؟
اﻟﺸﻜﻞ 71-1ﻳﺒﲔ ﻣﻨﺤﲎ ﻣﻘﺎرﻧﺔ اﻷداء واﻟﺴﺮﻋﺔ ﻷﺟﻴﺎل اﻻﺗﺼﺎﻻت اﻟﻼﺳﻠﻜﻴﺔ .اﻟﺸﻜﻞ 72-1ﻳﺒﲔ ﻣﻨﺤﲎ اﻷداء وﻣﺴﺘﻮى ﺗﻌﻘﻴﺪ اﻟﻨﻈﺎم
ﻷﺟﻴﺎل اﻻﺗﺼﺎﻻت اﻟﻼﺳﻠﻜﻴﺔ ،ﺣﻴﺚ ﻧﻼﺣﻆ أن اﻷداء اﳌﻄﻠﻮب ﻟﻸﺟﻴﺎل اﳌﺘﻘﺪﻣﺔ ﻣﻦ ﺗﻘﻨﻴﺎت اﻻﺗﺼﺎﻻت ﻳﺘﻄﻠﺐ أداءً ﻳﻘﻊ ﺧﺎرج ﺣﺪود
أداء ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ.
1-7-4-15-1ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻣﺘﻌﺪدة اﻟﻨﻮى ﲟﻌﺎﳉﺎت ﻣﺆازرة ) :(DSP with GPP Multi-core
ﺗﻌﺘﱪ ﻫﺬﻩ اﳌﻌﺎﳉﺎت اﳉﻴﻞ اﳉﺪﻳﺪ ﻣﻦ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﳌﺨﺼﺼﺔ ﻟﻠﺘﻄﺒﻴﻘﺎت ﻋﺎﻟﻴﺔ اﻟﺴﺮﻋﺔ واﻷداء ،إﻻ أن اﻟﺴﻤﺎت اﳌﻤﻴﺰة
ﳌﻌﺎﳉﺎت اﻹﺷﺎرة ﻣﻦ اﳔﻔﺎض اﻟﺘﻜﻠﻔﺔ واﺳﺘﻬﻼك ﻃﺎﻗﺔ ﳏﺪود ﺑﺎت ﺑﻌﻴﺪاً ﺑﻌﺾ اﻟﺸﻲء ﰲ ﻫﺬا اﳉﻴﻞ ،ﻛﻤﺎ أن ﺑﺮﳎﺔ ﻫﺬا اﻟﻨﻮع ﻣﻦ اﳌﻌﺎﳉﺎت
ﻳﻌﺘﱪ ﻣﻦ اﻟﺘﻌﻘﻴﺪات اﻟﱪﳎﻴﺔ اﻟﻜﺒﲑة؛ إذ ﻳﻨﺒﻐﻲ ﺗﻮزﻳﻊ اﳌﻬﺎم ﻋﻠﻰ اﳌﻌﺎﳉﺎت ﺑﺮﳎﻴﺎً.
49 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﺗﺘﻜﻮن ﻫﺬﻩ اﻟﻮﺣﺪات ﻣﻦ دارة ﺿﺎرب 18x25bitودارة ﺟﺎﻣﻊ|ﻃﺎرح 48bitsﺑﺎﻹﺿﺎﻓﺔ إﱃ ﻣﺴﺠﻼت ﻟﺪﻋﻢ ﻋﻤﻠﻴﺎت اﻟﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻲ
اﳌﺘﺰاﻣﻦ ) .(pipeliningاﻟﺸﻜﻞ 73-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﻟﻮﺣﺪة DSP48Eﰲ ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﻣﻦ اﻟﻌﺎﺋﻠﺔ
.Spartan-3A DSPاﻟﺸﻜﻞ 74-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻨﻈﺎم اﺗﺼﺎﻻت WiMAXﻣﻦ اﳉﻴﻞ اﻟﺮاﺑﻊ ﻳﻌﺘﻤﺪ ﻋﻠﻰ Spartan-3A
.DSP FPGA
اﻟﺸﻜﻞ 74-1ﻟﻨﻈﺎم اﺗﺼﺎﻻت WiMAXﻣﻦ اﳉﻴﻞ اﻟﺮاﺑﻊ ﻳﻌﺘﻤﺪ ﻋﻠﻰ Spartan-3A DSP FPGA
اﳉﺪول 12-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ اﳌﻴﺰات اﻷﺳﺎﺳﻴﺔ ﻟﻠﺘﻘﻨﻴﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ].[123
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 50
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
(EEPROM, Flashﺑﺎﻹﺿﺎﻓﺔ إﱃ وﺣﺪات ﺧﺎﺻﺔ ﻟﺘﻨﻔﻴﺬ وﻇﺎﺋﻒ ﻛﺎﻻﺗﺼﺎل واﻟﺘﺸﻔﲑ واﻟﺘﺤﻜﻢ وﻏﲑﻫﺎ ،وﲤﺘﺎز ﺑﺄ�ﺎ ﳝﻜﻦ أن ﺗﻌﻤﻞ
ﻋﻨﺪ ﺳﺮﻋﺎت ﻋﺎﻟﻴﺔ ﺟﺪاً واﺳﺘﻬﻼك ﻃﺎﻗﺔ أﺻﻐﺮي وﺗﺼﻞ ﺗﻜﺎﻟﻴﻒ ﺗﻄﻮﻳﺮ ﳕﺎذﺟﻬﺎ إﱃ ﻣﻼﻳﲔ اﻟﺪوﻻرات ،ﻟﺬا ﻓﺈ�ﺎ اﳊﻞ اﻷﻣﺜﻠﻲ ﻟﻺﻧﺘﺎج ﻋﻠﻰ
ﻧﻄﺎق واﺳﻊ ﺟﺪاً ﻓﻘﻂ!
ﻳﺘﻢ ﺻﻨﻊ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻋﻠﻰ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ داﺋﺮﻳﺔ ) (300×300mmرﻗﻴﻘﺔ ﺗﺴﻤﻰ ﺑـ" ،"waferوﻛﻞ ﺷﺮﳛﺔ " "waferﲤﻠﻚ ﻣﺌﺎت
ﻣﻦ اﻟﻮﺣﺪات اﻟﱵ ﺗﺴﻤﻰ ﺑـ" "dieواﻟﱵ ﻫﻲ ﻋﺒﺎرة ﻋﻦ ﻗﻄﻌﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﺮﺑﻌﺔ ﲢﻮي ﻋﻠﻰ اﻟﺪارة اﳌﺘﻜﺎﻣﻠﺔ اﳌﺼﻤﻤﺔ .ﻳﺘﻢ ﺗﻜﻮﻳﻦ اﻟﱰاﻧﺰﺳﺘﻮرات
واﻟﻮﺻﻼت ﻣﻦ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻄﺒﻘﺎت اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ واﻟﱵ ﺗﱰاوح ﻋﺎدة ﻣﻦ 10إﱃ 40ﻃﺒﻘﺔ ﻣﺴﺘﻘﻠﺔ ﻣﱰاﻛﺒﺔ ﻓﻮق ﺑﻌﻀﻬﺎ اﻟﺒﻌﺾ ،ﻛﻞ ﻃﺒﻘﺔ ﻣﻦ
ﻫﺬﻩ اﻟﻄﺒﻘﺎت اﳌﺘﻌﺎﻗﺒﺔ ﺗﺴﻤﻰ ﺑـ"mask layerﺠﻤﻟﻤﻮﻋﺔ اﻷوﱃ ﻣﻦ اﻟﻄﺒﻘﺎت ﺗﺸﻜﻞ اﻟﱰاﻧﺰﺳﺘﻮرات واﳌﻘﺎوﻣﺎت واﳌﻜﺜﻔﺎت ،أﻣﺎ اﺠﻤﻟﻤﻮﻋﺔ
اﻟﺜﺎﻧﻴﺔ ﻓﺘﺸﻜﻞ اﻟﻮﺻﻼت اﳌﻌﺪﻧﻴﺔ ﺑﲔ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ .اﻟﺸﻜﻞ 75-1ﻳﺒﲔ ﻣﻘﻄﻌﺎً ﰲ ﻃﺒﻘﺎت اﻟﺴﻴﻠﻴﻜﻮن واﻷﻛﺴﻴﺪ ﻟﺪارة ﻣﺘﻜﺎﻣﻠﺔ.
اﻟﺸﻜﻞ 76-1ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﻤﻌﺎﰿ P4واﻟﺬي ﺗﻄﻠﺐ 21ﻃﺒﻘﺔ ﻗﻨﺎع وﳝﻠﻚ 6ﻃﺒﻘﺎت وﺻﻼت ﻣﻌﺪﻧﻴﺔ ﲨﻌﺖ 22ﻣﻠﻴﻮن
ﺗﺮاﻧﺰﺳﺘﻮر ﻋﻠﻰ ﺷﺮﳛﺔ waferﺑﻘﻴﺎس .224mm2 die
51 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems | اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ
77-1 اﻟﺸﻜﻞ. وﲣﺘﻠﻒ ﻋﻦ ﺑﻌﻀﻬﺎ ﻣﻦ ﺣﻴﺚ أﺳﻠﻮب اﻟﺘﺼﻤﻴﻢ وﺗﻘﻨﻴﺔ اﻟﺘﺼﻨﻴﻊ،ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت
Full-Custom ASICs •
Standard-Cell–Based ASICs •
Gate-Array–Based ASICs •
Channeled Gate Array ‹
Channelless Gate Array ‹
Structured Gate Array ‹
Programmable Logic Devices •
Field-Programmable Gate Arrays •
ASICs
PML Gate
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 52
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
)… ،multiplexers, and flip-flops, etcﻫﺬﻩ اﳌﻜﺘﺒﺎت اﻟﻘﻴﺎﺳﻴﺔ ﻳﺘﻢ أﻧﺸﺎؤﻫﺎ وﺗﺮﻛﻴﺒﻬﺎ ﻣﻦ اﻟﻮﺻﻒ RTLﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت وﺻﻒ
اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﺜﻞ ،VHDL or Verilog :إﺿﺎﻓﺔً إﱃ ذﻟﻚ ﻓﺈن ﻫﺬا اﻟﻨﻮع ﳝﻜﻦ أن ﻳﺘﻀﻤﻦ وﺣﺪات ) (Blocksﺗﺴﻤﻰ
ﺑـ" "megacellsﻣﺘﻮﺿﻌﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﺑﺸﻜﻞ ﻣﺴﺒﻖ ،وﻳﺘﻢ اﺳﺘﺪﻋﺎؤﻫﺎ ﻣﻦ اﳌﻜﺘﺒﺎت اﻟﻘﻴﺎﺳﻴﺔ ،وﳝﻜﻦ أن ﺗﻜﻮن ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ )(MCU
أو ﻣﻌﺎﰿ ﻣﺼﻐﺮ ) ،(MPUﻟﺬا ﻓﺈن ﻣﺼﻤﻢ اﻟـ ASICﳛﺪد ﻓﻘﻂ ﺗﻮﺿﻊ وﺣﺪات اﳋﻼﻳﺎ اﻟﻘﻴﺎﺳﻴﺔ واﻟﻮﺻﻼت ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ ﻣﻊ اﻟﻌﻠﻢ أن
اﳋﻼﻳﺎ ﳝﻜﻦ أن ﻳﺘﻢ ﺗﻮزﻳﻌﻬﺎ ﰲ أي ﻣﻜﺎن ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﲨﻴﻊ ﻃﺒﻘﺎت اﻷﻗﻨﻌﺔ ﻳﺘﻢ ﺑﻨﺎؤﻫﺎ ﺑﺸﻜﻞ اﺳﺘﺜﻨﺎﺋﻲ ﻣﻦ أﺟﻞ
ﺗﻄﺒﻴﻖ ﺧﺎص وﳏﺪد.
اﻟﺸﻜﻞ 79-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﻟـ CBICواﻟﺬي ﳝﻜﻦ رؤﻳﺘﻪ ﺑﺎﺳﺘﺨﺪام ﻣﻴﻜﺮوﺳﻜﻮب ،وﻫﻲ ﲢﻮي ﻋﻠﻰ standard-cellإﺿﺎﻓﺔ إﱃ
flexible blockاﻟﱵ ﲢﻮي ﻋﻠﻰ ﳎﻤﻮﻋﺎت ﻣﻦ ،standard cellsوﻋﻠﻰ اﻷﻃﺮاف ﻳﻮﺟﺪ ﻧﻘﺎط اﻟﺘﻮﺻﻴﻞ ﻟﻠﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﻊ أﻗﻄﺎب
اﻟﻐﻼف اﳋﺎرﺟﻲ ﻟﻠﺸﺮﳛﺔ ،وﻫﻲ ﻋﻠﻰ ﺷﻜﻞ ﻣﺮﺑﻌﺎت ﺻﻐﲑة.
ﻳﺘﻢ ﺗﺼﻤﻴﻢ وﺣﺪات اﳋﻼﻳﺎ اﻟﻘﻴﺎﺳﻴﺔ ﲝﻴﺚ ﳝﻜﻦ ﲨﻌﻬﺎ إﱃ ﺑﻌﻀﻬﺎ ﺗﺼﺒﺢ ﻛﺘﻠﺔ ﻗﺎﺑﻞ ﻟﻠﺘﺸﻜﻴﻞ .اﻟﺸﻜﻞ 80-1ﻳﺒﲔ ﻃﺒﻘﺎت اﻷﻗﻨﻌﺔ وﻃﺮﻳﻘﺔ
رﺑﻂ اﳋﻼﻳﺎ ﻣﻊ ﺑﻌﻀﻬﺎ اﻟﺒﻌﺾ؛ اﻟﻮﺻﻠﺔ Metal2ﺗﺴﺘﺨﺪم ﻟﺘﺨﻄﻲ اﻟﺘﻘﺎﻃﻌﺎت ﻣﻊ ﺻﻔﻮف اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ اﻟﱵ ﺗﺴﺘﺨﺪم اﻟﻮﺻﻠﺔ
.Metal1
53 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﳏﺎﺳﻦ ﻫﺬا اﻟﻨﻮع ﻫﻲ أن اﳌﺼﻤﻢ ﻳﻮﻓﺮ اﻟﻮﻗﺖ واﳌﺎل ﺑﺎﺳﺘﺨﺪام ﻣﻜﺘﺒﺎت اﳋﻼﻳﺎ اﻷﻣﺜﻠﻴﺔ اﻟﻨﻤﻮذﺟﻴﺔ ﻋﻮﺿﺎً ﻋﻦ ﺑﻨﺎء ﻫﺬﻩ اﳌﻜﺘﺒﺎت وﻓﺤﺼﻬﺎ
وﺟﻌﻠﻬﺎ أﻣﺜﻠﻴﺔ ،ﻛﻤﺎ ﳝﻜﻦ ﺗﻀﻤﲔ وﺣﺪات وﻇﻴﻔﻴﺔ ﻣﺘﻘﺪﻣﺔ .اﳌﻴﺰات اﻷﺳﺎﺳﻴﺔ ﳍﺬا اﻟﻨﻮع ﺗﺘﻠﺨﺺ ﺑـ:
ﻣﺴﺎوئ ﻫﺬا اﻟﻨﻮع ﻫﻮ اﻟﻮﻗﺖ اﳌﺼﺮوف ﻟﺘﺼﻤﻴﻢ ﻫﺬﻩ اﳌﻜﺘﺒﺎت أو ﻛﻠﻔﺔ ﺷﺮاﺋﻬﺎ ،أﻳﻀﺎً ﲢﺘﺎج ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ إﱃ إﻋﺎدة ﺗﺼﻨﻴﻊ ﲨﻴﻊ اﻟﻄﺒﻘﺎت
ﻣﻦ أﺟﻞ ﻛﻞ ﺗﻄﺒﻴﻖ ﺧﺎص .ﳝﻜﻦ ﺗﻠﺨﻴﺺ اﳌﺴﺎوئ ﲟﺎ ﻳﻠﻲ:
اﻟﺸﻜﻞ 81-1ﻳﺒﲔ اﳌﻜﺘﺒﺔ اﻟﻘﻴﺎﺳﻴﺔ ﻟﻠﺒﻮاﺑﺔ .XORاﻟﺸﻜﻞ 82-1ﻳﺒﲔ اﳌﻜﺘﺒﺔ اﻟﻘﻴﺎﺳﻴﺔ ﳌﺸﻔﺮ ﻋﺎﻛﺲ ﺛﻨﺎﺋﻲ اﻻﲡﺎﻩ].[124
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 54
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻳﺘﻢ ﺗﻨﻔﻴﺬ اﻟﺘﺼﻤﻴﻢ ﻣﻦ ﺧﻼل اﺧﺘﻴﺎر اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ اﳌﺼﻤﻤﺔ واﳌﺼﻨﻔﺔ ﺑﺸﻜﻞ ﻣﺴﺒﻖ ﻣﻦ ﻣﻜﺘﺒﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺔ )(gate-array library
وﻣﻦ ﰒ رﺑﻄﻬﺎ ﻣﻦ ﺧﻼل اﻟﻮﺻﻼت اﳌﻌﺪﻧﻴﺔ؛ ﻫﺬﻩ اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ ﺗﺪﻋﻰ ﺑـ .Macrosﺑﻌﺪ اﻟﺘﺄﻛﺪ ﻣﻦ اﻟﺘﻮﺻﻴﻞ اﻟﻨﻈﺮي ،ﻳﺘﻢ ﲢﻮﻳﻞ اﳌﺨﻄﻂ
ﻣﻦ macro-basedإﱃ ﳐﻄﻂ ﺧﺮج ) (layoutﺑﺎﺳﺘﺨﺪام اﻟـ Base-cellsوﻳﺘﻢ ذﻟﻚ ﺑﺎﺳﺘﺨﺪام ﻣﻌﺎﰿ رﺻﻒ أو ﺗﻮﺿﻊ )Auto-
إن ﻛﻠﻔﺔ اﻟﺘﺼﻤﻴﻢ واﻟﺘﺼﻨﻴﻊ ﻟـ MGAﺗﻌﺘﱪ أﺧﻔﺾ ﻣﻦ ﻃﺮﻳﻘﺔ اﻟﺘﺼﻨﻴﻊ Standard-cell ASICوﻛﺬﻟﻚ اﻟﻄﺮﻳﻘﺔ Full-custom
اﻟﺸﻜﻞ 85-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﺗﻮزع اﳋﻼﻳﺎ ﻟـ CGAﻋﻠﻰ اﻟﺸﻜﻞ ) (aواﻟـ ClGAﻋﻠﻰ اﻟﺸﻜﻞ ).(b
.arrayﻫﺬا اﻟﻨﻮع ﻳﻌﺎﰿ أﺣﺪى ﻣﺸﻜﻼت اﻟـ Masked-gate-arrayوﻫﻲ ﻛﻮن اﳋﻼﻳﺎ ﻣﻌﻴﻨﺔ وﻣﺼﻤﻤﺔ ﺑﺸﻜﻞ ﻣﺴﺒﻖ وﻻ ﳝﻜﻦ ﺗﻌﺪﻳﻠﻬﺎ،
ﳎﺪ .ﻟﺬﻟﻚ ﻓﺈﻧﻪ ﰲ اﻟـ EGAأو اﻟـ SGAﻳﺘﻢ ﲣﺼﻴﺺ ﻗﻄﺎع ﻟﻮﻇﺎﺋﻒوﺑﺎﻟﺘﺎﱄ ﻓﺈن ﺑﻨﺎء وﺣﺪات ﲣﺰﻳﻦ ﻣﺜﻞ ذاﻛﺮة ﻳﻌﺘﱪ أﻣﺮاً ﺻﻌﺒﺎً وﻏﲑ ٍ
ﺧﺎﺻﺔ ،وﻫﺬا اﻟﻘﻄﺎع ﳝﻜﻦ أن ﳛﻮي ﻋﻠﻰ ﺧﻼﻳﺎ ﻣﻨﻄﻘﻴﺔ ﳐﺘﻠﻔﺔ ﳋﻼﻳﺎ اﻟﺒﻨﻴﺔ اﻷﺳﺎﺳﻴﺔ ﲝﻴﺚ ﺗﻜﻮن ﻣﻼﺋﻤﺔ ﻟﺒﻨﺎء وﺣﺪات ﺧﺎﺻﺔ ﻣﺜﻞ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 56
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﺬواﻛﺮ أو ﳝﻜﻦ أن ﲢﻮي ﻋﻠﻰ وﺣﺪات وﻇﻴﻔﻴﺔ ﺟﺎﻫﺰة ﻣﺜﻞ ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ أو وﺣﺪة ﻣﻌﺎﳉﺔ ﺧﺎﺻﺔ .اﻟﺸﻜﻞ 86-1ﻳﺒﲔ ﳐﻄﻂ اﻟﺸﺮﳛﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟـ.SGA
custom ASICsﻋﻠﻰ اﳉﺎﻧﺐ اﻷﻳﺴﺮ واﻟـ Semi-custom ASICsﻋﻠﻰ اﳉﺎﻧﺐ اﻷﳝﻦ ،ﺣﻴﺚ أن ﻃﺒﻘﺎت اﻷﻗﻨﻌﺔ ﺗﺼﻤﻢ ﺧﺼﻴﺼﺎً
ﻟﺘﻄﺒﻴﻖ ﻣﻌﲔ ﻋﻠﻰ ﳓﻮ ﺧﺎص وﺗﻜﻮن ﺷﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮن ﻏﲑ ﻣﻌﺎﳉﺔ ﺑﺸﻜﻞ ﻣﺴﺒﻖ.
اﻟﺸﻜﻞ 87-1ﻣﻘﺎرﻧﺔ ﺑﲔ ﻃﺒﻘﺎت اﻷﻗﻨﻌﺔ واﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟـ Full-custom ASICsواﻟـSemi-custom ASICs
اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﻫﺬا اﻟﻨﻮع ﻫﻲ :أﻧﻈﻤﺔ ﻟﺘﺤﻜﻢ ﺑﺎﻟﺴﻴﺎرات ،اﻻﺗﺼﺎﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ/اﻟﺮﻗﻤﻴﺔ ،اﳊﺴﺎﺳﺎت ،اﻷﺟﻬﺰة اﻟﻨﻘﺎﻟﺔ واﳍﻮاﺗﻒ
اﻟﺬﻛﻴﺔ وﻏﲑﻫﺎ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت.
57 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﺗﻘﻮم ﺑﻌﺾ اﻟﺸﺮﻛﺎت ﻋﻠﻰ ﺗﺼﻤﻴﻢ ﻣﻜﺎﺗﺐ ﺧﺎﺻﺔ وﻇﻴﻔﻴﺔ ﳍﺬا اﻟﻨﻮع ﺗﺘﻀﻤﻦ ﻣﻜﺎﺗﺐ ﺑﺮوﺗﻮﻛﻮﻻت اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻲ وﻣﻜﺎﺗﺐ ﳌﻌﺎﳉﺎت
8/32-bitوﻣﻜﺎﺗﺐ وﺣﺪات ﲣﺰﻳﻦ ذاﻛﺮﻳﺔ وﻏﲑﻫﺎ .اﻟﺸﻜﻞ 88-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﺸﺮﳛﺔ Full-Custom ASICsﻣﺼﻨﻌﺔ ﻣﻦ
ﻗﺒﻞ ﺷﺮﻛﺔ ATMELوﻣﺰودة ﲟﻜﺘﺒﺎت IPﺧﺎﺻﺔ].[125
اﻟﺸﻜﻞ 89-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟـ Full-Custom ASICsوﻫﻲ ﲢﻮي ﻋﻠﻰ ﻣﺘﺤﻜﻢ 32-bitدارات رﻗﻤﻴﺔ وﺗﺸﺎﻬﺑﻴﺔ
ﻣﺪﳎﺔ ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ ﺑﺎﻹﺿﺎﻓﺔ إﱃ وﺣﺪات ذاﻛﺮﻳﺔ].[126
ﰲ ﺷﺮاﺋﺢ اﻟـ FPGAﻢ ﺑﺮﳎﺔ اﺠﻤﻟﻤﻮﻋﺎت اﳌﻨﻄﻘﻴﺔ ) (Logic Blocksواﻟﻮﺻﻼت ﺑﻌﺪ ﺗﺼﻨﻴﻊ ﺷﺮﳛﺔ اﻟـ ،FPGAواﻟﺬي ﺑﺪورﻩ ﻳﺘﻴﺢ
إﻣﻜﺎﻧﻴﺔ وﻣﺮوﻧﺔ ﻛﺒﲑة ﰲ ﺗﺼﻤﻴﻢ اﻟﻨﻤﻮذج اﻷوﱄ ﻟﻠﻤﻨﺘﺞ وﻛﺬﻟﻚ ﰲ ﻓﺤﺼﻪ ،وﻟﻜﻦ ﰲ ﻧﻔﺲ اﻟﻮﻗﺖ ﻓﺈن إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء دارات ﻣﻌﻘﺪة داﺧﻞ
ﺷﺮﳛﺔ اﻟـ FPGAﺘﱪ ﻣﻦ اﻟﻘﻴﻮد اﻷﺳﺎﺳﻴﺔ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻜﻠﻔﺔ واﳊﺠﻢ ،وذﻟﻚ ﺑﺴﺒﺐ اﻟﺘﻌﻘﻴﺪ ﻋﻠﻰ ﻣﺴﺘﻮى ﺗﻮﺻﻴﻞ اﺠﻤﻟﻤﻮﻋﺎت اﳌﻨﻄﻘﻴﺔ
إﺿﺎﻓﺔً إﱃ اﳌﺴﺎﺣﺔ اﻟﻜﺒﲑة اﶈﺠﻮزة ﻣﻦ أﺟﻞ اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ ﻣﺜﻞ .SRAMs, MUXes :ﻣﻦ ﻧﺎﺣﻴﺔ أﺧﺮى ﻓﺈن ﺷﺮاﺋﺢ اﻟـ ASICذات
ﺗﻜﻠﻔﺔ ﻋﺎﻟﻴﺔ ،وﲢﺘﺎج إﱃ زﻣﻦ ﻛﺒﲑ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﺘﺼﻤﻴﻢ واﻟﺘﺼﻨﻴﻊ ،وﻛﻞ ﺗﺼﻤﻴﻢ ﳛﺘﺎج ﺑﺸﻜﻞ ﺧﺎص إﱃ إﻋﺎدة ﻣﺮاﺣﻞ ﻋﻤﻠﻴﺎت اﻟﺘﺼﻤﻴﻢ
واﻟﺘﺼﻨﻴﻊ ﻛﺎﻣﻠﺔ].[127-128
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 58
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﲢﺖ ﻣﺎرﻛﺔ ﻋﻤﻮﻣﺎً ﻓﺈن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﰎ ﻃﺮﺣﻬﺎ ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺎت اﻟﺘﺼﻨﻴﻊ اﻟﺮﺋﻴﺴﻴﺔ ﻟﻠـ - FPGAﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ Xilinx
][130
،[131]EasyPathوأﻳﻀﺎً ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ [132]Alteraﲢﺖ ﻣﺎرﻛﺔ ،[133]HardCopyوﻛﺬﻟﻚ ﻣﺆﺧﺮاً ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ [134]eASICﲢﺖ
-واﻟﱵ ﺗﻘﻮم ﻋﻠﻰ إﻧﺘﺎج ﺷﺮاﺋﺢ ﻣﱪﳎﺔ وﻣﻬﻴﺄة ﻣﺴﺒﻘﺎً ﺣﺴﺐ اﻟﻄﻠﺐ ﺑﺎﻟﺘﺎﱄ ﻳﻜﻮن اﻟﺴﻌﺮ أﻗﻞ ﺑﻌﺪة ﻣﺮات ﻣﻦ ][135
ﻣﺎرﻛﺔ Nextreme
ﺷﺮاﺋﺢ اﻟـ FPGAاﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ .ﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻟﺸﺮاﺋﺢ ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺘﻄﻠﺐ ﻛﻠﻔﺔ ﺗﻄﻮﻳﺮ ﻣﻨﺨﻔﻀﺔ وإﻧﺘﺎج ﻣﺘﻮﺳﻂ اﻟﻜﻤﻴﺔ.
اﻟﺸﻜﻞ 90-1ﻳﺒﲔ ﳐﻄﻂ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﺪارة Faraday NC-1اﻟﱵ ﺗﻌﺘﱪ ﻣﻦ أﺑﺮز ﺗﻄﺒﻴﻘﺎت اﻟـ.[129]Structured ASIC
3-5-15-1ﻣﺮاﺣﻞ ﺗﺼﻤﻴﻢ ﺷﺮاﺋﺢ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت ):(ASIC Design Process
ﻳﺘﻢ ﺗﺼﻤﻴﻢ ﺷﺮاﺋﺢ اﻟـ ASICsﻋﻠﻰ ﺗﺴﻌﺔ ﻣﺮاﺣﻞ ﻣﺘﺘﺎﺑﻌﺔ .ﻳﺘﻢ ﰲ اﳌﺮاﺣﻞ اﻷرﺑﻌﺔ اﻷوﱃ اﻟﺘﺼﻤﻴﻢ اﳌﻨﻄﻘﻲ ) ،(Logical Designﻛﻤﺎ ﻳﺘﻢ
ﰲ اﳌﺮاﺣﻞ اﳋﻤﺲ اﻟﻼﺣﻘﺔ اﻟﺘﺼﻤﻴﻢ اﻟﻔﻴﺰﻳﺎﺋﻲ ) .(Physical Designاﳌﺮاﺣﻞ ﻋﻠﻰ اﻟﱰﺗﻴﺐ ﻫﻲ:
:Design entry -1اﻟﺘﺼﻤﻴﻢ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ) (HDLأو .Schematic
:Logic synthesis -2ﺗﻮﻟﻴﺪ ﻣﻠﻒ " "netlistاﻟﺬي ﻳﺼﻒ ﻃﺮﻳﻘﺔ وﺻﻞ اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ.
:System partitioning -3ﺗﻘﺴﻴﻢ اﻟﺘﺼﻤﻴﻢ إﱃ ﳎﻤﻮﻋﺎت ).(Blocks
:Pre-layout simulation -4اﻟﻔﺤﺺ اﻟﻮﻇﻴﻔﻲ ﻟﻠﺘﺼﻤﻴﻢ.
:Floorplanning -5ﻈﻴﻢ اﺠﻤﻟﻤﻮﻋﺎت ) (Blocksﰲ اﳌﻠﻒ " "netlistﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ.
:Placement -6ﲢﺪﻳﺪ ﺗﻮزع/ﺗﻮﺿﻊ اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ )Logic Cellsﰲ اﺠﻤﻟﻤﻮﻋﺎت ).(Blocks
:Routing -7رﺑﻂ اﻟﻮﺻﻼت ﺑﲔ اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ )Logic Cellsواﺠﻤﻟﻤﻮﻋﺎت ).(Blocks
:Extraction -8ﲢﺪﻳﺪ ﻣﻘﺎوﻣﺔ وﺳﻌﺔ ) (RCاﻟﻮﺻﻼت.
:Postlayout simulation -9اﻟﻔﺤﺺ اﻟﻮﻇﻴﻔﻲ ﻟﻠﺘﺼﻤﻴﻢ ﻟﻠﺘﺄﻛﺪ ﻣﻦ ﺗﺄﺛﲑ اﳊﻤﻞ RCﻟﻠﻮﺻﻼت.
ﺑﻌﺪ اﻻﻧﺘﻬﺎء ﻣﻦ ﻫﺬﻩ اﳌﺮاﺣﻞ ﻳﺘﻢ إرﺳﺎل ﻣﻠﻔﺎت اﻟﺘﺼﻤﻴﻢ إﱃ ﺷﺮﻛﺎت أﻧﺼﺎف اﻟﻨﻮاﻗﻞ ﻟﻴﺘﻢ ﺗﺼﻨﻴﻊ اﻟﻄﺒﻘﺎت وﺗﻄﺒﻴﻘﻬﺎ ﻋﻠﻰ اﻟﺸﺮاﺋﺢ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ .اﻟﺸﻜﻞ 91-1ﻳﺒﲔ ﳐﻄﻂ ﻣﺮاﺣﻞ ﺗﺼﻤﻴﻢ ﺷﺮاﺋﺢ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت .اﻟﺸﻜﻞ 92-1ﻳﺒﲔ اﻟﺸﻜﻞ اﻟﻨﻬﺎﺋﻲ
ﻟﻠﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ وﺗﻮﺻﻴﻠﻬﺎ ﻣﻊ اﻟﻐﻼف اﻟﻔﻴﺰﻳﺎﺋﻲ اﳋﺎرﺟﻲ.
59 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻟﺸﺮاﺋﺢ اﳌﺘﻜﺎﻣﻠﺔ ﰲ ﺗﻄﺒﻴﻘﺎت :اﻻﺗﺼﺎﻻت اﻟﻼﺳﻠﻜﻴﺔ ،ﻣﻌﺎﳉﺔ اﻹﺷﺎرة ،أﺟﻬﺰة اﳍﻮاﺗﻒ اﻟﻨﻘﺎﻟﺔ ،أﺟﻬﺰة اﻟﺘﻠﻔﺎز ،أﺟﻬﺰة
اﳌﻼﺣﺔ ،اﳊﺴﺎﺳﺎت اﻟﺬﻛﻴﺔ ،أﺟﻬﺰة اﳌﺮاﻗﺒﺔ اﻟﻄﺒﻴﺔ.
اﻟﺸﻜﻞ 93-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺪاﺧﻠﻲ ﻟﻠﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟـ Custom Mixed Signal ASICﻣﺴﺘﺨﺪﻣﺔ ﰲ اﻟﺴﻴﺎرات اﻟﻜﻬﺮﺑﺎﺋﻴﺔ
وﻣﺼﻨﻌﺔ ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ ] CSS[138وﻫﻲ ﲢﻮي ﻠﻰ وﺣﺪات ﺗﺸﺎﻬﺑﻴﺔ ورﻗﻤﻴﺔ وﻗﻄﺎﻋﺎت ﲣﺰﻳﻦ ووﺣﺪة ﻣﻌﺎﳉﺔ ﻣﺮﻛﺰﻳﺔ .اﻟﺸﻜﻞ 94-1ﻳﺒﲔ
إﺣﺪى اﻟﺸﺮاﺋﺢ اﳍﺠﻴﻨﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﻄﺒﻴﻘﺎت أﺟﻬﺰة ﲢﺮﻳﺾ اﻟﻘﻠﺐ اﻟﻄﺒﻴﺔ] [139وﺗﺘﻤﻴﺰ ﺑﺄ�ﺎ ﻣﺘﻨﺎﻫﻴﺔ اﻟﺼﻐﺮ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 60
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
.(shelfﻣﺜﺎل ﻋﻦ ﻫﺬﻩ اﻟﺸﺮاﺋﺢ :اﳌﺴﺮﻋﺎت اﻟﺮﺳﻮﻣﻴﺔ ) :(graphics acceleratorsﻳﺘﻢ دﳎﻬﺎ ﰲ ﻟﻮﺣﺎت ﻣﻌﺎﳉﺔ اﻟﺮﺳﻮﻣﻴﺎت ،ﻣﻜﻮدات
اﻟﻮﺳﺎﺋﻂ اﻟﺮﻗﻤﻴﺔ ) :(multimedia Encoding/Decoding chipsﻳﺘﻢ دﳎﻬﺎ ﰲ ﻟﻮﺣﺎت اﻟﺼﻮت واﻟﻔﻴﺪﻳﻮ ،وﻏﲑﻫﺎ ﻣﻦ اﻟﻌﺪﻳﺪ ﻣﻦ
اﻟﺸﺮاﺋﺢ اﻷﺧﺮى ) .(... ،WLAN ،Ethernet ،smart card chips ،data compression chipsاﻟﺘﻄﺒﻴﻘﺎت اﻟﺮﺋﻴﺴﻴﺔ ﳍﺬﻩ
اﻟﺸﺮاﺋﺢ ﻫﻲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﺼﻨﺎﻋﻴﺔ وﺧﺼﻮﺻﺎً ﰲ ﳎﺎل اﻟﺴﻴﺎرات واﻻﺗﺼﺎﻻت].[140
إن ﻋﻤﻠﻴﺔ ﺗﻄﻮﻳﺮ )ﺗﺼﻤﻴﻢ اﻟﻨﻤﻮذج اﻷوﱄ( ﳍﺬﻩ اﻟﺸﺮاﺋﺢ ﺗﺘﻢ ﻋﻤﻮﻣﺎً ﺑﺎﺳﺘﺨﺪام ﺷﺮاﺋﺢ اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ).(FPGAs
اﻟﺸﻜﻞ 95-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﺸﺮﳛﺔ Fujitsu ASSP MB86H52واﻟﱵ ﺗﺴﺘﺨﺪم ﻛـ MPEG-2 HL to H.264
][141
.HD Transcoder
61 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻳﺘﻢ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ " "SoCsاﻧﻄﻼﻗﺎً ﻣﻦ ﻋﺪة ﺣﻠﻮل ﺗﺘﻠﺨﺺ ﰲ اﻟﺪارات اﳌﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت ""ASICs
واﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً " ،[147]"FPGAsﺣﻴﺚ أﻧﻪ ﰲ اﻟﺴﻨﻮات اﻷﺧﲑة ﺗﻄﻮرت اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً "،"FPGAs
وﺗﻄﻮرت أدوات ﺗﺼﻤﻴﻤﻬﺎ وأﺻﺒﺤﺖ ﺗﻘﺎرب اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت " ASICsﰲ ﻣﻴﺰاﻬﺗﺎ وﺗﺘﻐﻠﺐ ﻋﻠﻴﻬﺎ ﰲ ﻣﺴﺎﺋﻞ اﻟﻜﻠﻔﺔ
وﺳﺮﻋﺔ اﻟﺘﺼﻤﻴﻢ وﺳﻬﻮﻟﺘﻪ ،ﻟﺬﻟﻚ ﻓﺈن ﺷﺮﻛﺎت ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ " "SoCsﺗﻔﻀﻞ اﺳﺘﺨﺪام ﺷﺮاﺋﺢ اﻟـ FPGAsﻛﺄﺳﺎس
ﰲ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ ﺷﺮاﺋﺢ اﻟـ SoCsﻟﻺﻧﺘﺎج ﻋﻠﻰ ﻧﻄﺎق ﻣﺘﻮﺳﻂ] .[148اﻟﺸﻜﻞ 96-1ﻳﺒﲔ اﳊﻠﻮل اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ
ﺷﺮاﺋﺢ "."SoCs
Structured
ASIC
Standard IP Cores
Cell-based HW/SW
Design Macros
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 62
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
-ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ) (MCUأو ﻣﻌﺎﰿ ﻣﺼﻐﺮ ) (MPUأو ﻣﻌﺎﰿ إﺷﺎرة رﻗﻤﻴﺔ ).(DSP
-ﺑﻌﺾ اﻟﺸﺮاﺋﺢ ﲢﻮي ﻋﻠﻰ أﻛﺜﺮ ﻣﻦ ﻣﻌﺎﰿ وﺗﺴﻤﻰ ﺑـ.(multiprocessor SoC) MPSoC
-وﺣﺪات ذاﻛﺮﻳﺔ ).(ROM, RAM, EEPROM and Flash
-وﺣﺪة ﺗﻮﻗﻴﺖ ﻣﺮﻛﺰﻳﺔ ﺗﺘﻀﻤﻦ ﻫﺰاز ﻛﺮﻳﺴﺘﺎﱄ وﺣﻠﻘﺔ ﻗﻔﻞ ﻃﻮري.
-وﺣﺪات ﳏﻴﻄﻴﺔ داﺧﻠﻴﺔ )….(Timers, Counters, PWM, RTC, WDT, etc
-ﻣﻨﺎﻓﺬ اﺗﺼﺎل ﺗﺴﻠﺴﻠﻲ ).(USB, FireWire, Ethernet, USART, SPI
-ﻣﺒﺪﻻت .ADC, DACوﺣﺪة إدارة اﻟﻄﺎﻗﺔ وﺗﻨﻈﻴﻢ اﻟﺘﻐﺬﻳﺔ.
ﲨﻴﻊ ﻫﺬﻩ اﻟﻮﺣﺪات ﺗﻜﻮن ﻣﺘﺼﻠﺔ ﻣﻊ ﺑﻌﻀﻬﺎ ﻋﱪ ﻧﺎﻗﻞ ﻣﻌﻴﺎري ﻣﺜﻞ AMBAﻛﻤﺎ أن ﻣﺘﺤﻜﻢ اﻟﻮﺻﻮل اﳌﺒﺎﺷﺮ ﻟﻠﺬاﻛﺮة ﻳﻘﻮم ﻋﻠﻰ ﺗﻨﻈﻴﻢ
ﻧﻘﻞ اﻟﺒﻴﺎﻧﺎت ﺑﲔ اﻟﺬاﻛﺮة واﶈﻴﻄﻴﺎت اﳌﺘﺼﻠﺔ ﻣﻊ اﻟﻌﺎﱂ اﳋﺎرﺟﻲ .اﻟﺸﻜﻞ 97-1ﻳﺒﲔ ﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻨﻈﺎم ﻣﺪﻣﺞ ﻋﻠﻰ ﺷﺮﳛﺔ
ﻳﺴﺘﺨﺪم ﻣﺘﺤﻜﻢ .ARM 32-bit
ﺗﻀﻢ اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ " "SoCﻋﻨﺎﺻﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﺬﻛﻮرة ﰲ اﻟﻔﻘﺮة اﻟﺴﺎﺑﻘﺔ إﺿﺎﻓﺔً إﱃ اﻟﻜﻴﺎن اﻟﱪﳎﻲ ﻟﻠﻤﻌﺎﰿ
أو اﳌﺘﺤﻜﻢ اﻟﺮﺋﻴﺴﻲ ﻟﻠﺸﺮﳛﺔ؛ إن ﻋﻤﻠﻴﺔ ﺗﺼﻤﻴﻢ أو ﺗﻄﻮﻳﺮ ﻫﺬا اﻟﻨﻮع ﻣﻦ اﻟﺸﺮاﺋﺢ ﻳﺘﻢ ﺑﺎﺳﺘﺨﺪام وﺣﺪات ﻛﻴﺎن ﺻﻠﺐ ﺟﺎﻫﺰة ﺗﺴﻤﻰ
ﺑـ" "Hardware Blocksﻟﺘﺸﻜﻴﻞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ )اﶈﻴﻄﻴﺎت اﻟﻮﻇﻴﻔﻴﺔ( ﻟﻠﺸﺮﳛﺔ ،وذﻟﻚ ﺑﺎﺳﺘﺨﺪام أدوات .CADاﻟﻜﻮد اﻟﱪﳎﻲ ﻟﺮﺑﻂ
ﺑﺮاﻣﺞ اﶈﻴﻄﻴﺎت اﳉﺎﻫﺰة وﲢﺪﻳﺪ ﻋﻤﻠﻬﺎ ﻳﺘﻢ ﻛﺘﺎﺑﺘﻪ ﺑﺎﺳﺘﺨﺪام أدوات ﺗﻄﻮﻳﺮ .IDEاﻟﺘﺼﻤﻴﻢ ﺑﺸﻘﻴﻪ ) (SW, HWﻳﺘﻢ ﻋﻠﻰ اﻟﺘﻮازي وﺑﻌﺪﻫﺎ
ﻳﺘﻢ ﺑﺮﳎﺘﻪ ﻋﻠﻰ ﺷﺮﳛﺔ FPGAوﻳﺘﻢ ﲢﻤﻴﻞ اﻟﻜﻮد اﻟﱪﳎﻲ إﱃ ﳏﺎﻛﻲ ) Emulatorوذﻟﻚ ﻬﺑﺪف اﻟﺘﺄﻛﺪ ﻣﻦ ﺳﻠﻮك اﻟﻨﻈﺎم وﻓﺤﺼﻪ .ﺑﻌﺪ
اﻟﺘﺄﻛﺪ ﻣﻦ أداء ﻋﻤﻞ اﻟﻨﻈﺎم ،ﻳﺘﻢ ﲢﺪﻳﺪ اﳊﻞ اﻷﻣﺜﻞ ﻟﻠﺸﻜﻞ اﻟﻨﻬﺎﺋﻲ ﻟﺸﺮﳛﺔ اﻟـ .SoCاﻟﺸﻜﻞ 98-1ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﳌﺮاﺣﻞ ﺗﺼﻤﻴﻢ
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ "."SoC
63 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﺘﺎﻟﻴﺔ ﺗﻌﺘﱪ إﺣﺪى اﻟﺘﻄﺒﻴﻘﺎت اﻟﺸﺎﺋﻌﺔ اﻻﺳﺘﺨﺪام ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 64
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
65 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
أﻳﻀﺎً ﳝﻜﻦ اﻟﻘﻮل ﺑﺄن ﺷﺮاﺋﺢ اﻟـ PSoCsﺗﺸﺎﺑﻪ ﺷﺮاﺋﺢ اﻟـ FPGAsﻣﻦ ﺣﻴﺚ أﻧﻪ ﻋﻨﺪ وﺻﻞ اﻟﺘﻐﺬﻳﺔ ﺗﺘﻢ اﻟﺘﻬﻴﺌﺔ ﻟﻠﻤﺼﻔﻮﻓﺎت اﳌﻨﻄﻘﻴﺔ إﻻ أن
اﻟﺘﻬﻴﺌﺔ ﺑﺎﻟﻨﺴﺒﺔ ﻟﺸﺮاﺋﺢ اﻟـ PSoCsﺗﺘﻢ ﻣﻦ ﺧﻼل ﲢﻤﻴﻞ اﻟﺘﻌﻠﻴﻤﺎت ﻣﻦ ذاﻛﺮة " "Flashﳎﺔ ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ ،ﻛﻤﺎ أن اﺠﻤﻟﻤﻮﻋﺎت
ﻟﺮﻗﻤﻴﺔ واﻟﺘﺸﺎﻬﺑﻴﺔ ﻻ ﳝﻜﻦ ﺑﺮﳎﺘﻬﺎ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) ،VHDL or Verilogوﻟﻜﻦ ﻳﺘﻢ ﻬﺗﻴﺌﺘﻬﺎ ﻣﻦ ﺧﻼل
ﻣﺴﺠﻞ إﻋﺪادات ).(register settings
ﺑﺎﳋﻼﺻﺔ ﻓﺈن ﺷﺮاﺋﺢ اﻟـ PSoCsﻋﺒﺎرة ﻋﻦ ﻛﻴﺎن ﻣﺪﻣﺞ ﻳﺸﺎﺑﻪ – إﱃ ﺣﺪ ﻣﺎ – ﻣﻴﺰات ﺷﺮاﺋﺢ اﻟـ ASICsوﺷﺮاﺋﺢ اﻟـ FPGAsإﺿﺎﻓﺔ إﱃ
ﺣﺘﻮاﺋﻪ ﻋﻠﻰ ﺑﻨﻴﺔ ﻣﺸﺎﻬﺑﺔ ﻟﻠﻤﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة .اﻟﺸﻜﻞ 102-1ﻳﺒﲔ ﲤﺜﻴﻼً ﻟﱰﻛﻴﺐ ﺷﺮاﺋﺢ اﻟـ PSOCsﺣﻴﺚ أ�ﺎ ﺗﱰﻛﺐ ﻣﻦ ﺛﻼث ﻋﻨﺎﺻﺮ
)رﻗﻤﻴﺔ ،ﺸﺎﻬﺑﻴﺔ ،ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ(.
ﺗﻘﺪم ﺷﺮﻛﺔ Cypressﺛﻼث ﻋﺎﺋﻼت ﻣﻦ ﺷﺮاﺋﺢ اﻟـ (PSoC1, PSoC3, PSoC5) PSoCsﲣﺘﻠﻒ ﻋﻦ ﺑﻌﻀﻬﺎ ﻣﻦ ﺣﻴﺚ اﳌﻴﺰات
اﻷﺳﺎﺳﻴﺔ اﳌﺪﳎﺔ واﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ .اﻟﺸﻜﻞ 103-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﻋﺎﺋﻼت اﻟـ PSoCاﻟﺜﻼﺛﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 66
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
1-1-9-15-1ﳎﻤﻮﻋﺎت رﻗﻤﻴﺔ ﺗﺸﺎﻬﺑﻴﺔ ﻗﺎﺑﻠﺔ ﻟﻠﺘﺸﻜﻴﻞ ):(Configurable Analog and Digital Blocks
ﻌﺘﱪ ﳎﻤﻮﻋﺎت اﻟﺪارات اﻟﺮﻗﻤﻴﺔ واﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﺘﺸﻜﻴﻞ اﻟﻘﺎﻋﺪة اﻷﺳﺎﺳﻴﺔ ﻟﺸﺮاﺋﺢ اﻟـ ،PSoCsﺣﻴﺚ ﳝﻜﻦ ﺗﺸﻜﻴﻞ وﻇﺎﺋﻒ ﳏﻴﻄﻴﺔ ﻣﻦ
ﻩ اﺠﻤﻟﻤﻮﻋﺎت )اﻟﻜﺘﻞ( ﺑﺎﺳﺘﺨﺪام ﻣﻜﺘﺒﺎت ﻗﻴﺎﺳﻴﺔ أو ﻣﻦ ﺧﻼل ﺑﻨﺎء ﻣﻜﺘﺒﺎت ﺧﺎﺻﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 68
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﺗﺴﺘﺨﺪم اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ اﳌﺘﻜﺎﻣﻠﺔ Cypress’s PSoC Creatorﻣﻦ أﺟﻞ ﺗﺼﻤﻴﻢ اﻟﻨﻈﺎم وﻓﻖ أرﺑﻊ ﻣﺮاﺣﻞ رﺋﻴﺴﻴﺔ:
.3اﻟﺘﺸﺨﻴﺺ ) :(System Debuggingﺗﺘﺒﻊ اﻷﺧﻄﺎء وﲢﻠﻴﻞ ﻋﻤﻞ اﻟﻨﻈﺎم ﺑﺎﺳﺘﺨﺪام اﻟﻨﺎﻓﺬة .JTAG
69 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻣﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ :(Asynchronous Array of simple Processors) AsAP 10-15-1
إن ﺑﻨﻴﺔ ﻫﺬا اﻟﻨﻮع ﻣﻦ اﳌﻌﺎﳉﺎت ﻳﺸﻜﻞ ﻣﺼﻔﻮﻓﺔ ﺛﻨﺎﺋﻴﺔ اﻷﺑﻌﺎد ﻣﻦ اﳌﻌﺎﳉﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ذات اﻟﺘﻌﻘﻴﺪ اﻟﺒﻨﻴﻮي اﻟﺒﺴﻴﻂ ،إﺿﺎﻓﺔً إﱃ
وﺣﺪات ذاﻛﺮﻳﺔ ﻣﺼﻐﺮة ﻣﺮﺗﺒﻄﺔ ﻋﱪ ﻧﺎﻗﻞ ﻋﻠﻰ ﺷﻜﻞ ﺷﺒﻜﺔ ) (mesh networkﻗﺎﺑﻞ ﻹﻋﺎدة اﻟﺘﺸﻜﻴﻞ ) .(Reconfigurableاﻟﺴﺒﺐ
وراء ﻛﻮن ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﻏﲑ ﻣﺘﻮاﻗﺘﺔ رﻏﻢ ﻛﻮ�ﺎ ﻣﺮﺗﺒﻄﺔ ﻋﱪ ﺷﺒﻜﺔ ﻣﺼﻔﻮﻓﻴﺔ ﻫﻮ أن ﻛﻞ ﻣﻌﺎﰿ ﳛﻮي ﻋﻠﻰ وﺣﺪة اﻟﺘﻮﻗﻴﺖ )(Oscillator
اﳋﺎﺻﺔ ﺑﻪ ،وﻳﻄﻠﻖ ﻋﻠﻰ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ أﻳﻀﺎً اﳌﺼﻄﻠﺢ (Globally Asynchronous Locally Synchronous) GALS؛ اﳌﻴﺰة ﰲ
اﺳﺘﻘﻼﻟﻴﺔ وﺣﺪات اﻟﺘﻮﻗﻴﺖ ﻫﻮ أن اﳌﻌﺎﳉﺎت اﻟﱵ ﺗﻨﺘﻬﻲ ﻣﻦ ﻋﻤﻠﻴﺔ اﳌﻌﺎﳉﺔ اﳌﺴﻨﺪة إﻟﻴﻬﺎ ﺳﻮف ﺗﺘﻮﻗﻒ وﺣﺪة اﻟﺘﻮﻗﻴﺖ اﳋﺎﺻﺔ ﺑﺎ ﺑﻌﺪ 9-
Cycleإذا ﱂ ﻳﺴﻨﺪ إﻟﻴﻬﺎ ﻣﻬﻤﺔ أﺧﺮى ،وﻓﻮر إﺳﻨﺎد ﻣﻬﻤﺔ ﺟﺪﻳﺪة ﺳﺘﻌﻮد إﱃ اﻟﻌﻤﻞ ﺧﻼل دورة آﻟﺔ واﺣﺪة ) ،(1-Cycleوﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ
ﺗﻮﻓﲑ اﻟﻄﺎﻗﺔ آﻧﻴﺎً .إن ﻫﺬﻩ اﻟﺒﻨﻴﺔ ﺗﺴﻤﺢ ﺑﻌﻤﻠﻴﺎت ﻣﻌﺎﳉﺔ ﺗﻔﺮﻋﻴﺔ ﻣﺘﺰاﻣﻨﺔ ) (Parallelismﻋﻠﻰ ﻣﺴﺘﻮى أداء وﻛﻔﺎءة ﻛﺒﲑﻳﻦ ،وﺧﺼﻮﺻﺎً ﻣﻦ
أﺟﻞ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﳌﻌﻘﺪة اﻟﱵ ﺗﺘﻄﻠﺐ ﻋﻤﻠﻴﺎت ﺣﺴﺎﺑﻴﺔ ﻋﻠﻰ درﺟﺔ ﻋﺎﻟﻴﺔ ﻣﻦ اﻟﺘﻌﻘﻴﺪ )اﻟﺘﺸﻔﲑ وﻓﻚ اﻟﺘﺸﻔﲑ(].[154-156
1-10-15-1اﳉﻴﻞ اﻷول ﳌﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ ):(The AsAP 1st Generation
اﳉﻴﻞ اﻷول AsAP-1ﰎ إﺻﺪارﻩ ﰲ ﻋﺎم ،2005وﻛﺎن ﻋﺒﺎرة ﻋﻦ ﺷﺮﳛﺔ ﲢﻮي ﻋﻠﻰ 36ﻣﻌﺎﰿ ﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ ﺷﺮاﺋﺢ
ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ،0.18um-CMOSوﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـStandard-cell؛ ﺗﺮدد ﻋﻤﻞ اﳌﻌﺎﳉﺎت ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﻫﻮ
520MHz~540MHzﻋﻨﺪ ﺟﻬﺪ ﻋﻤﻞ 1.8Vوﻛﻞ ﻣﻌﺎﰿ ﻳﺴﺘﻬﻠﻚ وﺳﻄﻴﺎً .32mWاﻟﺸﻜﻞ 108-1ﻳﺒﲔ ﳐﻄﻂ اﳋﺮﻳﻄﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﺸﺮﳛﺔ AsAP-1وﺑﻨﻴﺔ ﻛﻞ ﻣﻌﺎﰿ ﻣﻦ ﻣﻌﺎﳉﺎت اﳌﺼﻔﻮﻓﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 70
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
2-10-15-1اﳉﻴﻞ اﻟﺜﺎﱐ ﳌﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ ):(The AsAP 2nd Generation
اﳉﻴﻞ اﻟﺜﺎﱐ AsAP-2ﰎ إﺻﺪارﻩ ﻻﺣﻘﺎً ﰲ ﻋﺎم ،2008وﻛﺎن ﻋﺒﺎرة ﻋﻦ ﺷﺮﳛﺔ ﲢﻮي ﻋﻠﻰ 167ﻣﻌﺎﰿ 32-bitﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ﺑﺎﺳﺘﺨﺪام
ﺗﻘﻨﻴﺔ ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ،65nm-CMOSوﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـStandard-cell؛ وﻗﺪ اﺣﺘﻮت اﻟﺸﺮﳛﺔ أﻳﻀﺎً ﻋﻠﻰ ﻣﻌﺎﳉﺎت أﻏﺮاض
ﺧﺎﺻﺔ ) (FFT, Viterbi Decoder, Video Motion Estimationإﺿﺎﻓﺔً إﱃ 16KBﻣﻦ اﻟﻮﺣﺪات اﻟﺬاﻛﺮﻳﺔ اﳌﺸﱰﻛﺔ ،ﻛﻤﺎ أن
ﻫﺬا اﳉﻴﻞ ﻣﻦ اﳌﻌﺎﳉﺎت ﳝﻜﻦ ﳍﺎ أن ﺗﻐﲑ ﺟﻬﺪ وﺗﺮدد ﻋﻤﻠﻬﺎ وذﻟﻚ ﻋﻠﻰ ﳓﻮ دﻳﻨﺎﻣﻴﻜﻲ ﻣﺴﺘﻘﻞ .ﺗﺮدد ﻋﻤﻞ اﳌﻌﺎﳉﺎت ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﻫﻮ
1.2GHzﻋﻨﺪ ﺟﻬﺪ ﻋﻤﻞ 1.3Vوﻛﻞ ﻣﻌﺎﰿ ﻳﺴﺘﻬﻠﻚ وﺳﻄﻴﺎً 47mWﰲ ﳕﻂ اﻟﻌﻤﻞ اﻟﻔﻌﺎل ،ﻛﻤﺎ ﺗﺴﺘﻄﻴﻊ ﻫﺬﻩ اﳌﻌﺎﳉﺎت أن ﺗﺆﻣﻦ
ﺳﻌﺔ ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ ﺗﺼﻞ إﱃ ﻣﻌﺪل 1-trillionﻋﻤﻠﻴﺔ ﺑﺎﻟﺜﺎﻧﻴﺔ وﺗﺴﺘﻬﻠﻚ ﻣﻦ أﺟﻠﻬﺎ 9.2Wﻓﻘﻂ! اﻟﺸﻜﻞ 109-1ﻳﺒﲔ ﳐﻄﻂ اﳋﺮﻳﻄﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟﻠﺸﺮﳛﺔ AsAP-2وﺑﻨﻴﺔ ﻛﻞ ﻣﻌﺎﰿ].[157,158
ﻫﺬﻩ اﳌﻌﺎﳉﺎت ﻳﺘﻢ ﺑﺮﳎﺘﻬﺎ ﺑﺸﻜﻞ ﻣﻨﻔﺼﻞ ﻣﻦ أﺟﻞ وﻇﻴﻔﺔ ﳏﺪدة ﺑﺎﺳﺘﺨﺪام ﻣﱰﺟﻢ ﺑﻠﻐﺔ اﻟـ ،Cﻳﺘﻢ ﺑﻌﺪﻫﺎ ﺑﺮﳎﺔ وﺻﻼت اﻟﻨﺎﻗﻞ ﺑﲔ
اﳌﻌﺎﳉﺎت ﺣﺴﺐ ﺗﻨﺴﻴﻖ اﻟﻌﻤﻞ ،ﻫﺬﻩ اﳌﻴﺰة ﺗﻌﻄﻲ إﻣﻜﺎﻧﻴﺔ ﻛﺒﲑة وﻓﻌﺎﻟﻴﺔ ﻋﺎﻟﻴﺔ ﰲ اﺳﺘﺨﺪام اﳌﻮارد اﳌﻮﺟﻮدة ﻋﻠﻰ اﻟﺸﺮﳛﺔ إﻻ أ�ﺎ ذات
ﻣﺴﺘﻮى ٍ
ﻋﺎل ﻣﻦ اﻟﺘﻌﻘﻴﺪ؛ ﻧﻈﺮاً ﻟﻜﻮن اﳌﻌﺎﳉﺎت ﳚﺐ أن ﺗﱪﻣﺞ ﺑﺸﻜﻞ ﻣﻨﻔﺼﻞ.
3-10-15-1اﳉﻴﻞ اﻟﺜﺎﻟﺚ ﳌﺼﻔﻮﻓﺔ اﳌﻌﺎﳉﺎت اﻟﻐﲑ ﻣﺘﺰاﻣﻨﺔ ):(The AsAP 3rd Generation
اﳉﻴﻞ اﻟﺜﺎﻟﺚ AsAP-3ﰎ ﺗﻄﻮﻳﺮﻩ ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ ،[159]TILERAوﰎ إﻧﺘﺎﺟﻪ ﻋﻠﻰ ﻧﻄﺎق واﺳﻊ ﲝﻴﺚ أﺻﺒﺢ ﻳﺴﺘﺨﺪم ﻟﺘﻄﺒﻴﻘﺎت أﻛﺜﺮ
ﴰﻮﻟﻴﺔ ،وﻫﻮ ﻳﻀﻢ ﻣﺼﻔﻮﻓﺔ ﻣﻌﺎﳉﺎت ﻋﺎﻟﻴﺔ اﻷداء ،وﻳﺼﻞ ﻋﺪد اﳌﻌﺎﳉﺎت إﱃ 100ﻣﻌﺎﰿ 64-bitﻣﺘﻤﺎﺛﻞ ﻣﺮﺗﺒﻄﺔ ﻋﱪ ﻧﺎﻗﻞ ﻋﻠﻰ ﺷﻜﻞ
ﺷﺒﻜﺔ ) ،(iMeshوﳝﻜﻦ أن ﺗﻌﻤﻞ ﻋﻨﺪ ﺗﺮدد .1.5GHz
71 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﳌﻌﺎﳉﺎت ﰲ ﻫﺬا اﳉﻴﻞ ﻟﻴﺴﺖ ﻣﺒﺴﻄﺔ اﻟﺒﻨﻴﺔ ،وإﳕﺎ ﻛﺎﻣﻠﺔ اﳌﻮاﺻﻔﺎت واﳌﻴﺰات ﻛﻤﺎ أ�ﺎ ﲤﻠﻚ ذاﻛﺮة ﻧﻘﻞ داﺧﻠﻴﺔ )32KB-L1i, 32KB-
(256KB-L2 ،L1d Casheإﺿﺎﻓﺔً إﱃ وﺣﺪة اﻟـ Terabit Switchاﻟﱵ ﺗﻘﻮم ﻋﻠﻰ وﺻﻞ اﳌﻌﺎﰿ إﱃ ﺷﺒﻜﺔ اﻟﻨﺎﻗﻞ.
اﳌﻴﺰة اﻟﺘﻔﺮدﻳﺔ ﳍﺬﻩ اﻟﺸﺮاﺋﺢ ﻫﻲ أن ﻛﻞ ﻣﻌﺎﰿ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﳝﻜﻦ أن ﻳﺘﻀﻤﻦ ﻧﻈﺎم ﺗﺸﻐﻴﻞ ﻣﺘﻜﺎﻣﻞ أو ﻧﻈﺎم ﺗﺸﻐﻴﻞ ﳐﺼﺺ ﻷﻏﺮاض اﳌﻌﺎﳉﺔ
اﳌﺘﻮازﻳﺔ ﻣﺜﻞ.SMP Linux :
اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات ﰎ ﺗﻀﻤﻴﻨﻬﺎ ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ ﻣﺜﻞ ذواﻛﺮ 64-bit DDR3واﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻮﺻﻼت اﻟﺘﺴﻠﺴﻠﻴﺔ واﳌﺘﺤﻜﻤﺎت اﳌﺴﺎﻋﺪة.
اﻟﺸﻜﻞ 110-1اﻟﺸﺮﳛﺔ TILE-GxTMوﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ وﺑﻨﻴﺔ ﻛﻞ ﻣﻌﺎﰿ.
ﻋﻨﺪﻣﺎ ﻳﺼﺒﺢ ﻣﻦ ﻏﲑ اﳌﻼﺋﻢ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ SoCsﻣﻦ أﺟﻞ اﻟﻮﺻﻮل ﻧﻈﺎم ﳝﻠﻚ ﺧﺼﺎﺋﺺ ﻣﺘﻜﺎﻣﻠﺔ ،ﻓﺈن ﺗﻘﻨﻴﺔ اﻟـMulti-) MCP
(chip Packageﻫﻲ اﳊﻞ اﻷﻣﺜﻞ واﻟﺒﺪﻳﻞ .ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن ﺗﻘﻨﻴﺔ اﻟـ SoCsﺗﻌﺘﱪ أﻗﻞ ﺳﻌﺮاً ﻣﻦ أﺟﻞ إﻧﺘﺎج ﻋﺪد ﻛﺒﲑ ﺟﺪاً ﻣﻦ اﻟﺸﺮاﺋﺢ
وذﻟﻚ ﻧﻈﺮاً ﻟﻠﻜﻠﻔﺔ اﳌﺮﺗﻔﻌﺔ ﻧﺴﺒﻴﺎً ﻟﻐﻼف اﻟﺸﺮاﺋﺢ ،MCPإﻻ أن اﻷﻣﺜﻠﻴﺔ اﻟﱵ ﲢﻘﻘﻬﺎ ﺗﻘﻨﻴﺔ اﻟـ MCPﺗﺘﺠﺎوز ﻛﻮن اﻟﺒﻌﺪ اﻻﻗﺘﺼﺎدي ﻫﻮ
اﶈﻮر اﻷﺳﺎﺳﻲ ﰲ ﲢﺪﻳﺪ اﻟﺘﻘﻨﻴﺔ اﻷﻧﺴﺐ].[160
ﺗﻌﺘﱪ اﻷﺟﻴﺎل اﻟﻘﺎدﻣﺔ ﻣﻦ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﳌﺪﳎﺔ ﰲ ﻏﻼف دارة ﻣﺘﻜﺎﻣﻠﺔ وﺣﻴﺪة
ﻣﻦ أﻫﻢ اﻟﺘﻘﻨﻴﺎت اﳌﺴﺘﻘﺒﻠﻴﺔ اﻟﱵ ﻳﺘﻮﺟﻪ إﻟﻴﻬﺎ اﻻﻫﺘﻤﺎم اﻟﻜﺎﻣﻞ ﺣﺎﻟﻴﺎً ،وﺗﻌﺘﱪ ﺗﻘﻨﻴﺔ اﻟـSystem-on-) SoP )(MCPs
][161-164
(Packageاﻟﺘﻘﻨﻴﺔ اﻷﺑﺮز ﻣﻦ أﺟﻞ ﻧﻈﺎم ﻣﺘﻜﺎﻣﻞ ﺿﻤﻦ ﻏﻼف ﺷﺮﳛﺔ ﳝﻠﻚ درﺟﺔ ﻋﺎﻟﻴﺔ ﻣﻦ ﺗﻌﺪد اﻟﻮﻇﺎﺋﻒ ) ،(HIMFSإذ أن ﺗﻘﻨﻴﺔ
اﻟـ SoPﺗﺘﺠﺎوز ﻋﻘﺒﺎت ﳏﺪودﻳﺔ ﺳﻌﺔ اﳌﻌﺎﳉﺔ وﻣﻌﺪل اﻻﻧﺪﻣﺎج ﻟﻠﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﻟﱵ ﺗﻌﺎﱐ ﻣﻨﻬﺎ ﺗﻘﻨﻴﺔ اﻟـ SoCوﺗﻘﻨﻴﺔ اﻟـ SiPوﻛﺬﻟﻚ
ﺗﻘﻨﻴﺔ اﻟـ MCMوﻏﲑﻫﺎ ﻣﻦ اﻟﺘﻘﻨﻴﺎت اﻟﺘﻘﻠﻴﺪﻳﺔ] .[165-168ﻓﻤﺜﻼً ﰲ اﻷﺟﻬﺰة اﻟﻨﻘﺎﻟﺔ اﻟﱵ ﺗﻌﺘﻤﺪ اﻟﺘﻘﻨﻴﺎت اﻟﺘﻘﻠﻴﺪﻳﺔ ﳝﻜﻦ أن ﻳﺼﻞ ﻋﺪد
اﻟﺸﺮاﺋﺢ اﳌﻨﻔﺼﻠﺔ اﻟﻮﻇﻴﻔﺔ وﻋﻨﺎﺻﺮﻫﺎ إﱃ أﻛﺜﺮ ﻣﻦ 400ﻋﻨﺼﺮ واﻟﱵ ﻣﻨﻬﺎ اﳌﺴﺘﻘﺒﻼت وﻣﻮﻟﺪات اﻹﺷﺎرة ووﺣﺪات اﻟﱰﺷﻴﺢ ودارات اﻟﺘﻌﺪﻳﻞ
وﻏﲑﻫﺎ ،وﻛﻞ ﻫﺬا ﻳﺆدي إﱃ اﺳﺘﻬﻼك ﻛﺒﲑ ﰲ اﻟﻄﺎﻗﺔ ،واﳔﻔﺎض ﰲ اﳌﻮﺛﻮﻗﻴﺔ ،وﺿﻌﻒ ﰲ اﻷداء ،وزﻳﺎدة ﰲ اﳊﺠﻢ ،ﺑﺎﻹﺿﺎﻓﺔ إﱃ ﻣﺸﺎﻛﻞ
اﻟﺴﻌﺎت اﻟﻄﻔﻴﻠﻴﺔ اﻟﻨﺎﲡﺔ ﻋﻦ اﳌﺴﺎرات ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ ،ﰲ ﺣﲔ أﻧﻪ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ SoPﻓﺈن ﲨﻴﻊ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﳝﻜﻦ أن ﺗﺪﻣﺞ
ﻋﻠﻰ ﺷﺮﳛﺔ ذات ﻏﻼف وﺣﻴﺪ .ﻓﻴﻤﺎ ﻳﻠﻲ ﻧﻔﺼﻞ ﰲ اﻟﺘﻘﻨﻴﺎت اﳌﺬﻛﻮرة ﻛﻞ ﻋﻠﻰ ﺣﺪا .اﻟﺸﻜﻞ 111-1ﻳﺒﲔ ﳐﻄﻄﺎً داﺧﻠﻴﺎً ﻟﻨﻈﺎم ﻣﺘﻌﺪد
اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﳌﺪﳎﺔ ﰲ ﻏﻼف ﳛﻮي ﻋﻠﻰ ﺗﺴﻌﺔ ﻃﺒﻘﺎت ﺗﺸﻜﻞ ﲬﺲ ﻃﺒﻘﺎت ﻣﻨﻬﺎ ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 72
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
وﻫﻲ ﻋﺒﺎرة ﻋﻦ ﳎﻤﻮﻋﺔ ﻣﻦ اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﳌﺘﻜﺎﻣﻠﺔ ) ،(Diesوﳎﻤﻮﻋﺔ ﻣﻦ اﻟﻌﻨﺎﺻﺮ اﻟﻐﲑ ﻓﻌﺎﻟﺔ " "RLCوﻋﻨﺎﺻﺮ أﺧﺮى ﻣﺜﻞ:
،Sensors ،MEMSوﻏﲑﻫﺎ ...ﻣﺘﻮﺿﻌﺔ ﺿﻤﻦ ﻏﻼف ﻗﻴﺎﺳﻲ وﺣﻴﺪ وﻣﺮﺗﺒﺔ ﺑﺸﻜﻞ أﻓﻘﻲ ﻣﺘﺠﺎﻧﺐ.
إﺣﺪى اﻟﺴﻤﺎت اﳌﻤﻴﺰة ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻫﻲ إﻣﻜﺎﻧﻴﺔ دﻣﺞ ﺗﻘﻨﻴﺎت ﻣﺘﻌﺪدة ﲣﺘﻠﻒ ﻣﻦ ﺷﺮﳛﺔ ﻣﺪﳎﺔ إﱃ أﺧﺮى ) MCU, ASIC, SoC,
،(DSP, FPGA, CPLD, Memoryوﻛﺬﻟﻚ دﻣﺞ ﻋﻨﺎﺻﺮ ﻏﲑ ﻓﻌﺎﻟﺔ ،ﺗﻀﻤﲔ ﻫﻮاﺋﻲ إﺷﺎرة ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ ﻬﺑﺪف ﻟﻠﻮﺻﻮل إﱃ
ﻧﻈﺎم وﻇﻴﻔﻲ ﻣﺘﻜﺎﻣﻞ ﻣﻦ ﺧﻼل ﺷﺮﳛﺔ واﺣﺪة ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ اﻟﻨﻬﺎﺋﻴﺔ.
ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ وﺟﺪت ﻟﻠﺘﺨﻠﺺ ﻣﻦ اﻟﻘﻴﻮد اﳌﻔﺮوﺿﺔ ﰲ ﺗﻘﻨﻴﺔ ﺷﺮاﺋﺢ اﻟـ SoCsوﻣﺜﺎﳍﺎ ﻣﻌﺎﳉﺎت .Core2Quadاﻟﺸﻜﻞ 112-1ﻳﺒﲔ اﻟﺸﺮﳛﺔ
اﳌﺘﻜﺎﻣﻠﺔ [169]ETRAX 100LX MCMوﻫﻲ ﻋﺒﺎرة ﻋﻦ ﺷﺮﳛﺔ ذات 256ﻗﻄﺐ وﺑﺄﺑﻌﺎد 27×27mmوﺗﺘﻀﻤﻦ وﺣﺪة ETRAX
ﻫﺬا اﻟﻨﻮع ﻣﻦ اﻟﺸﺮاﺋﺢ ﻳﻌﺮف أﻳﻀﺎً ﺑـ Chip Stack MCMوﻫﻲ اﳉﻴﻞ اﳉﺪﻳﺪ ﻟﻠﺸﺮاﺋﺢ MCMإﻻ أن اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﰲ ﻫﺬﻩ
اﻟﺘﻘﻨﻴﺔ ﺗﻜﻮن ﻣﺘﻮﺿﻌﺔ داﺧﻞ ﻏﻼف اﻟﺸﺮﳛﺔ ﻋﻠﻰ ﺷﻜﻞ ﻃﺒﻘﺎت ﻣﺮﺗﺒﺔ ﻋﻤﻮدﻳﺎً وﺗﻜﻮن ﻣﻮﺻﻮﻟﺔ داﺧﻠﻴﺎً ﻣﻊ أﻗﻄﺎب اﻟﻐﻼف اﳋﺎرﺟﻲ
ﺑﺎﺳﺘﺨﺪام أﺳﻼك دﻗﻴﻘﺔ ﻋﻮﺿﺎً ﻋﻦ اﳌﺴﺎرات اﻷﻓﻘﻴﺔ وﻳﺘﻢ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ ﳊﺎم ﺧﺎﺻﺔ ﻟﺮﺑﻂ اﻟﻄﺒﻘﺎت اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﺗﺴﻤﻰ ﺑـ Solder
73 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﰲ ﺗﻄﺒﻴﻘﺎت اﻷﺟﻬﺰة اﳋﻠﻮﻳﺔ واﻟﻮﺳﺎﺋﻂ اﻟﺮﻗﻤﻴﺔ ،وﻛﺬﻟﻚ ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺘﻄﻠﺐ ﺣﺠﻤﺎً ﺻﻐﲑاً ووزﻧﺎً ﳏﺪوداً
واﺳﺘﻬﻼﻛﺎً ﺻﻐﲑاً ﻟﻠﻄﺎﻗﺔ ﻣﺜﻞ اﻷﺟﻬﺰة اﶈﻤﻮﻟﺔ واﻷﺟﻬﺰة اﻟﻄﺒﻴﺔ اﻟﱵ ﻳﺘﻢ زراﻋﺘﻬﺎ ﰲ اﳉﺴﻢ اﻟﺒﺸﺮي ،ﺣﻴﺚ ﳝﻜﻦ ﻟﺸﺮﳛﺔ واﺣﺪة أن ﺗﻀﻢ ﻣﻦ
ﺷﺮﳛﺘﲔ إﱃ ﻋﺸﺮ ﺷﺮاﺋﺢ ﺿﻤﻦ ﻏﻼف واﺣﺪ ،وﲨﻴﻌﻬﺎ ﻣﱰاﺑﻄﺔ ﻣﻊ ﺑﻌﻀﻬﺎ ﺑﺎﺳﺘﺨﺪام ﻋﻨﺎﺻﺮ ﻏﲑ ﻓﻌﺎﻟﺔ ) ،(RLCوﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ دﻣﺞ
اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﳌﺴﺘﻘﻠﺔ ﺿﻤﻦ ﻏﻼف وﺣﻴﺪ؛ ﳑﺎ ﻳﺆدي إﱃ ﺗﻘﻠﻴﻞ ﻋﺪد اﻟﻌﻨﺎﺻﺮ اﶈﻴﻄﻴﺔ اﳌﺘﻮﺿﻌﺔ ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ].[170
اﻟﺸﻜﻞ 114-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﻧﻈﺎم ﺗﻘﻠﻴﺪي ﻳﺴﺘﺨﺪم دارات ﻣﺘﻜﺎﻣﻠﺔ ذات وﻇﺎﺋﻒ ﻣﺴﺘﻘﻠﺔ وﻧﻈﺎم ﻣﺘﻜﺎﻣﻞ ﻳﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ.SiP
اﻟﺸﻜﻞ 114-1ﻣﻘﺎرﻧﺔ ﺑﲔ ﻧﻈﺎم ﺗﻘﻠﻴﺪي ﻳﺴﺘﺨﺪم دارات ﻣﺘﻜﺎﻣﻠﺔ ذات وﻇﺎﺋﻒ ﻣﺴﺘﻘﻠﺔ وﻧﻈﺎم ﻣﺘﻜﺎﻣﻞ ﻳﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـSiP
اﻟﺸﻜﻞ 115-1ﻳﺒﲔ اﻟﺸﺮﳛﺔ Philips BGW200أﺣﺪ اﻷﻣﺜﻠﺔ اﻟﻌﻤﻠﻴﺔ ﻟﺘﻘﻨﻴﺔ اﻟـ SiPوﻫﻲ ﻋﺒﺎرة ﻋﻦ ﻧﻈﺎم Wireless LANﳐﺼﺺ
ﻟﻠﻬﻮاﺗﻒ اﳉﻮاﻟﺔ .اﻟﺸﻜﻞ 116-1ﻳﺒﲔ ﳐﻄﻄﺎً ﺻﻨﺪوﻗﻴﺎً ﻟﻨﻈﺎم ﻫﺎﺗﻒ ﺟﻮال ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة .SiP
171
اﻟﺸﻜﻞ 115-1اﻟﺸﺮﳛﺔ BGW200ﻧﻈﺎم SiPﻣﺘﻜﺎﻣﻞ ﻟـMobile WLAN
172
اﻟﺸﻜﻞ 116-1اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻨﻈﺎم ﻫﺎﺗﻒ ﳏﻤﻮل ﻋﻠﻰ ﺷﺮﳛﺔ SiP
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 74
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﺴﻴﺌﺔ اﻟﻮﺣﻴﺪة ﳍﺬﻩ اﻟﺸﺮاﺋﺢ ﻫﻲ أﻧﻪ ﰲ ﺣﺎل ﺣﺼﻮل أي ﺧﻠﻞ -وﻟﻮ ﰲ ﺟﺰء ﻣﻦ اﻟﺸﺮﳛﺔ -ﻓﺈن ﲨﻴﻊ اﻟﻮﻇﺎﺋﻒ اﳌﺪﳎﺔ اﻷﺧﺮى ﺳﻮف
ﺗﺼﺒﺢ ﺑﻼ ﻓﺎﺋﺪة ،وﺳﻴﺘﻄﻠﺐ اﻷﻣﺮ ﺗﻐﻴﲑ اﻟﺸﺮﳛﺔ ،ورﲟﺎ ﻳﻜﻮن اﻷﻣﺮ ﻣﻜﻠﻒ.
ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ أﻳﻀﺎً ﺗﻘﺘﺼﺮ ﻛﺴﺎﺑﻘﺎﻬﺗﺎ ﻋﻠﻰ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﻟﺪﻗﻴﻘﺔ ) (micro-systemsﻓﻘﻂ ،وإﳕﺎ ﺗﻌﺘﱪ اﳉﻴﻞ اﳉﺪﻳﺪ واﻟﻨﻤﻮذج
اﳌﺒﺘﻜﺮ ﰲ ﳎﺎل ﺗﻄﺒﻴﻘﺎت أﻧﻈﻤﺔ اﻟﻄﺐ اﻟﺒﻴﻮﻟﻮﺟﻲ ) .(Bio-medicalﺗﺘﺨﻄﻰ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺗﻘﻨﻴﺎت اﻟـ SoCواﻟـ SiPﻣﻦ ﺣﻴﺚ أ�ﺎ ﻣﻨﺘﺸﺮة
ﺑﺸﻜﻞ واﺳﻊ ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﺼﻨﺎﻋﻴﺔ واﻟﻄﺒﻴﺔ ،ﻛﻤﺎ أ�ﺎ ﺗﺘﻤﻴﺰ ﺑﺎﻷداء اﻟﻌﺎﱄ وﺳﻌﺔ اﳌﻌﺎﳉﺔ إﺿﺎﻓﺔً إﱃ إﻣﻜﺎﻧﻴﺔ ﺗﻀﻤﲔ أﻧﻈﻤﺔ وﻋﻨﺎﺻﺮ
اﺗﺼﺎﻻت ﻻﺳﻠﻜﻴﺔ ،ﺣﻴﺚ أن ﻫﺬﻩ اﳌﻴﺰات ﻛﺎﻧﺖ ﺗﻌﺘﱪ ﻋﺎﺋﻘﺎً ﰲ اﻟﺘﻘﻨﻴﺎت اﻟﺴﺎﺑﻘﺔ] .[173,174اﻟﺸﻜﻞ 117-1ﻳﻌﺮض ﳐﻄﻄﺎً ﺑﻨﻴﻮﻳﺎً ﻟـSoP
ﳛﻮي ﻋﻠﻰ ﺛﻼث أﻧﻮاع ﻣﻦ اﻟﺪارات ذواﻛﺮ ،ﻣﻌﺎﳉﺎت ،دارات ﺗﺸﺎﻬﺑﻴﺔ( وﻃﺒﻘﺎت اﻟﻌﺰل اﻟﻜﻬﺮﺑﺎﺋﻲ اﳋﺎﺻﺔ ﺑﺎ إﺿﺎﻓﺔً إﱃ ﻋﻨﺎﺻﺮ ﻏﲑ ﻓﻌﺎﻟﺔ
وﻫﻮاﺋﻲ.
75 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻋﻠﻰ ﺧﻼف اﻟﺘﻘﻨﻴﺎت اﻟﺴﺎﺑﻘﺔ ) (SiP, SoC, MCMاﻟﱵ ﺗﺘﺒﻊ ﻗﺎﻧﻮن " "Moorﰲ ﺗﻄﻮر زﻳﺎدة ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ اﻟﺸﺮﳛﺔ )اﻟﻜﺜﺎﻓﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ( ،وأن ﻫﺬا اﻟﻌﺪد ﳝﻜﻦ أن ﻳﺘﻀﺎﻋﻒ ﻛﻞ 18ﺷﻬﺮاً ﻣﻦ أﺟﻞ ﻧﻔﺲ اﻟﻜﻠﻔﺔ ،ﻓﺈن ﻫﺬﻩ ﺗﻘﻨﻴﺔ SoPﺗﺘﺨﻄﻰ ﻗﺎﻧﻮن ""Moor
وﺗﻌﺘﱪ اﻷﺧﲑ ﻳﻔﺘﻘﺮ إﱃ اﻟﺘﻮﺻﻴﻒ اﻟﺼﺤﻴﺢ ،وذﻟﻚ ﻷن ﻫﻨﺎﻟﻚ ﻋﻮاﻣﻞ أﺧﺮى ﺗﺆﺛﺮ ﻋﻠﻰ اﻷداء ،وﻟﺬﻟﻚ ﻓﻬﻲ ﺗﺘﺒﻊ ﻣﻨﺤﲏ ﻳﺴﻤﻰ ﺑـMega-
،Functionﺣﻴﺚ أ�ﺎ ﲡﻤﻊ ﻛﺜﺎﻓﺔ اﻟﱰﻛﻴﺐ اﻟﺴﻴﻠﻴﻜﻮﱐ واﻟﺘﻌﻘﻴﺪ اﻟﻮﻇﻴﻔﻲ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻨﻈﺎم ،وﺗﻨﺺ ﻋﻠﻰ أن ﻋﺪد اﻟﻌﻨﺎﺻﺮ ﻋﻠﻰ اﻟﺸﺮﳛﺔ
ﳝﻜﻦ أن ﻳﺘﻀﺎﻋﻒ ﻛﻞ 12ﺷﻬﺮاً ،وﺑﺬﻟﻚ ﻓﺈن ﻋﺪد ﻣﻬﺎم اﻟﻨﻈﺎم ﺳﺘﺘﻨﺎﺳﺐ ﻃﺮدا ﻣﻊ ﻫﺬﻩ اﻟﺰﻳﺎدة] .[175, 176اﻟﺸﻜﻞ 118-1ﻳﺒﲔ ﻣﻨﺤﲏ
ﻗﺎﻧﻮن ازدﻳﺎد اﻟﻜﺜﺎﻓﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻟـ SoPﺑﺎﻟﻠﻮن اﻷﲪﺮ ﻣﻘﺎرﻧﺔ ﻣﻊ ﻣﻨﺤﻲ ﻗﺎﻧﻮن Moorﺑﺎﻟﻠﻮن اﻷزرق.
ﺑﺎﳋﻼﺻﺔ ﳝﻜﻨﻨﺎ اﻟﻘﻮل ﺑﺄن ﺗﻘﻨﻴﺔ اﻟـ SoPﻋﺒﺎرة ﻋﻦ دﻣﺞ ﺗﻘﻨﻴﺔ اﻟـ SoCوﺗﻘﻨﻴﺔ اﻟـ SiPوﻛﺬﻟﻚ ﺗﻘﻨﻴﺔ اﻟـ SoBﻟﻠﻮﺻﻮل إﱃ ﻣﺴﺘﻮى ٍ
ﻋﺎل ﺟﺪاً
ﻣﻦ اﻟﺘﻜﺎﻣﻞ ﻋﻠﻰ دارة ﻣﺘﻜﺎﻣﻠﺔ وﺣﻴﺪة .اﻟﺸﻜﻞ 119-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﺘﻘﻨﻴﺎت اﻷرﺑﻌﺔ .SoC, MCM, SiP, SoPاﻟﺸﻜﻞ120-1
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 76
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﰎ ﺗﻄﻮﻳﺮ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ واﺳﺘﺨﺪاﻣﻬﺎ ﻷول ﻣﺮة ﰲ اﻟﺴﺎﻋﺎت اﻟﺮﻗﻤﻴﺔ ،ﺣﻴﺚ ﻛﺎن ﻳﺴﺘﺨﺪم ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ واﺣﺪة ﻋﻠﻰ اﻟﺪارة ﻣﻄﺒﻮﻋﺔ .ﻣﺆﺧﺮاً
ﺗﻄﻮرت ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ إﱃ ﺣﺪ ﻛﺒﲑ وأﺻﺒﺤﺖ ﺗﺴﺘﺨﺪم ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ﻣﺜﻞ :أﺟﻬﺰة اﻟﻮﺳﺎﺋﻂ اﻟﺮﻗﻤﻴﺔ اﻟﺘﻔﺎﻋﻠﻴﺔ واﳊﺎﺳﺒﺎت وأﺟﻬﺰة
اﳍﻮاﺗﻒ وﺑﻄﺎﻗﺎت اﻻﺋﺘﻤﺎن واﻟﺸﺎﺷﺎت اﻟﺮﻗﻤﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ وﻏﲑﻫﺎ .اﻟﺘﻄﺒﻴﻘﺎت اﳊﺪﻳﺜﺔ اﳌﺘﻘﺪﻣﺔ ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ أﺻﺒﺤﺖ ﲢﻮي ﻋﻠﻰ أﻛﺜﺮ ﻣﻦ
100ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ .اﻟﺸﻜﻞ 121-1ﻳﺒﲔ دارة ﻣﻄﺒﻮﻋﺔ ) (PCBﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ CoBوﻫﻲ ﲢﻮي ﻋﻠﻰ 9
اﻟﺸﻜﻞ 121-1ﺗﺴﻊ ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ) (9-Diesﻣﺘﻮﺿﻌﺔ ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ
ﰲ ﺑﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت اﺳﺘﻄﺎﻋﺖ ﺗﻘﻨﻴﺔ اﻟـ CoBأن ﲢﻞ ﳏﻞ ﺗﻘﻨﻴﺔ اﻟﻌﻨﺎﺻﺮ ذات اﻟﺘﻮﺿﻊ اﻟﺴﻄﺤﻲ ) ،(SMDوذﻟﻚ ﻷ�ﺎ ﲤﻜﻦ ﻣﻦ
اﺳﺘﺨﺪام ﺣﺠﻢ دارة ﻣﻄﺒﻮﻋﺔ أﺻﻐﺮ ،وﺗﻌﻄﻲ ﻣﻨﺎﻋﺔ ﻋﺎﻟﻴﺔ ﺿﺪ اﻟﺴﻌﺎت اﻟﻄﻔﻴﻠﻴﺔ اﻟﱵ ﺗﻨﺸﺄ ﻋﻦ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ذات اﻷﻏﻠﻔﺔ ﻛﻤﺎ أ�ﺎ
ﺗﺴﺮع ﰲ ﻋﻤﻠﻴﺔ اﻹﻧﺘﺎج.
إن ﻛﻠﻔﺔ اﻟﺘﺼﻨﻴﻊ ﻟﻐﻼف PDIPﺗﺼﻞ إﱃ ﺿﻌﻔﻲ ﻣﺎﻫﻮ ﻣﻮﺟﻮد ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ داﺧﻞ اﻟﻐﻼف ،وﻛﺬﻟﻚ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻸﻏﻠﻔﺔ
اﻟﺴﻄﺤﻴﺔ ) ،(SMDﻓﺈن اﻟﻜﻠﻔﺔ ﺳﺘﻜﻮن اﻟﻀﻌﻒ ،وﺑﺎﻟﺘﺎﱄ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ CoBﺳﺘﻜﻮن ﻛﻠﻔﺔ اﻟﻨﻈﺎم أﺧﻔﺾ ﺑﻜﺜﲑ ،ﻛﻤﺎ أن اﳌﺴﺎﺣﺔ
اﻟﱵ ﲢﺘﺎﺟﻬﺎ دارة ﻣﺘﻜﺎﻣﻠﺔ ذات ﻏﻼف ﻫﻲ ﻣﻦ 10إﱃ 20ﺿﻌﻒ.
اﻟﺸﻜﻞ 122-1ﻳﺒﲔ ﺷﺎﺷﺔ رﺳﻮﻣﻴﺔ 128×64pixelﺗﺴﺘﺨﺪم ﺛﻼث ﻣﻌﺎﳉﺎت ﻧﻘﻄﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ.CoB
77 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﻟﺼﻌﻮﺑﺔ اﻟﻮﺣﻴﺪة ﻫﻲ ﰲ اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻫﺬﻩ اﻟﺸﺮاﺋﺢ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،ﺣﻴﺚ ﺳﻴﺤﺘﺎج إﱃ أﺟﻬﺰة ذات ﻣﺴﺘﻮى ٍ
ﻋﺎل ﻣﻦ اﻟﺘﻘﻨﻴﺔ ﺗﻘﻮم ﻋﻠﻰ ﺗﻮﺻﻴﻞ
اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﻊ ﻣﺴﺎرات اﻟﺪارة اﳌﻄﺒﻮﻋﺔ ،ﻛﻤﺎ أن ﻋﻤﻠﻴﺔ ﺻﻴﺎﻧﺔ ﻫﺬﻩ اﻟﺸﺮاﺋﺢ ﻏﲑ ﳑﻜﻨﺔ ﻋﻤﻮﻣﺎً ،ﻓﺈذا ﺗﻀﺮرت ﺷﺮﳛﺔ ﻣﺎ ﻋﻠﻰ دارة
ﻣﻄﺒﻮﻋﺔ ،ﻓﺴﻮف ﻳﺘﻄﻠﺐ اﻷﻣﺮ اﺳﺘﺒﺪال اﻟﺪارة ﻛﺎﻣﻠﺔً.
اﳉﺪول 13-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﻣﺴﺎﺣﺔ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ اﳌﻄﻠﻮﺑﺔ ﻟﺸﺮﳛﺔ CoBذات 24ﻗﻄﺐ ،وﻟﻠﺸﺮاﺋﺢ ذات اﻷﻏﻠﻔﺔ ﻣﻦ أﺟﻞ ﻧﻔﺲ ﻋﺪد
اﻷﻗﻄﺎب ،ﺣﻴﺚ أ ّن اﻟﺸﺮﳛﺔ Chipﻫﻲ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﻷوﻟﻴﺔ ).(Die
إن اﻟﻄﻮر اﻟﺜﺎﱐ ﰲ ﻣﺮاﺣﻞ ﺻﻨﺎﻋﺔ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻫﻮ ﻋﻤﻠﻴﺔ وﺿﻊ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ) (Dieداﺧﻞ ﻏﻼف ) ،(Packageﺑﺎﺳﺘﺨﺪام
ﺷﺮاﺋﺢ اﻟـ CoBﳝﻜﻦ ﺗﻘﻠﻴﺺ زﻣﻦ وﻛﻠﻔﺔ اﻟﺘﺼﻨﻴﻊ ﳍﺬﻩ اﳌﺮﺣﻠﺔ .اﻟﺸﻜﻞ 123-1ﻳﺒﲔ ﳐﻄﻄﺎً ﻣﻨﻬﺠﻴﺎً ﳌﺮاﺣﻞ ﺗﺼﻨﻴﻊ اﻟﺪارة اﳌﺘﻜﺎﻣﻠﺔ ﰲ ﻃﻮرﻫﺎ
اﻟﺜﺎﱐ – وﻫﻮ وﺿﻊ اﻟﺸﺮﳛﺔ ﰲ ﻏﻼف – ٍ
ﻟﻜﻞ ﻣﻦ اﻟﺘﻘﻨﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ SMDوﺗﻘﻨﻴﺔ اﻟـ CoBﺣﻴﺚ ﻳﻼﺣﻆ أن ﻋﺪد اﳌﺮاﺣﻞ ﳜﺘﺼﺮ إﱃ
اﻟﻨﺼﻒ ﺑﺎﻟﻨﺴﺒﺔ ﻟﺘﻘﻨﻴﺔ اﻟـ.CoB
ﻳﺘﻢ وﺿﻊ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ ﻟﻴﺘﻢ ﻟﺼﻘﻬﺎ ﻋﻨﺪ درﺟﺔ ﺣﺮارة ،150ºCﰒ ﻳﺘﻢ ﺗﻮﺻﻴﻠﻬﺎ ﺑﺎﺳﺘﺨﺪام أﺳﻼك ﻣﻴﻜﺮوﻧﻴﺔ
ﻣﺼﻨﻌﺔ ﻣﻦ ﺧﻠﻴﻄﻪ ﻣﺮﻛﺐ ﻣﻦ اﻟﻨﺤﺎس واﻟﻨﻴﻜﻞ واﻟﺬﻫﺐ أو أﺳﻼك ﻣﻦ اﻷﳌﻨﻴﻮم ،وﺗﺴﺘﺨﺪم أﺟﻬﺰة ﺗﺪﻋﻰ ﺑـ Wire-bonderﻣﻦ أﺟﻞ
ﻋﻤﻠﻴﺔ اﻟﺘﻮﺻﻴﻞ .ﺑﻌﺪ اﻧﺘﻬﺎء ﻋﻤﻠﻴﺔ اﻟﺘﻮﺻﻴﻞ ﻳﺘﻢ ﺗﻐﻄﻴﺔ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﺑﻐﻼف ) (Encapsulatedﻣﺮﻛﺐ ﻣﻦ ﻣﻮاد ﻛﻴﻤﻴﺎﺋﻴﺔ
) .(Epoxyاﻟﺸﻜﻞ 124-1ﻳﺒﲔ ﺗﻮﺻﻴﻞ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ دارة ﻣﻄﺒﻮﻋﺔ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ .CoBاﳉﻴﻞ اﳉﺪﻳﺪ ﻣﻦ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ أﺻﺒﺢ
ﻳﺪﻋﻢ إﻣﻜﺎﻧﻴﺔ ﺗﻮﺿﻊ ﻋﺪة ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﺑﺸﻜﻞ ﻋﻤﻮدي )ﻃﺒﻘﺎت( ﻓﻮق ﺑﻌﻀﻬﺎ اﻟﺒﻌﺾ وأﺻﺒﺤﺖ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺗﻨﺎﻓﺲ ﺗﻘﻨﻴﺔ اﻟـ.SoP
اﻟﺸﻜﻞ 125-1ﻳﺒﲔ ﺛﻼث ﺷﺮاﺋﺢ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﺘﻮﺿﻌﺔ ﺑﺸﻜﻞ ﻋﻤﻮدي ﻋﻠﻰ دارة ﻣﻄﺒﻮﻋﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ.CoB
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 78
21 Chapter 1 | اﻟﻔﺼﻞ اﻷول
Wafer Wafer
1
Test, dice, clean Test, dice, clean
2
Die bond onto PCB Die bond onto leadframe
3
Wire bond, Test Wire bond
4
Seal off bond area Mould, Stamp
5
Add connectors, Test Surface treat leads, test
6
<Finished Product> Pack and Ship
7
Unpack, prepare for assembly
8
Assemble using SMD machines
9
Solder
10
Cleaning
11
Test
12
<Finished Product>
79 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻗﺒﻴﻞ ﻋﺸﺮ ﺳﻨﻮات ﻛﺎﻧﺖ ﺗﻘﻨﻴﺔ اﻟـ ASICواﻟـ ASSPاﻷﻛﺜﺮ اﻧﺘﺸﺎراً ﰲ اﻷﺳﻮاق ،اﻟﻴﻮم ﺗﺆﻛﺪ اﻷﲝﺎث اﻻﻗﺘﺼﺎدﻳﺔ ﻋﻠﻰ أن اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
اﻟـ ASICواﻟـ ASSPﰲ ﺗﻀﺎؤل ﻣﺴﺘﻤﺮ ﻧﺘﻴﺠﺔً ﻻرﺗﻔﺎع ﺗﻜﺎﻟﻴﻒ ﺗﺼﻨﻴﻊ ﻫﺬﻩ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ.
اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻣﺜﻞ LSI Logic, Fujitsu, NEC Electronics, Oki Electric, Toshiba :وﻏﲑﻫﻢ ،ﻳﻮاﺟﻬﻮن
ﺻﻌﻮﺑﺎت ﻛﺒﲑة وﺗﻀﺎؤل ﰲ ﺣﺠﻢ اﳌﺒﻴﻌﺎت ،ﻋﻼوة ﻋﻠﻰ ذﻟﻚ ﻓﺈن ﺳﻠﺴﻠﺔ ﻣﻦ اﻷﺣﺪاث اﻻﻗﺘﺼﺎدﻳﺔ ﺗﺆﻛﺪ ﻋﻠﻰ وﺟﻮد ﻗﻠﻖ ﻣﺴﺘﻤﺮ ﻓﻴﻤﺎ إذا
ﻛﺎﻧﺖ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺳﻮف ﺗﺴﺘﺨﺪم ﰲ ﺗﻄﻮﻳﺮ اﳌﻨﺘﺠﺎت اﻟﻘﺎدﻣﺔ أم ﻻ].[180
اﻹﺣﺼﺎءات واﳌﺆﺷﺮات اﻻﻗﺘﺼﺎدﻳﺔ ﺗﺸﲑ إﱃ أن ﻣﻌﺪل اﻟﺘﺼﺎﻣﻴﻢ اﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ ASICﻗﺪ اﳔﻔﺾ ﲟﻌﺪل ﲡﺎوز اﻟـ 50%ﻣﻦ ﻋﺎم
2000إﱃ ) 2007ﻣﻦ 7749إﱃ (3196وﻫﻮ ﰲ اﳓﺪار ﻣﺘﺰاﻳﺪ ﺗﺒﺎﻋﺎً وﻓﻘﺎً ﻟﺘﻘﺮﻳﺮ .[181]Gartnerاﻟﺸﻜﻞ 126-1ﻳﺒﲔ ﻣﻌﺪﻻت
ﺗﺼﺎﻣﻴﻢ اﻟـ ASICاﳌﺘﻮﻗﻌﺔ ﻋﺎﳌﻴﺎً ﻣﻦ ﻋﺎم 1994إﱃ ﻋﺎم 2013وﻳﺘﻮﻗﻊ أن ﻳﺘﺼﻞ ﻣﻌﺪل اﳔﻔﺎض اﺳﺘﺨﺪام ﻫﺬﻩ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ إﱃ 70%ﻣﻊ
ﺑﺪاﻳﺔ ﻋﺎم .[182]2012اﻟﺸﻜﻞ 127-1ﻳﺒﲔ ﻧﺴﺒﺔ اﳔﻔﺎض ﺗﺼﺎﻣﻴﻢ اﻟـ ASSPاﳌﺘﻮﻗﻌﺔ ﻋﺎﳌﻴﺎً ﻣﻦ ﻋﺎم 2003إﱃ ﻋﺎم 2013وﻗﺪ ﺑﻠﻐﺖ
25%ﰲ ﻋﺎم .[183]2010
ﺑﺸﻜﻞ ﻋﺎم ﻓﺈن ﻛﻠﻔﺔ اﻟﺘﺼﻤﻴﻢ ﻟﻠﺠﻴﻞ اﳉﺪﻳﺪ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ ASICوﺗﻘﻨﻴﺔ اﻟـ ASSPﺳﻮف ﺗﺒﺪأ -ﺑﺎﳊﺪ اﻷدﱏ -ﻣﻦ 50ﻣﻠﻴﻮن
دوﻻر ﻟﻠﻮﺻﻮل إﱃ اﳌﻨﺘﺞ )دارة ﻣﺘﻜﺎﻣﻠﺔ( اﻟﺬي ﳝﻜﻦ ﺗﺴﻮﻳﻘﻪ ﲡﺎرﻳﺎً ،وﻋﻠﻰ ﻫﺬا اﳌﻌﺪل ﻓﺈن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﳝﻜﻦ أن ﺗﺘﻼﺷﻰ ﺑﺄﺳﺮع ﳑﺎ ﻫﻮ
ﺗﺼﻨﻊ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ إﱃ إﻏﻼق ﻣﻌﺎﻣﻠﻬﺎ أو ﺑﻴﻌﻬﺎ إﱃ ﺷﺮﻛﺎت أﺧﺮى.
ﻣﺘﻮﻗﻊ ،وﻫﺬا ﻫﻮ اﻟﺴﺒﺐ اﻟﺬي دﻓﻊ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺸﺮﻛﺎت اﻟﱵ ّ
اﻟﺸﻜﻞ 128-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﲤﺜﻴﻠﻴﺔ ﻟﻜﻠﻔﺔ وﻣﺮاﺣﻞ اﻟﺘﺼﻤﻴﻢ ﻟﻜﻞ ﻣﻦ ﺗﻘﻨﻴﺔ اﻟـ FPGAواﻟـ ASICوﻳﻼﺣﻆ أن ﻛﻠﻔﺔ اﻟﺘﺼﻤﻴﻢ ﻟﺘﻘﻨﻴﺔ
اﻟـ ASICﺗﻔﻮق ﲟﺌﺎت اﻷﺿﻌﺎف ﺗﻘﻨﻴﺔ اﻟـ.FPGA
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 80
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
إن اﻻﻋﺘﺒﺎر اﻷول ﰲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻫﻮ اﻟﺒﺤﺚ ﻋﻦ ﻠﻮل أﻣﺜﻠﻴﺔ ﻬﺑﺪف اﻟﻮﺻﻮل إﱃ اﻟﻜﻠﻔﺔ اﻷﺧﻔﺾ واﳌﺰاﻳﺎ اﻷﻛﱪ ﻟﻠﻨﻈﺎم
اﳌﻄﻠﻮب؛ ﻓﻔﻲ ﻧﻔﺲ اﻟﻮﻗﺖ اﻟﺬي ﺗﺰداد ﻓﻴﻪ ﺗﻜﺎﻟﻴﻒ اﻟﺘﺼﻨﻴﻊ ﻟﺘﻘﻨﻴﺔ اﻟـ ASICوﺗﻘﻨﻴﺔ اﻟـ ،ASSPﻓﺈن ﺗﻜﻠﻔﺔ ﺷﺮاﺋﺢ اﻟـ FPGAﺗﻨﺨﻔﺾ،
وﻣﺰاﻳﺎﻫﺎ ﺗﺰداد وﻫﺬا ﻣﺎ ﻳﺴﺒﺐ ﳕﻮ ﻣﺘﺴﺎرع ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ .اﻟﺸﻜﻞ 129-1ﻳﺒﲔ ﻣﻌﺪل ازدﻳﺎد ﺗﺼﺎﻣﻴﻢ اﻟـ FPGAاﳌﺘﻮﻗﻌﺔ ﻋﺎﳌﻴﺎً )ﻣﻦ ﻋﺎم
1999إﱃ ﻋﺎم .[184](2013
ﲤﺘﻠﻚ ﺗﻘﻨﻴﺔ اﻟـ FPGAﻣﺆﺧﺮاً ﻣﻴﺰات ﻋﺪﻳﺪة ﻛﺎﻟﱵ ﲤﺘﻠﻜﻬﺎ ﺗﻘﻨﻴﺔ اﻟـ ASICﻣﺜﻞ اﻷداء اﻟﻌﺎﱄ واﻻﺳﺘﻬﻼك اﳌﻨﺨﻔﺾ ﻟﻠﻄﺎﻗﺔ واﻟﻜﺜﺎﻓﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ،ﻋﻼوة ﻋﻠﻰ ذﻟﻚ ﻓﺈن ﺗﻘﻨﻴﺔ اﻟـ FPGAﲤﻠﻚ ﺻﻔﺎت ﳑﻴﺰة أﺧﺮى ﻣﺜﻞ اﳌﺮوﻧﺔ ﰲ إﻋﺎدة ﺗﺸﻜﻴﻞ اﻟﻨﻈﺎم
) ،(Reconfiguringأﳕﺎط اﻟﺘﺸﻐﻴﻞ اﳌﺨﺘﻠﻔﺔ ،ﻣﻌﺎﳉﺎت ﻣﺪﳎﺔ ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ ) ،(Embedded Processorsوﺣﺪات ذاﻛﺮﻳﺔ،
وﺣﺪات DSPs؛ ﻫﺬﻩ اﳌﻴﺰات ﲡﻌﻠﻬﺎ ﺗﺘﻔﻮق ﺑﺸﻜﻞ واﺿﺢ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ ،ASICوﻫﻲ اﳊﺎﻓﺰ اﻟﺮﺋﻴﺴﻲ ﳌﻌﻈﻢ اﻟﺸﺮﻛﺎت ﰲ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
81 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
اﻟـ FPGAﻛﺒﺪﻳﻞ ﻟﺘﻘﻨﻴﺔ اﻟـ ASICوﺗﻘﻨﻴﺔ اﻟـ SoCواﻟﺘﺄﻛﻴﺪ ﻋﻠﻰ وﺿﻊ ﺧﻄﻂ ﻣﺴﺘﻘﺒﻠﻴﺔ ﻻﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت
ﻣﺘﻜﺎﻣﻠﺔ] [185وﺧﻄﻂ ﻣﺮﺣﻠﻴﺔ ﻻﺳﺘﺨﺪام ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﰲ ﺗﺼﻤﻴﻢ اﻟﻨﻤﺎذج اﻷوﻟﻴﺔ ) (Prototypingﳑﺎ ﻳﻮﻓﺮ ﰲ اﻟﻮﻗﺖ واﻟﻜﻠﻔﺔ].[186-188
وﻓﻘﺎً ﻟﻘﺎﻧﻮن [80-83] Moorﻓﺈن ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات اﻟﱵ ﳝﻜﻦ وﺿﻌﻬﺎ ﻋﻠﻰ دارة ﻣﺘﻜﺎﻣﻠﺔ وﺣﻴﺪة ﰲ ﺗﺰاﻳﺪ ﻣﺴﺘﻤﺮ ،وﻗﺪ أﻛﺪ ﻣﺴﺎﻋﺪ ﻣﺪﻳﺮ
ﺷﺮﻛﺔ - Michael Hames - TIﻋﻠﻰ أﻧﻪ ﻻ أﺣﺪ ﻳﺴﺘﻄﻴﻊ ﺗﺼﻤﻴﻢ دارة ﻣﺘﻜﺎﻣﻠﺔ ﲢﻮي ﻋﻠﻰ 500ﻣﻠﻴﻮن ﺗﺮاﻧﺰﺳﺘﻮر ﻣﻦ ﻧﻘﻄﺔ اﻟﺼﻔﺮ،
ﻷن ذﻟﻚ ﺳﻮف ﻳﺘﻄﻠﺐ ﻣﻮارد ﻫﺎﺋﻠﺔ ،وإﳕﺎ ﻳﻨﺒﻐﻲ أن ﻳﻜﻮن اﻟﺘﺼﻤﻴﻢ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﻮﻇﻴﻔﻲ ﻻ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ ﻣﻦ اﻵن وﺻﺎﻋﺪاً
– أي اﺳﺘﺨﺪام ﺣﻠﻮل ﻻ ﲢﺘﺎج إﱃ ﻣﺮﺣﻠﺔ ﺗﺼﻤﻴﻢ ﺑﻨﻴﻮي ،وإﳕﺎ ﺗﺼﻤﻴﻢ ﻣﺒﺎﺷﺮ ﻟﻠﻮﻇﺎﺋﻒ اﳌﻄﻠﻮﺑﺔ ﻣﺜﻞ ﺷﺮاﺋﺢ اﻟـ.FPGAs
ﻋﻠﻰ ﻣﺴﺘﻮى ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث واﳌﻘﺎﻻت ﺗﺆﻛﺪ ﻋﻠﻰ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ
اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻛﺤﻞ ﺑﺪﻳﻞ ﳌﻌﺎﳉﺎت اﻟـ ،DSPوذﻟﻚ ﳌﺎ ﲤﺘﻠﻜﻪ ﻣﻦ ﺳﻌﺔ ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ وأداء ﻛﺒﲑ وﻋﻤﻠﻴﺎت ﺗﺸﻐﻴﻞ ﺗﻔﺮﻋﻴﺔ].[189-191
اﻟﺸﻜﻞ 130-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ اﻷداء ﻟﻜﻞ ﻣﻦ ﺷﺮاﺋﺢ اﻟـ FPGAsوﺷﺮاﺋﺢ اﻟـ DSPsﺣﻴﺚ ﳝﺜﻞ اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷﺧﻀﺮ أداء ﺷﺮاﺋﺢ اﻟـFPGA
اﻟﺸﻜﻞ 130-1اﳌﻨﺤﲏ اﻷﺧﻀﺮ ﳝﺜﻞ أداء اﻟـ FPGAواﳌﻨﺤﲏ اﻷﲪﺮ ﳝﺜﻞ أداء اﻟـDSP/GPP
اﻟﺪراﺳﺔ اﻷﺧﲑة ﻟﻸداء اﻟﱵ ﻗﺎﻣﺖ ﺑﺎ ﺷﺮﻛﺔ ﺣﻠﻮل اﻟﺘﺼﻤﻴﻢ - BDTIﺷﺮﻛﺔ BTDIﻫﻲ ﻣﻦ اﻟﺸﺮﻛﺎت اﻟﻌﺎﳌﻴﺔ اﳌﺘﺨﺼﺼﺔ واﳌﻮﺛﻮﻗﺔ اﻟﱵ
ﻳﺮﺟﻊ إﻟﻴﻬﺎ اﳌﻬﻨﺪﺳﲔ اﳌﺼﻤﻤﲔ وﻣﺪراء اﻟﺘﺴﻮﻳﻖ واﻹدارة ﰲ اﲣﺎذ ﻗﺮارات ﲡﺎرﻳﺔ وﺗﻘﻨﻴﺔ ﻣﻦ أﺟﻞ وﺿﻊ ﺧﻄﻂ ﻣﺴﺘﻘﺒﻠﻴﺔ ﺣﻮل ﺗﻜﻨﻮﻟﻮﺟﻴﺎ
ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺗﻄﺒﻴﻘﺎﻬﺗﺎ -ﺗﺸﲑ إﱃ أن ﺗﻘﻨﻴﺔ اﻟـ FPGAﲤﻠﻚ ﻣﻴﺰات ﻛﺒﲑة وﻫﻲ أﻓﻀﻞ ﺑﻜﺜﲑ ﻣﻦ اﺳﺘﺨﺪام ﻣﻌﺎﳉﺎت اﻹﺷﺎرة
اﻟﺮﻗﻤﻴﺔ ) (DSPsﰲ ﳎﺎل ﻣﻌﺎﳉﺔ اﻹﺷﺎرة وﺧﺼﻮﺻﺎً اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﲢﻮي ﻋﻠﻰ ﺧﻮارزﻣﻴﺎت ﺗﻨﻔﻴﺬ ﺗﻔﺮﻋﻴﺔ وﲢﺘﺎج إﱃ أداء ٍ
ﻋﺎل ﻣﺜﻞ ﺗﻄﺒﻴﻘﺎت
اﻻﺗﺼﺎﻻت اﻟﻼﺳﻠﻜﻴﺔ ﻣﻦ اﳉﻴﻞ اﻟﺜﺎﻟﺚ واﻟﺮاﺑﻊ؛ ﻛﻤﺎ أن اﻟﺪراﺳﺔ أﺷﺎرت أﻳﻀﺎً إﱃ أن ﺗﻘﻨﻴﺔ اﻟـ FPGAأﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ ﻧﺴﺒﺔ إﱃ اﻟﻜﻠﻔﺔ ﻣﻦ
اﺳﺘﺨﺪام ﻣﻌﺎﳉﺎت اﻟـ DSPﺑﻨﺴﺒﺔ ﺗﺘﺠﺎوز 43ﻣﺮة -ﻫﺬا ﻋﻠﻰ ﻣﻘﻴﺎس أن ﲨﻴﻊ ﻫﺬﻩ اﻟﺸﺮاﺋﺢ ﳍﺎ ﻧﻔﺲ اﻟﺴﻌﺮ ،أﻣﺎ ﺑﺎﻋﺘﺒﺎر اﻷداء دون
اﻟﺘﻜﻠﻔﺔ ﻓﺈن ﺷﺮاﺋﺢ اﻟـ FPGAsﳝﻜﻦ أن ﺗﻘﺪم أداءً ﻳﺘﺠﺎوز 1000ﺿﻌﻒ ،وﻗﺪ أﻛﺪ ﻣﺪﻳﺮ ﻗﺴﻢ اﻟـ DSPsﰲ ﺷﺮﻛﺔ Leon ) TI
(Adamsﻋﻠﻰ أن ﻫﺬﻩ اﻟﻨﺘﺎﺋﺞ ﻟﻴﺴﺖ ﻣﻔﺎﺟﺌﺔ ﻛﻮن أﻧﻈﻤﺔ اﻻﺗﺼﺎﻻت ذات ﻃﺒﻴﻌﺔ ﻋﻤﻞ ﺗﻔﺮﻋﻴﺔ ،وأﻧﻪ ﻣﻦ اﳌﺘﻮﻗﻊ أن ﺗﻘﻨﻴﺔ اﻟـFPGA
ﺳﻮف ﲢﺘﻞ ﻫﺬا اﻟﻘﻄﺎع ﻛﻐﲑﻩ ﻋﻠﻰ ﻣﺪى اﻟﺴﻨﻮات اﻟﻘﻠﻴﻠﺔ اﻟﻘﺎدﻣﺔ] .[192, 193اﻟﺸﻜﻞ 131-1ﻳﺒﲔ ﻧﺘﺎﺋﺞ اﻟﺪراﺳﺔ اﻟﺘﺤﻠﻴﻠﻴﺔ ﺣﻴﺚ أن اﳌﻘﺎرﻧﺔ
ﲤﺖ ﻋﻠﻰ ﻣﻌﺎﰿ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ TMS320C6410وﻫﻮ ﻣﻦ ﺻﻨﻊ ﺷﺮﻛﺔ TIوﻳﻌﻤﻞ ﻋﻨﺪ ﺗﺮدد ،400MHzوﺷﺮﳛﺔ اﻟـ FPGAﻣﻦ
ﺗﺼﻨﻴﻊ ﺷﺮﻛﺔ Xilinxوﻫﻲ ،Virtex-4 SX25ﻣﻊ اﻷﺧﺬ ﺑﻌﲔ اﻻﻋﺘﺒﺎر أن ﲨﻴﻊ ﻫﺬﻩ اﻟﺸﺮاﺋﺢ ﳍﺎ ﻧﻔﺲ اﻟﻜﻠﻔﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 82
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
دراﺳﺔ أﺧﺮى ﲤﺖ ﳌﻘﺎرﻧﺔ اﻷداء ﻧﺴﺒﺔ إﱃ اﻟﻜﻠﻔﺔ ﻟﺘﻄﺒﻴﻖ أﻛﺜﺮ ﺗﻌﻘﻴﺪاً وﻫﻮ ﻣﻌﺎﳉﺔ إﺷﺎرة ﻣﺮﺋﻴﺔ .اﻟﺸﻜﻞ 132-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ اﻷداء ﺑﲔ ﺷﺮﳛﺔ
FPGA Spartan-3Aوﻣﻌﺎﰿ 600MHz TI C64x+DSPﻋﻠﻰ ﺗﻄﺒﻴﻖ ﻣﻌﺎﳉﺔ ،Videoﺣﻴﺚ أن ﺷﺮﳛﺔ اﻟـ FPGAأﳒﺰت ﻣﻌﺎﳉﺔ
195frame/secﺑﺪﻗﺔ 720pixelﰲ ﺣﲔ أن ﺷﺮﳛﺔ اﻟـ DSPأﳒﺰت ﻣﻌﺎﳉﺔ 5.1frame/secﻓﻘﻂ ﻣﻦ أﺟﻞ ﻧﻔﺲ اﻟﺪﻗﺔ.
اﻟﺸﻜﻞ 133-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ اﻷداء/اﻟﻜﻠﻔﺔ ﺑﲔ ﺷﺮﳛﺔ FPGA Spartan-3Aوﻣﻌﺎﰿ 600MHz TI C64x+DSPﻋﻠﻰ ﺗﻄﺒﻴﻖ
،Videoﺣﻴﺚ أن ﺷﺮﳛﺔ اﻟـ FPGAﻛﺎﻧﺖ أﻓﻀﻞ ﺑـ 30ﻣﺮة ﻣﻦ ﺷﺮﳛﺔ اﻟـ DSPﻣﻦ أﺟﻞ ﻧﺴﺒﺔ اﻷداء إﱃ اﻟﺴﻌﺮ ﻟﻜﻞ .frame/sec
اﻟﺸﻜﻞ 133-1ﻧﺴﺒﺔ اﻷداء/اﻟﻜﻠﻔﺔ ﻟﻜﻞ Frame/secﻟﺸﺮاﺋﺢ اﻟـ FPGAsأﻓﻀﻞ ﺑـ 30ﻣﺮة ﻣﻦ ﺷﺮاﺋﺢ اﻟـDSPs
إن ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﻌﺘﱪ ﻣﺆﺧﺮاً ﻣﻨﺼﺔ اﻟﺘﺼﻤﻴﻢ واﻟﺘﻄﻮﻳﺮ اﻟﺮﺋﻴﺴﻴﺔ واﳊﻞ اﻷﺳﺎﺳﻲ ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﱵ ﲢﺘﺎج ﻋﻤﻠﻴﺎت ﺣﺴﺎﺑﻴﺔ ﺗﻜﺮارﻳﺔ
ﻣﻌﻘﺪة] [199-208وﺧﺼﻮﺻﺎً ﻋﻨﺪﻣﺎ ﻳﺘﻄﻠﺐ ﺑﻨﺎء ﻧﻈﺎم ذو أداء ٍ
ﻋﺎل ﺟﺪاً ﻓﻴﻤﻜﻦ ﻟﻠﻤﺼﻤﻤﲔ اﻻﺳﺘﻔﺎدة ﻣﻦ اﻷداء اﻟﻌﺎﱄ واﻟﻜﺜﺎﻓﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ
ﻟﻠـ FPGAsﺑﺪﻻً ﻣﻦ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ [199]Multi-core-DSPذات اﻟﺘﻌﻘﻴﺪات اﻟﻜﺒﲑة واﻟﻜﻠﻔﺔ اﻟﻌﺎﻟﻴﺔ .إن ﺗﻘﻨﻴﺔ اﻟـ FPGAﲤﻠﻚ درﺟﺔ
83 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
ﻋﺎﻟﻴﺔ ﻣﻦ ﻋﻤﻠﻴﺎت اﻟﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻴﺔ ) (parallelismﻛﻤﺎ أن ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ ﳝﻜﻦ أن ﺗﺘﺠﺎوز ﺳﺮﻋﺔ ﻣﻌﺎﳉﺎت اﻷﻏﺮاض اﻟﻌﺎﻣﺔ اﻷﻋﻠﻰ ﻣﻨﻬﺎ
ﺗﺮدداً ﺑﺄﻛﺜﺮ ﻣﻦ ﻋﺸﺮة أﺿﻌﺎف] .[202اﻟﺸﻜﻞ 134-1ﻳﻮﺿﺢ ﻣﻘﺎرﻧﺔ ﺑﲔ ﺳﺮﻋﺔ أداء ﻣﻌﺎﰿ DSPوأداء ﺷﺮﳛﺔ FPGAﻋﻠﻰ ﺧﻮارزﻣﻴﺔ
ﻣﺮﺷﺢ رﻗﻤﻲ .256tap
FPGAﻋﻠﻰ ﺧﻮارزﻣﻴﺔ ﻣﺮﺷﺢ رﻗﻤﻲ 256-tap اﻟﺸﻜﻞ 134-1ﻣﻘﺎرﻧﺔ ﺳﺮﻋﺔ اﻷداء ﳌﻌﺎﰿ DSPﻣﻊ ﺷﺮﳛﺔ
ﺗﺆﻛﺪ أﻳﻀﺎً ﺷﺮﻛﺔ ﺣﻠﻮل اﻟﺘﺼﻤﻴﻢ BDTIﻋﻠﻰ أن ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻟـ FPGAﻟﻦ ﲢﻞ ﻓﻘﻂ ﻣﻜﺎن ﺗﻘﻨﻴﺔ اﻟـ ،DSPوإﳕﺎ ﺳﻮف ﺗﺴﺘﺒﺪل اﻟﻌﺪﻳﺪ
ﻣﻦ اﻟﺘﻘﻨﻴﺎت اﻷﺧﺮى اﳌﺴﺘﺨﺪﻣﺔ ﺣﺎﻟﻴﺎً )… (SoCs, DSPs, ASICs, ASSP, etcﻋﻠﻰ ﻣﺪى اﻟﺴﻨﻮات اﻟﻘﺎدﻣﺔ ،ﻛﻤﺎ أ�ﺎ ﺗﻠﻌﺐ دوراً
ﻫﺎﻣﺎً ﺰاﻳﺪ ﺑﺸﻜﻞ ﻣﺘﺴﺎرع ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﺠﻤﻟﺎﻻت ﻣﺜﻞ :اﻻﺗﺼﺎﻻت واﻟﺘﺤﻜﻢ وأﲝﺎث اﻟﻔﻀﺎء واﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﺴﻜﺮﻳﺔ واﻟﺴﻴﺎرات
وﻏﲑﻫﺎ] ،[194,195, 216وﻳﺘﻮﻗﻊ أن ﻳﺼﻞ ﻣﻌﺪل ﳕﻮ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﰲ ﳎﺎل ﺻﻨﺎﻋﺔ اﻟﺴﻴﺎرات ووﺳﺎﺋﻞ اﻟﻨﻘﻞ ) (Automotiveإﱃ ﻧﺴﺒﺔ 22%
ﺧﻼل اﻟﻌﻘﺪ اﻟﻘﺎدم] .[196اﻟﺸﻜﻞ 135-1ﻳﺒﲔ اﳌﻌﺪل اﳌﺘﻮﻗﻊ ﻻزدﻳﺎد اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﺻﻨﺎﻋﺔ اﻟﺴﻴﺎرات ووﺳﺎﺋﻞ اﻟﻨﻘﻞ ﺧﻼل
اﻟﻌﻘﺪ اﻟﻘﺎدم.
إن اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﱂ ﺗﻌﺪ ﺗﻘﺘﺼﺮ ﻋﻠﻰ اﳊﺎﺟﺔ ﻷداء ٍ
ﻋﺎل ﻓﻘﻂ ،وإﳕﺎ اﳊﺼﻮل ﻋﻠﻰ ﻧﻈﺎم ﻣﺪﻣﺞ ﻣﺘﻜﺎﻣﻞ ﻗﺎﺑﻞ
ﻟﻠﺘﻄﻮﻳﺮ ﺑﺸﻜﻞ ﻣﺮن .ﻣﺆﺧﺮاً ﺗﺘﻤﻴﺰ ﺷﺮاﺋﺢ اﻟـ FPGAsﺑﺄ�ﺎ ﲤﻠﻚ ﻣﻌﺎﳉﺎت ﻣﺪﳎﺔ ﻣﺘﻌﺪدة ﻋﻠﻰ ﻧﻔﺲ اﻟﺸﺮﳛﺔ ﳝﻜﻦ اﺳﺘﺨﺪاﻣﻬﺎ ﻷﻏﺮاض
اﳌﻌﺎﳉﺔ اﻟﺘﺴﻠﺴﻠﻴﺔ اﻟﱰاﻛﻤﻴﺔ أو اﻟﺘﻜﺮارﻳﺔ )ﺧﻮارزﻣﻴﺎت اﻟﺘﺸﻔﲑ وﻓﻚ اﻟﺘﺸﻔﲑ( ،وﻫﺬﻩ اﳌﻌﺎﳉﺎت ﻳﺘﻢ ﺑﺮﳎﺘﻬﺎ ﺑﻠﻐﺎت ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﻣﺜﻞ:
اﻟـC/C++وﻫﻲ ﺗﻌﻤﻞ ﻋﻠﻰ اﻟﺘﻮازي ﻣﻊ اﺠﻤﻟﻤﻮﻋﺎت اﳌﻨﻄﻘﻴﺔ اﻷﺧﺮى] .[197اﻟﺸﻜﻞ 136-1ﻳﺒﲔ ﻣﻘﻄﻊ ﻟﻜﻮد ﺑﻠﻐﺔ اﻟـ Cﻳﺒﲔ اﻟﻄﺒﻴﻌﺔ
اﻟﺘﻜﺮارﻳﺔ ﻟﺮوﺗﲔ ﻣﻌﺎﳉﺔ إﺷﺎرة رﻗﻤﻴﺔ .اﳉﺪول 14-1ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ اﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳌﺨﺘﻠﻔﺔ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ.
اﻟﺸﻜﻞ 135-1ﻳﺒﲔ ﻣﻌﺪل ازدﻳﺎد اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAاﳌﺘﻮﻗﻊ ﰲ ﳎﺎل اﻟـAutomotive
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 84
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
اﻟﻨﻘﻄﺔ اﻟﺴﻠﺒﻴﺔ اﻟﱵ ﲡﻌﻞ ﻧﻘﻞ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ إﱃ ﺷﺮاﺋﺢ اﻟـ FPGAsﺑﺎﻟﻨﺴﺒﺔ ﻟﺒﻌﺾ اﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳉﺎﻫﺰة ﻣﺜﻞ اﻟـ ASSPsوﺑﻌﺾ
اﻟـ ،DSPsﻫﻮ ﻛﻮن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﲢﺘﺎج إﱃ ﺧﱪة ﻛﺒﲑة ﺣﱴ ﻳﺘﻢ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت ﻋﻠﻰ ﻣﺴﺘ ٍﻮ ٍ
ﻋﺎل ﻣﻦ اﻷداء واﻟﺘﺨﺼﺺ واﻷﻣﺜﻠﻴﺔ .ﻟﺬا :ﻓﺈن
اﳌﻮاﺟﻬﺔ اﻟﻴﻮم ﺑﲔ اﻟﺸﺮﻛﺎت اﻟﻌﻤﻼﻗﺔ اﳌﺼﻨﻌﺔ ﻟﺸﺮاﺋﺢ اﻟـ FPGAsﻟﻴﺲ ﻫﻮ ﻓﻘﻂ ﺗﻮﻓﲑ ﺷﺮاﺋﺢ ﲟﻴﺰات وأداء ﻛﺒﲑﻳﻦ ،وإﳕﺎ ﺗﻮﻓﲑ ﺣﻠﻮل ﺑﺮﳎﻴﺔ
ﻜﻦ ﲨﻴﻊ ﻣﻬﻨﺪﺳﻲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻣﻦ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎﻬﺗﻢ – ﻣﻬﻤﺎ ﺗﻌﻘﺪت – ﺑﺄﻗﻞ وﻗﺖ وأدﱏ ﺟﻬﺪ ﳑﻜﻦ .ﺛﻮرة اﳊﻠﻮل اﻟﱪﳎﻴﺔ ﰲ
اﻟﻘﺮن اﻟﻮاﺣﺪ واﻟﻌﺸﺮﻳﻦ ﻫﻲ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت ﺑﺮﳎﻴﺔ ذات ﻣﺴﺘﻮ ﻋﺎل ﺗﺴﻤﻰ ﺑـ Graphical Programming
،Languageوذﻟﻚ ﻣﻦ ﺧﻼل واﺟﻬﺎت ﻣﺮﺋﻴﺔ وﺑﺎﺳﺘﺨﺪام ﺻﻨﺎدﻳﻖ وﻇﻴﻔﻴﺔ وﻫﺬا ﻣﺎ ﺳﻮف ﻳﻘﻮم ﻋﻠﻴﻪ ﻫﺬا اﻟﺒﺤﺚ ﰲ ﺟﺎﻧﺒﻪ اﻟﱪﳎﻲ.
إن ﺗﻘﻨﻴﺔ اﻟـ FPGAاﻟﻴﻮم ﲤﻠﻚ ﻣﻘﻮﻣﺎت ﻛﺒﲑة ﲡﻌﻠﻬﺎ ﻗﺎدرة ﻋﻠﻰ ﺗﺒﲏ اﻷﻧﻈﻤﺔ اﳌﻌﻘﺪة ،ﻛﻤﺎ أﻧﻪ ﻣﻦ ﺧﻼل رﺑﻂ اﻟﻌﺪﻳﺪ ﻣﻦ ﺷﺮاﺋﺢ
اﻟـ FPGAsﳝﻜﻦ اﳊﺼﻮل ﻋﻠﻰ ﻧﻈﺎم ﳛﻮي ﻋﻠﻰ ﻣﻼﻳﲔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ،وﻗﺎدر ﻋﻠﻰ اﺳﺘﻴﻌﺎب أﻋﻘﺪ اﻷﻧﻈﻤﺔ وﺑﺴﻌﺮ ﻣﻨﺎﻓﺲ ،وﻫﺬﻩ اﳌﻴﺰة
ﺗﻌﺘﱪ اﳊﻞ اﻷﻣﺜﻞ ﻻﺳﺘﺒﺪال اﻷﻧﻈﻤﺔ اﳌﻌﻘﺪة اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ ASICﻣﻦ ﺧﻼل ﺗﻘﺴﻴﻢ اﻟﻨﻈﺎم إﱃ ﳎﻤﻮﻋﺎت وﻇﻴﻔﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ
ﺷﺮاﺋﺢ اﻟـ.[198]FPGAs
إن ﻗﻮة ﺗﻘﻨﻴﺔ اﻟـ FPGAاﻟﺬي ﻇﻬﺮت ﺑﺸﻜﻞ واﺿﺢ ﻣﺆﺧﺮاً ﺗﻜﻤﻦ ﰲ اﳌﻮارد اﻟﱵ ﰎ ﺗﻀﻤﻴﻨﻬﺎ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ FPGAﻣﺜﻞ :اﻟﻮﺣﺪات
اﻟﺬاﻛﺮﻳﺔ ،وﺣﺪات اﻟـ DSPsووﺣﺪات اﳌﻌﺎﳉﺔ )ﻣﻌﺎﳉﺎت ،HWﻣﻌﺎﳉﺎت (SWوﻏﲑﻫﺎ ،ﻛﻤﺎ أن ﺷﺮاﺋﺢ اﻟـ FPGAاﳊﺪﻳﺜﺔ أﺻﺒﺤﺖ
ﲤﻠﻚ ﻣﺎ ﻳﺰﻳﺪ ﻋﻦ 10ﻣﻠﻴﻮن ﺑﻮاﺑﺔ وﺗﻌﻤﻞ ﺑﱰدد ﻳﺼﻞ إﱃ .[208]1GHz
85 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
إن أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﺑﺎﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ) (Real-timeﻫﻲ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﻣﺆﺧﺮاً؛ ﻟﻜﻮ�ﺎ ﺗﺘﻄﻠﺐ اﺳﺘﺠﺎﺑﺔً ﻋﺎﻟﻴﺔ
ﻋﻨﺪ ﻣﺴﺘﻮﻳﺎت ﺣﺮﺟﺔ .ﰲ ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﻛﺒﺪﻳﻞ ﻟﺘﻘﻨﻴﺔ اﻟـ DSPوﺗﻘﻨﻴﺔ اﻟـSoC؛ إذ ﺗﻮﻓﺮ ﺗﻘﻨﻴﺔ اﻟـ FPGAأداءً أﻋﻠﻰ
وﻣﺮوﻧﺔ ﻛﺒﲑة ﰲ إﻋﺎدة ﺗﺸﻜﻴﻞ ﻧﻈﺎم اﻟﺘﺤﻜﻢ ﻣﺜﻞ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ] [200, 215وأﻧﻈﻤﺔ اﻟﻘﻴﺎدة اﳌﺘﻘﺪﻣﺔ ﻟﻠﻤﺤﺮﻛﺎت].[209
ﻋﻠﻰ ﻣﺴﺘﻮى ﺗﻘﻨﻴﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ ،ﻓﺈن ﻣﻌﻈﻢ اﳊﻠﻮل واﻟﺘﺼﺎﻣﻴﻢ اﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ SoCsﻳﺘﻢ ﺗﺼﻤﻴﻤﻬﺎ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ) ،(FPGAوذﻟﻚ ﻷ�ﺎ ﺗﻮﻓﺮ وﻗﺘﺎً ﻛﺒﲑاً ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ واﻟﺘﺤﻘﻖ ﻛﻤﺎ ﺗﺸﲑ اﻟﺪراﺳﺎت إﱃ أن ﺗﻘﻨﻴﺔ
اﻟـ FPGAﺳﻮف ﺗﻘﻮم ﻣﻜﺎن ﺗﻘﻨﻴﺔ اﻟـ SoCوﻣﻌﻈﻢ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ ASICﻛﺤﻞ ﺗﺼﻤﻴﻤﻲ ﻟﺘﻘﻨﻴﺔ اﻟـ.[210]SoC
اﻟﺘﻘﺮﻳﺮ اﻷﺧﲑ ﻟـ [211]Gartnerﻳﺸﲑ إﱃ أن ﻣﻦ أﺣﺪ اﻷﺳﺒﺎب اﻟﱵ ﺗﺴﺒﺒﺖ ﰲ ﻗﻴﺎم ﻛﺜﲑ ﻣﻦ اﻟﺸﺮﻛﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ ASICﺑﺈﻟﻐﺎء
اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺸﺎرﻳﻊ اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ ASICﻫﻮ اﻷزﻣﺔ اﳌﺎﻟﻴﺔ اﻟﻌﺎﳌﻴﺔ اﻟﱵ ﺣﺪﺛﺖ ﰲ أواﺧﺮ ﻋﺎم ،2008ﺣﻴﺚ أن ﻫﺬﻩ اﻟﺸﺮﻛﺎت
ﻛﺎن ﻟﺪﻳﻬﺎ ﲣﻮف ﻛﺒﲑ ﻣﻦ ﺗﺮاﺟﻊ ﻣﻌﺪﻻت ﺷﺮاء اﻟﻌﻨﺎﺻﺮ اﻹﻟﻜﱰوﻧﻴﺔ ﰲ اﻷﺳﻮاق .اﻟﻨﺘﺎﺋﺞ ﻛﺎﻧﺖ اﳔﻔﺎض ﻧﺴﺒﺔ اﳌﺸﺎرﻳﻊ اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ
30 FPGA 97% FPGA
. ≫ اﻟـ ASICﺑـ ،22%واﺳﺘﺒﺪاﳍﺎ ﺑﺘﻘﻨﻴﺔ اﻟـ .FPGAﻣﻊ ﲤﻜﻦ ﺗﻘﻨﻴﺔ اﻟـ FPGAﻓﺈن اﻟﻨﺴﺒﺔ أﺻﺒﺤﺖ
1 ASIC 03% ASIC
اﻟﺸﻜﻞ 137-1ﻳﺒﲔ ﻣﻌﺪل اﻟﺘﺼﺎﻣﻴﻢ )اﳌﺸﺎرﻳﻊ( اﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ ASICﻧﺴﺒﺔ إﱃ اﻟﺘﺼﺎﻣﻴﻢ اﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ.FPGA
3%
FPGA Design
97% ASICs Design
إن ﺗﻘﻨﻴﺔ اﻟـ FPGAﻻ ﺗﻘﺘﺼﺮ ﻓﻘﻂ ﻋﻠﻰ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،وإﳕﺎ أﺻﺒﺤﺖ ﺗﺴﺘﺨﺪم ﰲ اﻷﺟﻬﺰة اﳊﺎﺳﻮﺑﻴﺔ ﻋﺎﻟﻴﺔ اﻷداء ،ﺣﻴﺚ ﺗﺴﺘﺨﺪم
ﺷﺮاﺋﺢ FPGAsﻛﻤﺘﺤﻜﻤﺎت رﺋﻴﺴﻴﺔ ﰲ إدارة وﺗﻨﻈﻴﻢ ﻋﻤﻞ اﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ ﺑﻴﻨﻬﺎ وﺑﲔ اﳌﻌﺎﰿ ،وﺑﺎﻟﺘﺎﱄ ﲢﺴﻦ ﰲ زﻣﻦ وﺳﻌﺔ اﳌﻌﺎﳉﺔ
وﲡﻌﻞ اﻟـ Switchbackﻋﻠﻰ درﺟﺔ ﻋﺎﻟﻴﺔ ﻣﻦ اﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ] .[212اﻟﺸﻜﻞ 138-1ﻳﺒﲔ اﻻﺧﺘﻼف ﺑﲔ ﺑﻨﻴﺔ اﳊﻮاﺳﺐ اﻟﻘﻴﺎﺳﻴﺔ )ﻋﻠﻰ اﻟﻴﺴﺎر(
وﺑﻨﻴﺔ اﳊﻮاﺳﺐ اﳌﻄﻮرة واﻟﱵ ﺗﺴﺘﺨﺪم اﻟـ.FPGAs
اﻟﺸﻜﻞ 138-1اﻻﺧﺘﻼف ﺑﲔ ﺑﻨﻴﺔ اﳊﻮاﺳﺐ اﻟﻘﻴﺎﺳﻴﺔ وﺑﻨﻴﺔ اﳊﻮاﺳﺐ اﳌﻄﻮرة واﻟﱵ ﺗﺴﺘﺨﺪم اﻟـFPGAs
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 86
21 Chapter 1 اﻟﻔﺼﻞ اﻷول |
ﻣﺆﺧﺮاً ،ﺗﻌﻤﻞ ﻣﺮاﻛﺰ اﻷﲝﺎث ﰲ أورﺑﺎ وأﻣﺮﻳﻜﺎ ﻋﻠﻰ ﻣﺎ ﻳﺴﻤﻰ ﺑـ) Liquid-circuitsاﻟﺪارات اﻟﺴﺎﺋﻠﺔ( .ﻫﺬﻩ اﻟﺘﺴﻤﻴﺔ ﺗﻄﻠﻖ ﻋﻠﻰ ﻧﻈﺎم
ﻣﺪﻣﺞ ﻳﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ ،FPGAوﻳﻌﻤﻞ ﻛﻤﺴﺮع أداء ﻣﺘﻌﺪد اﳌﻬﺎم ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) Automated Dynamic
.(Hardware Accelerationﻳﻮﺿﻊ ﻫﺬا اﻟﻨﻈﺎم ﰲ ﺟﻬﺎز ﺣﺎﺳﺐ وﻳﻘﻮم ﻋﻠﻰ إﻋﺎدة ﺑﺮﳎﺘﻪ/ﺗﻴﺌﺘﻪ ذاﺗﻴﺎً ) (Reconfigurableوﻓﻘﺎً
ﻟﻠﺘﻄﺒﻴﻖ اﻟﺬي ﻳﻘﻮم اﳌﺴﺘﺨﺪم ﺑﺘﺸﻐﻴﻠﻪ .ﻓﺈذا ﻛﺎن اﳌﻄﻠﻮب ﺗﺸﻐﻴﻞ ﺑﺮﻧﺎﻣﺞ ﻣﻌﺎﳉﺔ ﺻﻮر ﺛﻨﺎﺋﻲ اﻷﺑﻌﺎد ﻣﺜﻞ ،Photoshop, Corel :ﻓﺈن
ﻟﻨﻈﺎم ﻳﻘﻮم ﺑﺈﻋﺎدة ﻬﺗﻴﺌﺘﻪ ذاﺗﻴﺎً ﻟﻴﻌﻤﻞ ﻛﻜﻴﺎن ﺻﻠﺐ داﻋﻢ ﳌﻌﺎﳉﺔ رﺳﻮﻣﻴﺎت ﺛﻨﺎﺋﻴﺔ اﻷﺑﻌﺎد ،وإذا ﻛﺎن اﳌﻄﻠﻮب ﺗﺸﻐﻴﻞ ﺑﺮﻧﺎﻣﺞ ﻣﻌﺎﳉﺔ ﺛﻼﺛﻴﺔ
اﻷﺑﻌﺎد ﻣﺜﻞ 3D-MAX :ﻓﺈن اﻟﻨﻈﺎم ﺳﻴﻌﻴﺪ ﻬﺗﻴﺌﺘﻪ ﻟﻴﻌﻤﻞ ﻛﻤﺴﺮع رﺳﻮم ﺛﻼﺛﻴﺔ اﻷﺑﻌﺎد وﻫﻜﺬا]...[213-214
87 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Embedded Systems اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ |
17-1اﻟﺨﻼﺻﺔ ):(Conclusion
ﲨﻴﻊ ﻣﺎ ﺗﻘﺪم ﰲ ﻫﺬا ا ﻟﻔﺼﻞ ﻫﻮ ﻟﺒﻴﺎن أﳘﻴﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﳎﺎﻻﻬﺗﺎ وﺣﻠﻮﳍﺎ اﳌﺘﻌﺪدة ،وذﻟﻚ ﻬﺑﺪف ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﺗﻮاﻓﻖ
ﻣﺘﻄﻠﺒﺎت اﻟﺘﻘﺪم اﻟﺘﻜﻨﻮﻟﻮﺟﻲ.
ﳑﺎ ﻇﻬﺮ ﺟﻠﻴﺎً ﰲ ﻫﺬا اﻟﻔﺼﻞ أﻳﻀﺎً أن ﺗﻘﻨﻴﺔ اﻟـ FPGAﻫﻲ اﻟﺘﻘﻨﻴﺔ اﻟﱵ ﲢﺘﻞ أﻋﻠﻰ اﻷوﻟﻮﻳﺎت ﻛﺤﻞ ﺗﻜﻨﻮﻟﻮﺟﻲ ﻣﺮﺣﻠﻲ وﻣﺴﺘﻘﺒﻠﻲ ﳌﻌﻈﻢ
ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﻜﺎﻓﺔ ﻓﺮوﻋﻬﺎ ﻣﻦ ﺗﻄﺒﻴﻘﺎت ﺻﻨﺎﻋﻴﺔ وﻃﺒﻴﺔ وﻋﺴﻜﺮﻳﺔ وﺧﺪﻣﻴﺔ وﻏﲑﻫﺎ.
إن اﳌﺴﺄﻟﺔ ﻻ ﺗﻘﺘﺼﺮ ﻓﻘﻂ ﻋﻠﻰ إﻧﺸﺎء ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ وﻣﻘﺮرات ﺗﺪرﻳﺴﻴﺔ ،وإﳕﺎ ﳚﺐ أن ﻳﺮاﻋﻰ ﰲ ﻫﺬﻩ اﳌﻨﺎﻫﺞ ﺣﺎﺟﺔ اﻟﺘﻄﻮر اﻟﺘﻜﻨﻮﻟﻮﺟﻲ
واﻟﺼﻨﺎﻋﺔ اﶈﻠﻴﺔ ﺑﺎﻟﺪرﺟﺔ اﻷوﱃ .ﻫﺬا ﻫﻮ اﻟﺴﺒﺐ اﻟﺬي ﳔﺼﺺ ﻟﻪ ﻫﺬا اﻟﺒﺤﺚ.
اﳌﻔﺎرﻗﺔ ﰲ ﻫﺬا اﻟﻔﺼﻞ أﻧﲏ ﻛﻨﺖ ﻗﺪ ﻋﻘﺪت اﻟﻌﺰم ﻋﻠﻰ إﻧﺸﺎءﻩ ﳐﺘﺼﺮاً ﰲ ﲬﺲ ﻋﺸﺮة ﺻﻔﺤﺔ ،وﻟﻜﻦ اﻟﻘﻠﻢ ﺟﺮى ﺑﺎﻟﻜﻠﻤﺎت ﻓﺴﺎﻟﺖ ﻋﻠﻰ
اﻟﺼﻔﺤﺎت ﺣﱴ ﺑﻠﻐﺖ ﻣﻨﺘﻬﺎﻫﺎ ﰲ اﻟﺼﻔﺤﺔ اﻟﺜﺎﻟﺜﺔ واﻟﺘﺴﻌﲔ ،وﻣﺎزال اﻟﺘﻮق اﻟﺸﺪﻳﺪ إﱃ اﳌﺰﻳﺪ ﳚﻨﺢ ﲟﺠﺎﻣﻊ اﻟﻘﻠﻢ أن ﳜﻮض اﳌﺰﻳﺪ ،وﻟﻜﺄﱐ
أﻛﺘﺐ أرﺟﻮزة ﻣﺎﻟﻜﻴﺔ ،وﻣﺎ ذاك إﻻ ﻟﻜﻮن ﻫﺬا اﻟﺘﺨﺼﺺ ﻛﺜﲑ اﻟﺘﻔﺮﻋﺎت ،وﻻ ﻳﻮﺟﺪ إﱃ اﻵن ﺗﺮﲨﺎت أو أﲝﺎث ﻋﻠﻤﻴﺔ ﺗﻘﺪﻣﻪ ﳎﻤﻮﻋﺎً
ﻣﻔﺮوﻗﺎً ﻣﻮﺟﺰاً ﳐﺘﺼﺮاً ﻣﺸﺬﺑﺎً ﻣﻬﺬﺑﺎً ﻣﺴﻨﺪاً ﲟﺮاﺟﻊ ﻋﺪﻳﺪة ﻛﻤﺎ ﺣﺎوﻟﻨﺎ ﺟﺎﻫﺪﻳﻦ أن ﻧﻔﻌﻞ ﻫﺎﻫﻨﺎ ﻓﺂﻻف اﻟﺼﻔﺤﺎت ﲨﻌﺖ ﲬﺮﻬﺗﺎ ﻣﻌﺼﻮرةً
ﺑﻜﺮاً ﻣﻌﺘﻘﺔً ﺑﲔ ﻫﺬﻩ اﻟﺴﻄﻮر.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 88
اﻟﻔﺼﻞ اﻟﺜﺎﻧﻲ Chapter 2
@�bÓ‹‘y@Ú™5‹€@Ú‹ib‘€a@ÚÓ‘�‰æa@pbœÏ–óæa
ﻳﻘـ ـ ــﺪم ﻫـ ـ ــﺬا اﻟﻔﺼـ ـ ــﻞ ﺑﺎﻗﺘﻀـ ـ ــﺎب ﺷـ ـ ــﺮﺣﺎً واﻓﻴ ـ ـ ـﺎًﻛﺎﻓﻴ ـ ـ ـﺎً ﻟﺪراﺳـ ـ ــﺔ ﺗﻘﻨﻴـ ـ ــﺔ اﳌﺼـ ـ ــﻔﻮﻓﺎت اﳌﻨﻄﻘﻴ ـ ــﺔ اﻟﻘﺎﺑﻠ ـ ــﺔ ﻟﻠﱪﳎ ـ ــﺔ ﺣﻘﻠﻴـ ـ ـﺎً .ﻳﺸ ـ ــﺮع اﻟﻔﺼ ـ ــﻞ ﺑﻌ ـ ــﺮض
ﻟﺘﻄﺒﻴﻘ ـ ــﺎت اﳌﺼ ـ ــﻔﻮﻓﺎت اﳌﻨﻄﻘﻴ ـ ــﺔ اﻟﻘﺎﺑﻠ ـ ــﺔ ﻟﻠﱪﳎ ـ ــﺔ ﺣﻘﻠﻴـ ـ ـﺎً ﰲ اﻷﻧﻈﻤ ـ ــﺔ اﳌﺪﳎ ـ ــﺔ وﻓﺮوﻋﻬـ ــﺎ ،ﰒ ﻳﺸـ ــﲑ إﱃ ﺑﻌـ ــﺾ اﻷﲝـ ــﺎث اﳍﺎﻣـ ــﺔ ﺟـ ــﺪاً واﳌﺮﺗﺒﻄـ ــﺔ
ﺑﺎﻟﺘﻄﺒﻴﻘ ـ ـ ــﺎت اﳌﺘﻘﺪﻣ ـ ـ ــﺔ اﻟ ـ ـ ــﱵ ﺗﺴ ـ ـ ــﺘﺨﺪم ﻫ ـ ـ ــﺬﻩ اﻟﺘﻘﻨﻴ ـ ـ ــﺔ .ﻳﺘﻄ ـ ـ ــﺮق اﻟﻔﺼ ـ ـ ــﻞ ﺑﻌ ـ ـ ــﺪﻫﺎ إﱃ اﺳـ ـ ــﺘﺨﺪام ﻫـ ـ ــﺬﻩ اﻟﺘﻘﻨﻴـ ـ ــﺔ ﰲ ﻣﻨـ ـ ــﺎﻫﺞ اﻟﺘﻌﻠـ ـ ــﻴﻢ اﳍﻨﺪﺳـ ـ ــﻲ
اﳉ ـ ـ ـﺎﻣﻌﻲ ﺑﻜﺎﻓـ ـ ــﺔ ﻓﺮوﻋـ ـ ــﻪ ،وﻳﺒـ ـ ــﲔ اﳊﺎﺟـ ـ ــﺔ اﳌﻠﺤـ ـ ــﺔ إﱃ ﺗﺒـ ـ ــﲏ ﻫـ ـ ــﺬﻩ اﻟﺘﻘﻨﻴـ ـ ــﺔ ﰲ اﳌﻨـ ـ ــﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴ ـ ــﺔ اﳉﺎﻣﻌﻴ ـ ــﺔ ،ﰒ ﻳﺒﺤ ـ ــﺚ ﺣﺎﺟ ـ ــﺔ اﻟﺼ ـ ــﻨﺎﻋﺔ ﻣ ـ ــﻦ
اﻟﺘﻌﻠ ـ ـ ــﻴﻢ اﳍﻨﺪﺳ ـ ـ ــﻲ .ﻳﻨﺘﻘ ـ ـ ــﻞ اﻟﻔﺼ ـ ـ ــﻞ ﰲ ﻗﺴ ـ ـ ــﻤﻪ اﻟﺜ ـ ـ ــﺎﱐ إﱃ ﺗﻔﺼ ـ ـ ــﻴﻞ ﻣﻘﺘﻀ ـ ـ ــﺐ ﳌﺒ ـ ـ ــﺎدئ اﻟﻌﻨﺎﺻـ ـ ــﺮ اﳌﻨﻄﻘﻴـ ـ ــﺔ اﻟﻘﺎﺑﻠـ ـ ــﺔ ﻟﻠﱪﳎـ ـ ــﺔ ،ﻓﻴﻘـ ـ ــﺪم أﺻـ ـ ــﻮﳍﺎ
ﻓﺮوﻋﻬﺎ ،وﻳﺘﻨﺎول ﺗﺼﻨﻴﻔﺎﻬﺗﺎ ،وﻳﺸﺮح ﺑﻨﺎﻫﺎ ،وﻳﻘﺎرن ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ ،ﰒ ﻳﻌﺘﲏ ﲟﺮاﺣﻞ ﺗﺼﻤﻴﻤﻬﺎ وﻃﺮاﺋﻖ ﺑﺮﳎﺘﻬ ـ ـ ـ ـ ـ ـ ـ ـ ـﺎ.
ﻣﻊ اﻻزدﻳﺎد اﳌﺴﺘﻤﺮ ﰲ ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات اﻟﱵ ﳝﻜﻦ أن ﺗﻮﺿﻊ ﻋﻠﻰ ﺷﺮﳛﺔ ،واﻟﺬي ﲡﺎوز ﻣﺆﺧﺮاً اﻟـ 2-bilionﺗﺮاﻧﺰﺳﺘﻮر ،ﻓﺈن ﻋﻤﻠﻴﺔ اﻟﺘﺤﻘﻖ
) (Verificationﻣﻦ ﳐﻄﻄﺎت اﻟﺘﺼﻤﻴﻢ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ أﺻﺒﺤﺖ أﻣﺮاً ﰲ ﻏﺎﻳﺔ اﻟﺘﻌﻘﻴﺪ ﻳﺘﻄﻠﺐ ﻛﻠﻔﺔ ﻋﺎﻟﻴﺔ ﺟﺪاً ،ﻛﻤﺎ أن ﻋﻤﻠﻴﺔ
ﺗﻄﻮﻳﺮ ﳕﻮذج أوﱄ ﰲ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ – ﻣﺜﻞ – VLSI :ﻳﺘﻄﻠﺐ ﺗﻄﺒﻴﻖ ﻣﺮاﺣﻞ اﻟﺘﺼﻤﻴﻢ واﻟﺘﺤﻘﻖ ﻛﺎﻣﻠﺔً إﺿﺎﻓﺔً إﱃ ﲢﻀﲑ ﻃﺒﻘﺎت
اﻷﻗﻨﻌﺔ ) ،(Masksواﻟﺬي ﺑﺪورﻩ ﻳﺘﻄﻠﺐ ﻛﻠﻔﺔ ﺗﺄﺳﻴﺴﻴﺔ ﻋﺎﻟﻴﺔ ﻗﺪ ﺗﺼﻞ إﱃ ﻋﺸﺮات ﺑﻞ ﻣﺌﺎت آﻻف اﻟﺪوﻻرات ،وﻣﺪة زﻣﻨﻴﺔ ﻛﺒﲑة ﺗﱰاوح
ﻣﻦ 4إﱃ 12أﺳﺒﻮع .ﻋﻼوةً ﻋﻠﻰ ذﻟﻚ ﻓﺈن اﻟﻨﻤﻮذج اﻷوﱄ ﻳﺘﻄﻠﺐ ﲢﺪﻳﺪ اﻟﺼﻴﻐﺔ اﻟﻨﻬﺎﺋﻴﺔ ﻟﻌﻨﺎﺻﺮ اﻟﺘﺼﻤﻴﻢ وﺑﺎراﻣﱰاﺗﻪ اﻟﻜﺎﻣﻠﺔ ،ﻛﻤﺎ ﻟﻮ أﻧﻪ
ﺳﻴﻌﻤﻞ ﰲ ﺻﻴﻐﺘﻪ اﻟﻨﻬﺎﺋﻴﺔ ﻛﻤﻨﺘﺞ ﺟﺎﻫﺰ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن أي ﺗﻌﺪﻳﻞ ﰲ اﳌﻴﺰات أو أي ﺗﻨﻘﻴﺢ ﰲ اﻟﺘﺼﻤﻴﻢ ﺳﻴﺘﻄﻠﺐ إﻋﺎدة ﺑﻨﺎء اﳌﺮاﺣﻞ ﻛﺎﻓﺔً،
واﻧﺘﻈﺎر ﻣﺪة زﻣﻨﻴﺔ ﻛﺒﲑة ودﻓﻊ اﻟﻜﻠﻔﺔ اﻟﺘﺄﺳﻴﺴﻴﺔ ﻟﺘﺤﻀﲑ اﻟﻨﻤﻮذج اﳉﺪﻳﺪ ﻗﺒﻞ اﻟﻮﺻﻮل إﱃ اﻟﻨﻤﻮذج اﻟﻨﻬﺎﺋﻲ اﻟﺬي ﳝﻜﻦ ﻧﻘﻠﻪ إﱃ ﻣﺮﺣﻠﺔ
اﻟﺘﺼﻨﻴﻊ ﻋﻠﻰ ﻧﻄﺎق واﺳﻊ ،واﻟﱵ ﺗﻜﻠﻒ ﻣﻼﻳﲔ اﻟﺪوﻻرات.
إن ﺗﻘﻨﻴﺔ اﳌﺼﻔﻮﻓﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ) (FPGAﺗﺄﰐ ﻫﻨﺎ ﻟﺘﺘﺨﻄﻰ ﻫﺬﻩ اﻟﻌﻘﺒﺎت واﳌﺸﺎﻛﻞ ،ﺣﻴﺚ أن ﻣﻴﺰة إﻋﺎدة ﺗﺸﻜﻴﻞ
اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﺸﺮاﺋﺢ اﻟـ FPGAﻣﻦ ﻗﺒﻞ اﳌﺴﺘﺨﺪم ﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء ﳕﺎذج أوﻟﻴﺔ ﻣﺘﻌﺪدة ﰲ ﻣﺮاﺣﻞ ﻣﺒﻜﺮة ﻣﻦ اﻟﺘﺼﻤﻴﻢ دون اﳊﺎﺟﺔ إﱃ
وﺿﻊ اﻟﺼﻴﻐﺔ اﻟﻨﻬﺎﺋﻴﺔ اﻟﻜﺎﻣﻠﺔ ﻟﻠﺘﺼﻤﻴﻢ ،ﻛﺬﻟﻚ ﻓﺈن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ اﻟﺘﺤﻘﻖ ﻣﻦ ﺳﻠﻮك اﻟﻨﻈﺎم ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻗﺒﻞ ﺗﺼﻨﻴﻌﻪ،
وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻣﺴﺎﺋﻞ ﺗﻌﺪﻳﻞ ﺑﺎراﻣﱰات اﻟﻨﻈﺎم أو إﺿﺎﻓﺔ ﻣﻴﺰات ﺟﺪﻳﺪة أو ﺗﻌﺪﻳﻠﻬﺎ؛ ﻟﻦ ﻳﺘﻄﻠﺐ إﻋﺎدة ﻣﺮاﺣﻞ اﻟﺘﺼﻤﻴﻢ اﳌﺮﻫﻘﺔ ﺑﺎﻟﻜﺎﻣﻞ واﻧﺘﻈﺎر
ﻣﺪة ﻃﻮﻳﻠﺔ ودﻓﻊ ﺗﻜﺎﻟﻴﻒ ﺑﺎﻫﻈﺔ.
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
اﻟﻴﻮم ،ﱂ ﻳﻌﺪ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﻳﻘﺘﺼﺮ ﻓﻘﻂ ﻋﻠﻰ ﺗﺼﻤﻴﻢ وﺗﻄﻮﻳﺮ اﻟﻨﻤﺎذج اﻷوﻟﻴﺔ ﻗﺒﻞ ﻣﺮﺣﻠﺔ اﻟﺘﺼﻨﻴﻊ ﻋﻠﻰ ﻧﻄﺎق واﺳﻊ ،وإﳕﺎ
أﺻﺒﺤﺖ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺗﺴﺘﺨﺪم ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﺘﺠﺎرﻳﺔ اﻟﱵ ﻳﺴﺘﺨﺪﻣﻬﺎ اﳌﺴﺘﻬﻠﻚ ﰲ اﻷﻣﻮر اﳊﻴﺎﺗﻴﺔ اﻟﻴﻮﻣﻴﺔ.
ﺗﻄﺒﻴﻘﺎت اﻟـ FPGAﻓﻲ اﻷﻧﻈﻤﺔ اﻟﻤﺪﻣﺠﺔ ):(Embedded Systems FPGA Applications 2-2
ﺗﻘﻨﻴﺔ اﻟـ FPGAأﺣﺮزت ﺗﻘﺪﻣﺎً وﺗﻄﻮراً ﻛﺒﲑاً ﻋﻠﻰ ﻛﺎﻓﺔ ﳏﺎور اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺧﻼل اﻟﻌﻘﺪ اﻟﺴﺎﺑﻖ ،ﺣﻴﺚ ازداد ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﻣﻊ
اﶈﺎﻓﻈﺔ ﻋﻠﻰ ﺳﻌﺮ ﻣﻘﺒﻮل ﻳﻨﺎﺳﺐ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت] ،[217ﻣﻬﻨﺪﺳﻲ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻗﺪ ﻳﺄﺧﺬون ﺑﻌﲔ اﻻﻋﺘﺒﺎر اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
اﻟـ FPGAﰲ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ؛ ﻧﻈﺮاً ﻟﻠﻤﺮوﻧﺔ اﻟﻜﺒﲑة ﰲ إﻋﺎدة ﺑﻨﺎء اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﺸﺮﳛﺔ اﻟـ FPGAإﺿﺎﻓﺔً إﱃ ﺳﻌﺔ اﳌﻌﺎﳉﺔ
اﻟﻌﺎﻟﻴﺔ وﻗﺎﺑﻠﻴﺔ إﻋﺎدة اﺳﺘﺨﺪام اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ "."IPs
إن اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﱂ ﺗﻌﺪ ﺗﻘﺘﺼﺮ ﻋﻠﻰ اﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﺴﻜﺮﻳﺔ وﺗﻄﺒﻴﻘﺎت أﲝﺎث اﻟﻔﻀﺎء ،وإﳕﺎ ﻗﺪ ﺑﺪا ﺟﻠﻴﺎً أن ﻫﺬﻩ
اﻟﺘﻘﻨﻴﺔ أﺻﺒﺤﺖ ﺷﺎﺋﻌﺔ اﻻﺳﺘﺨﺪام ﰲ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲟﺨﺘﻠﻒ أﻧﻮاﻋﻬﺎ ،218,219ﻛﻤﺎ وأ�ﺎ ﺑﺸﻜﻞ ﺧﺎص ﺗﻌﺘﱪ ﻣﻨﺼﺔ اﻟﺘﻄﻮﻳﺮ
واﻟﺘﺸﻐﻴﻞ اﻟﻮﺣﻴﺪة ﻟﻠﻌﺪﻳﺪ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ﻣﺜﻞ :اﳌﺴﺮﻋﺎت اﻟﺮﺳﻮﻣﻴﺔ اﻟﻘﺎﺋﻤﺔ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) (hardware accelerationﰲ ﻣﻌﺎﳉﺔ
اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ] – [220اﳌﺸﻔﺮات اﳌﺮﺋﻴﺔ ) ،[221](video encoderﺗﻄﺒﻴﻘﺎت اﻟﻮﺳﺎﺋﻂ اﻟﺮﻗﻤﻴﺔ] ،[222,223وﻏﲑﻫﺎ ﻣﻦ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت.
إن ﺧﻮارزﻣﻴﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻛﺎﻧﺖ ﺗﻌﺘﻤﺪ ﰲ ﺑﻨﺎﺋﻬﺎ ﺳﺎﺑﻘﺎً ﻋﻠﻰ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﳌﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت ) (ASICsأو ﻣﻌﺎﳉﺎت
اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) ،(PDSPsوﻟﻜﻦ ﺑﻌﺪ ﻇﻬﻮر ﺷﺮاﺋﺢ اﻟـ FPGAذات اﻟﺴﻌﺎت اﻟﻜﺒﲑة ،ﰎ اﻟﺘﺤﻮل ﺑﺎﲡﺎﻩ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
اﻟـ FPGAﻛﺒﺪﻳﻞ ﰲ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﻛﺎﻓﺔً ] .[224-226إن ﻫﺬا اﻻﻧﺘﺸﺎر اﻟﻮاﺳﻊ واﻻﻋﺘﻤﺎد اﳌﺘﺰاﻳﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـFPGA
ﻳﺸﻜﻞ ﺑﺪورﻩ ﺣﺎﻓﺰاً ﻛﺒﲑاً ﳌﻌﻈﻢ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﺘﻮﺟﻪ أﻛﺎدﳝﻴﺎً ﻫﺬا اﺠﻤﻟﺎل.
ﺗﻄﺒﻴﻘﺎت اﻟـ FPGAﻓﻲ اﻷﻧﻈﻤﺔ اﻟﺤﺮﺟﺔ ):(FPGAs in Critical System Applications 3-2
إن ﺗﻘﻨﻴﺔ اﻟـ FPGAﻗﺪ أﺻﺒﺤﺖ ﰲ ﺻﻠﺐ ﺗﻜﻮﻳﻦ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﺗﻘﻮم ﲟﻬﺎم ﳏﺪدة ﻋﻠﻰ درﺟﺔ ﻋﺎﻟﻴﺔ ﻣﻦ اﻟﺪﻗﺔ واﳋﻄﻮرة -
ﻛﺘﻠﻚ اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﺼﻨﺎﻋﺎت اﻟﻨﻮوﻳﺔ واﻟﻔﻀﺎﺋﻴﺔ واﻷﲝﺎث اﻟﻌﺴﻜﺮﻳﺔ.
ﲞﻼف اﻟﺘﻨﻔﻴﺬ اﻟﺘﺴﻠﺴﻠﻲ اﻟﺬي ﻳﻘﻮم ﺑﻪ ﻣﻌﺎﰿ اﻷﻏﺮاض اﻟﻌﺎﻣﺔ ) ،(GPPﻓﺈن ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﺴﺘﻄﻴﻊ اﻟﻘﻴﺎم ﲟﺌﺎت ﻋﻤﻠﻴﺎت اﻟﻀﺮب
وآﻻف ﻋﻤﻠﻴﺎت اﳉﻤﻊ ﰲ ﻛﻞ دورة ﺗﻨﻔﻴﺬ ) ،(Clock Cycleواﻟﺬي ﺑﺪورﻩ ﻳﻌﻄﻴﻬﺎ اﳌﻘﺪرة ﻋﻠﻰ ﻣﻌﺎﳉﺔ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ
اﶈﻴﻄﻴﺔ اﳌﺨﺘﻠﻔﺔ ﰲ وﻗﺖ واﺣﺪ .ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :إن ﺷﺮﳛﺔ اﻟـ FPGAاﻟﱵ ﺗﺴﺘﺨﺪم ﻟﻠﺘﺤﻜﻢ ﺑﻮﺣﺪة اﺗﺼﺎل ﻻﺳﻠﻜﻲ ""WAP
) (Wireless Application Protocolﺗﺴﺘﻄﻴﻊ أن ﺗﺴﺘﺨﺪم وﺣﺪة ﻣﻌﺎﳉﺔ إﺷﺎرة ،ووﺣﺪة ﻣﻌﺎﳉﺔ ﻟﻠﱪوﺗﻮﻛﻮل ،وﻣﻨﻈﻢ ﻣﻌﺎﳉﺔ ﺣﺰم
اﻟﺒﻴﺎﻧﺎت ،واﻟﱵ ﺗﺸﱰك ﲨﻴﻌﻬﺎ ﺑﻨﻔﺲ ﺷﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮن اﻟﻔﻴﺰﻳﺎﺋﻴﺔ ،إﺿﺎﻓﺔً إﱃ ذﻟﻚ وﻧﻈﺮاً ﻟﻜﻮن اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻗﺎﺑﻞ ﻹﻋﺎدة اﻟﺘﺸﻜﻴﻞ
) ،(Reconfigurableﻓﺈﻧﻪ ﳝﻜﻦ ﲢﻤﻴﻞ اﻟﱪاﻣﺞ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﰲ اﳌﺨﺘﱪ أو ﰲ ﺣﻘﻞ اﻟﻌﻤﻞ – ﻣﺜﻼً :إﺻﻼﺣﺎت اﳋﻠﻞ اﻟﱪﳎﻲ وﺗﻌﺰﻳﺰ أداء
اﻟﻮﻇﺎﺋﻒ ﳝﻜﻦ إرﺳﺎﻟﻪ ﻋﱪ اﻟﺸﺒﻜﺔ إﱃ اﳍﻮاﺗﻒ اﳋﻠﻮﻳﺔ أو إﱃ ﻧﻘﺎط اﻟﻨﻔﺎذ اﻟﻼﺳﻠﻜﻴﺔ ﻋﻨﺪ اﻟﻄﻠﺐ.
ﺑﺴﺒﺐ ﻫﺬا اﻟﺘﻀﺎﻓﺮ اﻟﻨﺎدر ﺑﲔ اﳌﻘﺪرة اﳊﺴﺎﺑﻴﺔ اﻟﻔﺎﺋﻘﺔ ،واﳌﺮوﻧﺔ ﰲ إﻋﺎدة ﺗﺸﻜﻴﻞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،إﺿﺎﻓﺔ إﱃ ﻛﻮن اﻟﻌﺪﻳﺪ ﻣﻦ ﺷﺮاﺋﺢ
اﻟـ FPGAﺗﺴﺘﻄﻴﻊ أن ﺗﻨﺠﺰ ﺳﺮﻋﺔ ﻣﻌﺎﳉﺔ وأداء أﻛﱪ ﲟﺌﺔ ﺿﻌﻒ ﻣﻘﺎرﻧﺔً ﻣﻊ ﻣﻌﺎﳉﺎت اﻷﻏﺮاض اﻟﻌﺎﻣﺔ] ،[227-229ﻓﺈن ﺗﻘﻨﻴﺔ اﻟـ FPGAﻫﻲ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 90
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
اﻵن اﻟﻌﺎﻣﻞ اﻟﺮﺋﻴﺴﻲ ﰲ اﻟﺘﻨﻮع اﻟﻜﺒﲑ ﰲ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ذات اﻷداء اﻟﻌﺎﱄ واﳊﺮج وﻏﲑﻫﺎ .230-235ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :إن أﻧﻈﻤﺔ
اﻷﻗﻤﺎر اﻟﺼﻨﺎﻋﻴﺔ وأﻧﻈﻤﺔ اﻟﺮادارات وﺷﺒﻜﺎت ﺗﻮزﻳﻊ اﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ووﺣﺪات اﻟﺘﺸﻔﲑ وأﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﺑﺎﻟﻄﺎﺋﺮات واﳌﺴﺎﺑﺮ اﻟﻔﻀﺎﺋﻴﺔ،
ﲨﻴﻌﻬﺎ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ FPGAﻷداء وﻇﺎﺋﻔﻬﺎ.
ﻟﻘﺪ ﻗﺪر أﻧﻪ ﰲ ﻋﺎم 2005وﺣﺪﻩ ﻛﺎن ﻳﻮﺟﺪ أﻛﺜﺮ ﻣﻦ 80000ﻣﺸﺮوع ﲡﺎري ﳐﺘﻠﻒ ﻗﺪ ﺑﺪأ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ236FPGA؛ أﻣﺎ
اﻟﻴﻮم ﻓﺈن اﻟﻌﺪد ﲡﺎوز ﻋﺸﺮات أﺿﻌﺎف ﻣﺎ ﻛﺎن ﻋﻠﻴﻪ ٍ
وﻗﺘﺌﺬ.
ﻓﻴﻤﺎ ﻳﻠﻲ ﻧﻮرد ﺑﺈﳚﺎز ﺑﻌﺾ اﻷﲝﺎث اﳌﺮﺗﺒﻄﺔ ﺑﺎﻟﺘﻄﺒﻴﻘﺎت اﳌﺘﻘﺪﻣﺔ واﳊﺮﺟﺔ اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAواﻟﱵ ﻫﻲ ﳏﻮر اﻻﻫﺘﻤﺎم اﻷول ﻋﻠﻰ
اﳌﺴﺘﻮى اﻟﺒﺤﺜﻲ واﻟﺘﻄﺒﻴﻘﻲ ﻋﺎﳌﻴﺎً.
1-3-2ﺗﻄﺒﻴﻘﺎت ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ أﲝﺎث اﻟﻔﻀﺎء ):(FPGAs for Aerospace Applications
إن اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﻧﻈﻤﺔ اﻹﻟﻜﱰوﻧﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﳎﺎل اﻟﻄﲑان واﻟﻔﻀﺎء ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ ،FPGAوذﻟﻚ ﻟﻜﻮ�ﺎ ﻗﺎدرة ﻋﻠﻰ ﺗﺄﻣﲔ اﻟﺘﻮازن
اﻟﻔﻌﺎل ﺑﲔ اﻷداء واﻟﻜﻠﻔﺔ واﳌﺮوﻧﺔ ﰲ اﻟﺘﺼﻤﻴﻢ .ﻓﻤﺜﻼً :اﻟﻮﻇﺎﺋﻒ اﻷﺳﺎﺳﻴﺔ اﳊﺮﺟﺔ ﰲ ﻧﻈﺎم اﻟﺘﻮﺟﻴﻪ اﳋﺎص ﺑﺎﻷﺳﻠﺤﺔ ) Joint Strike
Fighterﻳﺘﻢ اﻟﺘﺤﻜﻢ ﻬﺑﺎ ﻣﻦ ﺧﻼل ﻧﻈﺎم ﻳﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ[237,238] FPGA؛ ﻧﻈﺎم اﻟﺘﺤﻜﻢ وﺷﺎﺷﺎت ﻣﻘﺼﻮرة اﻟﻄﻴﺎر ﻟﻠﻄﺎﺋﺮة
Boing-787واﻟﺮادارات][239؛ .[240,241]NASA Mars Rovers
اﻟﻌﺪﻳﺪ ﻣﻦ ﺷﺮﻛﺎت اﳊﻮاﺳﺐ اﻟﻔﺎﺋﻘﺔ اﻷداء – ﻣﺜﻞ – [245,246]SRC ،[244]Cray ،[242,243]SGI :ﻗﺎﻣﺖ ﺑﺈﺿﺎﻓﺔ ﺗﻘﻨﻴﺔ اﻟـ FPGAإﱃ
أﻧﻈﻤﺘﻬﺎ ﻟﺘﺤﺴﲔ اﻷداء] .[247,248ﻓﻤﺜﻼً :ﺑﻨﻴﺔ اﳊﺎﺳﺐ Cray’s XD1ﺗﻀﻢ ﺳﺘﺔ ﺷﺮاﺋﺢ Xilinx FPGAs Virtex-4ﻣﻊ اﺛﲏ ﻋﺸﺮ
ﻣﻌﺎﰿ .x86أﻳﻀﺎً ﺣﻮاﺳﺐ اﻟـ SRCﻓﺎﺋﻘﺔ اﻷداء ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﻟﺘﺴﺮﻳﻊ اﻟﱪاﻣﺞ اﻟﱵ ﺗﻌﻤﻞ ﻋﻠﻰ ﻣﻌﺎﳉﺎت اﻷﻏﺮاض
اﻟﻌﺎﻣﺔ] .[245,249ﻫﺬﻩ اﳊﻮاﺳﺐ أﺻﺒﺤﺖ ﺗﻨﺪرج ﲢﺖ ﻣﺼﻄﻠﺢ " ."Reconfigurable Computersﻣﻦ أﺟﻞ دراﺳﺔ اﳌﺒﺎدئ اﻟﻌﺎﻣﺔ
واﻟﺘﺼﻨﻴﻔﺎت ) (Taxonomyﺣﻮل اﻟـ reconfigurable computingﳝﻜﻦ اﻟﺮﺟﻮع إﱃ اﳌﺮاﺟﻊ].[250,251
3-3-2ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﲢﻠﻴﻞ اﻹﺷﺎرات اﳌﺮﺋﻴﺔ ):(FPGAs for Video Analysis
ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﳌﻌﻘﺪة ﻋﺎﻟﻴﺔ اﻟﱰدد ﻣﺜﻞ اﻟﺘﺤﻠﻴﻞ واﻟﺘﻌﺮف ﻋﻠﻰ اﻟﻮﺟﻪ][252؛ ﻫﺬا اﻟﻨﻮع ﻣﻦ
ﺧﻮارزﻣﻴﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة ﻳﻜﻮن ﻋﺒﺎرة ﻋﻦ ﻋﻤﻠﻴﺎت ﺣﺴﺎب ﻣﺼﻔﻮﻓﻴﺔ ﺿﺨﻤﺔ ذات ﺳﻌﺔ ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ ﺟﺪاً ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﺳﺘﺨﺪام
ﺗﻘﻨﻴﺎت ﻣﺜﻞ اﻟـ FPGAﻗﺎدرة ﻋﻠﻰ أداء ﻣﻬﺎم ﺗﻔﺮﻋﻴﺔ ) (parallelismوﻣﻌﺎﳉﺔ ﻣﺘﺰاﻣﻨﺔ ) (pipeliningﳝﻜﻦ أن ﳝﻨﺢ اﻷداء اﳌﻄﻠﻮب ﳌﺜﻞ
ﻫﺬﻩ اﻟﺘﻄﺒﻴﻘﺎت.
91 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﻣﺆﺧﺮاً ،ﻃﻮرت ﺷﺮﻛﺔ IBMﻧﻈﺎم ﺧﺼﻮﺻﻴﺔ ﻳﺪﻋﻰ ،[253]People Visionﰎ ﺗﻄﺒﻴﻘﻪ ﻋﻠﻰ ﻧﻈﺎم FPGAﻳﺴﺘﺨﺪم ﺛﻼث وﺣﺪات ﺑﺮﳎﻴﺔ
ﺟﺎﻫﺰة ) ،(IPوﺣﺪة ﻣﻌﺎﳉﺔ اﻟﻔﻴﺪﻳﻮ ،وﺣﺪة ﺗﺼﺤﻴﺢ ﺻﻮرة اﻟﻮﺟﻪ ،ووﺣﺪة اﻟـ Ethernetﻹرﺳﺎل اﻟﻔﻴﺪﻳﻮ اﳌﺼﺤﺢ إﱃ ﺷﺎﺷﺔ ﺣﺎرس اﻷﻣﻦ؛
ﻛﻞ وﺣﺪة ﻣﻦ ﻫﺬﻩ اﻟﻮﺣﺪات ﺗﺘﻄﻠﺐ ذاﻛﺮة ﻣﻨﻔﺼﻠﺔ ذات ﻣﺴﺘﻮى ﲪﺎﻳﺔ ﺧﺼﻮﺻﻴﺔ ﻟﻠﺒﻴﺎﻧﺎت اﳌﺨﺰﻧﺔ ﻋﻠﻰ ﻫﺬﻩ اﻟﺬاﻛﺮة ،وﻛﻞ ذﻟﻚ ﺗﻮﻓﺮﻩ
ﺷﺮﳛﺔ FPGAواﺣﺪة.
4-3-2ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ أﻧﻈﻤﺔ اﻟﺘﺸﻔﲑ ﻋﺎﻟﻴﺔ اﻷداء ):(FPGAs for HighThroughput Cryptography
إن ﺗﻨﻔﻴﺬ ﻋﻤﻠﻴﺔ اﻟﺘﺸﻔﲑ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﻳﻘﺪم ﻣﺰاﻳﺎ ﻋﺪﻳﺪة ،ﺣﻴﺚ أن اﻟﻜﺘﺎﺑﺔ ﺑﺎﻟﺸﻴﻔﺮة ﺗﺘﻄﻠﺐ ﻋﺪة ﻋﻤﻠﻴﺎت ﻋﻠﻰ ﻣﺴﺘﻮى
اﻟﺒﺖ ،ﻣﺜﻞ ﻋﻤﻠﻴﺎت اﻹزاﺣﺔ واﻟﺘﺒﺪﻳﻞ واﻟﺘﻜﻤﻴﻢ .إن ﺑﻨﺎء ﺧﻮارزﻣﻴﺎت اﻟﺘﺸﻔﲑ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﻳﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ ﺗﻐﻴﲑ ﺑﺎراﻣﱰات
ﺧﻮارزﻣﻴﺔ اﻟﺘﺸﻔﲑ )اﳌﻔﺎﺗﻴﺢ واﻟﺸﻴﻔﺮات( ،أو ﺗﻌﻴﲔ ﺳﻠﺴﻠﺔ ﺟﺪﻳﺪة ﻣﻨﻘﺤﺔ ﺑﺴﻬﻮﻟﺔ ﻛﺒﲑة .ﻫﺬﻩ اﳌﺰاﻳﺎ ﻗﺪ ﰎ اﺳﺘﻐﻼﳍﺎ ﰲ ﺗﻄﺒﻴﻘﺎت اﻟﺘﺸﻔﲑ اﻟﱵ
وﺗﻘﻨﻴﺔ ﺗﺸﻔﲑ "اﳌﻔﺘﺎح اﻟﻌﺎم" )(public-key ][255
وﺗﻘﻨﻴﺔ اﻟﺘﺸﻔﲑ SHA-2 ][254
ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﻣﺜﻞ :ﺗﻘﻨﻴﺔ اﻟﺘﺸﻔﲑ MD5
ﻣﺜﻞ (RSA) Rivest Shamir Adelmanاﻟﱵ ﺗﺘﻄﻠﺐ وﻇﺎﺋﻒ ﻣﺘﻘﺪﻣﺔ )ـ ،modular multiplicationـ Elliptic Curve
5-3-2ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ أﻧﻈﻤﺔ ﲪﺎﻳﺔ اﻟﺸﺒﻜﺎت ):(FPGAs for High-Throughput Cryptography
ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﺴﺘﺨﺪم ﺑﺸﻜﻞ واﺳﻊ ﺟﺪاً ﰲ أﻧﻈﻤﺔ ﲪﺎﻳﺔ اﻟﺸﺒﻜﺎت ﻣﻦ اﻻﺧﱰاق (IDS) intrusion detection systemsﻧﻈﺮاً
ﻟﻠﻜﻔﺎءة وﺳﻌﺔ اﳌﻌﺎﳉﺔ اﻟﻌﺎﻟﻴﺔ واﻟﻘﺪرة ﻋﻠﻰ اﻟﻔﺤﺺ اﳌﺘﻮازي ﻟﺴﻼﺳﻞ ﺣﺰم اﻟﺒﻴﺎﻧﺎت اﻟﻮاردة واﻟﺼﺎدرة ﻋﱪ اﳌﻮزﻋﺎت اﻟﺮﺋﻴﺴﻴﺔ وﻓﻘﺎً ﻟﻘﻮاﻧﲔ
وﺣﺎﻻت ﻣﺘﻌﺪدة] .[263-271ﻫﺬﻩ اﻷﻧﻮاع ﻣﻦ اﻷﻧﻈﻤﺔ ﺗﺴﺘﺨﺪم أﻧﻈﻤﺔ ﻛﻴﺎن اﻟﺼﻠﺐ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﳌﺮاﻗﺒﺔ اﳌﻮزﻋﺎت ،أي أن
اﳌﺮاﻗﺒﺔ واﻟﻔﺤﺺ ﻳﺘﻢ ﻋﻦ ﻃﺮﻳﻖ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻻ ﻋﻦ ﻃﺮﻳﻖ اﻟﱪاﻣﺞ.
ﺗﻌﺘﱪ ﻫﺬﻩ اﻻﺳﱰاﺗﻴﺠﻴﺔ ﻣﻦ اﳌﻨﻬﺠﻴﺎت اﻟﺘﺼﻤﻴﻤﻴﺔ اﳊﺪﻳﺜﺔ ﰲ ﺑﻨﺎء ﻧﻈﺎم ﻣﻌﻘﺪ ﰲ زﻣﻦ ﻗﺼﲑ ﻧﺴﺒﻴﺎً ،ﺣﻴﺚ ﻳﺘﻢ ﺗﺒﲏ ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ ﰲ ﻃﻴﻒ
اﺳﻊ ﻣﻦ اﻟﺼﻨﺎﻋﺎت اﳊﺪﻳﺜﺔ اﻟﱵ ﻬﺗﺘﻢ ﺑﺘﺨﻔﻴﺾ ﻛﻠﻔﺔ اﻟﺘﺼﻨﻴﻊ ،وﺗﻘﻠﻴﺺ زﻣﻦ وﺻﻮل اﳌﻨﺘﺞ ﻟﻠﺴﻮق].[272
إن اﻟﻨﻘﻄﺔ اﳉﻮﻫﺮﻳﺔ اﻷﺳﺎﺳﻴﺔ ﳍﺬﻩ اﳌﻨﻬﺠﻴﺔ واﳌﺮﺗﻜﺰ اﻟﺬي ﻳﻘﻮم ﻋﻠﻴﻪ ﺑﻨﻴﺎ�ﺎ ﻫﻮ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﺑﻨﺎء وﺗﺼﻤﻴﻢ وﺗﻘﻴﻴﻢ واﺧﺘﺒﺎر
اﻟﻨﻤﺎذج اﻷوﻟﻴﺔ ﻟﻠﻤﻨﺘﺞ ،ﺣﻴﺚ أن ﺗﺼﻤﻴﻢ اﳌﻨﺘﺞ ﻛﺎﻣﻼً واﺧﺘﺒﺎرﻩ ﳝﻜﻦ أن ﻳﺘﻢ ﻋﻠﻰ ﺷﺮﳛﺔ FPGAﺑﺼﻮرة ﻣﺒﺎﺷﺮة دون اﳊﺎﺟﺔ إﱃ أي
ﻣﺮاﺣﻞ ﺗﺼﻨﻴﻊ ﻛﻴﺎن ﺻﻠﺐ ﻣﺴﺒﻘﺔ .وﻫﺬا ﻫﻮ اﳌﻌﲎ اﳌﺸﺎر إﻟﻴﻪ ﺑﺎﳌﺼﻄﻠﺢ "."Rapid
إن ﻣﻨﻬﺠﻴﺔ اﻟـ Rapid Prototypingﰲ اﻟﺘﺼﻤﻴﻢ إﺿﺎﻓﺔً إﱃ ﻗﺎﺑﻠﻴﺔ إﻋﺎدة اﻟﺘﺸﻜﻴﻞ ﻟﺘﻘﻨﻴﺔ اﻟـ FPGAﻫﻲ ﻣﻦ أﻛﺜﺮ اﻟﻌﻮاﻣﻞ واﻷﺳﺒﺎب
ﻻﻧﺘﺸﺎر ﺗﻘﻨﻴﺔ اﻟـ FPGAوازدﻳﺎد ﺷﻌﺒﻴﺘﻬﺎ ﺑﲔ اﻟﻘﻄﺎﻋﺎت اﻟﺼﻨﺎﻋﻴﺔ واﻟﺘﻌﻠﻴﻤﻴﺔ ﻣﻨﺬ اﺧﱰﻋﻬﺎ ﻣﺆﺳﺲ ﺷﺮﻛﺔ Xilinxاﻟﻌﺮﻳﻘﺔ Ross
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 92
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﺗﻘﻨﻴﺔ اﻟـ FPGAﻓﻲ اﻟﺘﻌﻠﻴﻢ اﻟﻬﻨﺪﺳﻲ ):(FPGA Tech. in Engineering Education 5-2
إن اﻟﻜﻠﻔﺔ اﳌﻨﺨﻔﻀﺔ واﳌﺮوﻧﺔ اﻟﻜﺒﲑة ﰲ اﻟﺘﺼﻤﻴﻢ وإﻋﺎدة ﺗﺸﻜﻴﻞ اﻟﻨﻈﺎم ،إﺿﺎﻓﺔً إﱃ اﻷداء اﻟﻔﺎﺋﻖ واﻟﻜﺜﺎﻓﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﻟﻌﺎﻟﻴﺔ واﳌﻴﺰات
اﻟﻼﳏﺪودة ووﺟﻮد اﻷدوات اﻟﱪﳎﻴﺔ واﻟﺘﺼﻤﻴﻤﻴﺔ اﳌﺘﻄﻮرة واﻟﺪﻋﻢ اﻟﺘﻘﲏ اﻟﻜﺒﲑ واﳊﻠﻮل اﳉﺎﻫﺰة ﻟـ IPsودﺧﻮل ﺗﻘﻨﻴﺔ اﻟـ FPGAﺣﱴ إﱃ
روﺑﻮﺗﺎت اﻷﻃﻔﺎل؛ ﻫﻲ اﻟﱵ أﻋﻄﺖ اﻟﺪور اﻟﻜﺒﲑ واﳍﺎم أﻛﺎدﳝﻴﺎً وﺻﻨﺎﻋﻴﺎً ﻟﻸﻧﻈﻤﺔ اﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ ،[280]FPGAوﺟﻌﻠﺖ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ
ﳏﻮر اﻫﺘﻤﺎم ﻛﺒﲑ ﻣﻦ ﻗﺒﻞ اﳉﺎﻣﻌﺎت اﳍﻨﺪﺳﻴﺔ اﻟﺮاﺋﺪة ﰲ اﻟﺪول اﳌﺘﻘﺪﻣﺔ.
ن اﻫﺘﻤﺎم اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻬﺑﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻳﻌﻮد إﱃ أواﺋﻞ ﻋﺎم 1997ﺣﻴﺚ ﻗﺎم اﻟﺪﻛﺘﻮر Ochiﰲ ﻋﺎم 1997ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـFPGA
ﺧﻼل ﺗﺪرﻳﺲ ﻣﻘﺮر ﺑﻨﻴﺔ اﳊﺎﺳﺐ ،ﺣﻴﺚ ﻗﺎم اﻟﻄﻼب ﺑﺒﻨﺎء ﻣﻌﺎﰿ 16-bitﻋﻠﻰ ﺷﺮﳛﺔ .[274]FPGA
ﻣﺪى ﻃﻮﻳﻞ اﳌﻘﺪرة ﻋﻠﻰ ﻣﻨﺢ ﻋﺪد ﻣﻦ اﻟﻔﺮص اﳉﺪﻳﺪة ﻟﻠﺘﻌﻠﻴﻢ اﳉﺎﻣﻌﻲ اﳍﻨﺪﺳﻲ إذ ﻏﺪت ﺿﻤﻦ ﻟﻘﺪ أﻇﻬﺮت ﺗﻘﻨﻴﺔ اﻟـ FPGAﻋﻠﻰ ً
ﳌﻘﺮرات اﳌﺨﱪﻳﺔ اﳉﺎﻣﻌﻴﺔ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ ﻋﻠﻰ ﻛﺎﻓﺔ ﻣﺴﺘﻮﻳﺎﻬﺗﺎ وﺻﻔﻮﻓﻬﺎ اﳉﺎﻣﻌﻴﺔ .ﻛﻤﺎ أن اﻟﺮوﺑﻮﺗﺎت اﻟﱵ ﻳﺘﻢ ﺗﻄﻮﻳﺮﻫﺎ ﺑﺎﻻﻋﺘﻤﺎد
ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ FPGAأوﺟﺪت ﺿﻤﻦ اﳌﻘﺮرات اﻟﺘﺪرﻳﺴﻴﺔ ﲡﺎرب ﳐﱪﻳﺔ ﺟﺪﻳﺪة ﺟﺬﺑﺖ اﻟﻄﻼب وأﺳﺮت ﻓﻀﻮﳍﻢ وأﺛﺮت ﰲ ﲢﻔﻴﺰﻫﻢ ﳓﻮ
ﺗﻄﻮﻳﺮ ﻣﺸﺎرﻳﻊ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺪﳎﺔ] .[275-277ﻛﻤﺎ اﺳﺘﺨﺪﻣﺖ ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ اﶈﺎﺿﺮات اﳉﺎﻣﻌﻴﺔ ﻟﺪراﺳﺔ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ،SoPC
وﻣﻨﻬﺠﻴﺔ اﻟﺘﺼﻤﻴﻢ ،Hw-Sw co-designوﺑﻨﻴﺔ اﳊﺎﺳﺐ ،وﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ،وﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ
).[276,28,279](SoCs
1-5-2اﳌﻘﺮرات اﻟﺘﺄﺳﻴﺴﻴﺔ ﻟﺘﻌﻠﻴﻢ ﺗﻘﻨﻴﺔ اﻟـ:(Essential Curriculum for Teaching FPGA) FPGA
إن ﻣﺴﺄﻟﺔ ﺗﺼﻤﻴﻢ ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﺑﻜﻔﺎءة ﻋﺎﻟﻴﺔ ﳛﺘﺎج إﱃ ﻣﻌﺮﻓﺔ ﻛﺎﻓﻴﺔ ﺣﻮل ﻣﺴﺎﺋﻞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ وﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
) ،(HDLsإذ أن اﻟﱪاﻣﺞ اﳌﻜﺘﻮﺑﺔ ﺑﻠﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ )ﻣﺜﻞVHDL, Verilog :ﺗﻌﺘﱪ ﻏﺮﻳﺒﺔ ﺟﺪاً ﰲ ﺗﻌﻠﻴﻤﺎﻬﺗﺎ وﻫﻴﻜﻠﻴﺎﻬﺗﺎ
ﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻐﺎت اﻟﱪﳎﺔ اﻟﻌﺎﻣﺔ ،ﻏﲑ أ�ﺎ ﻻ ﺗﺘﻄﻠﺐ ﻣﻌﺮﻓﺔ ﻋﻤﻴﻘﺔ وﻣﻬﺎرات ﰲ ﻋﻠﻮم اﻹﻟﻜﱰوﻧﻴﺎت ،وﺑﺎﻟﺘﺎﱄ ﻻ ﺗﺘﻄﻠﺐ إﺿﺎﻓﺔ ﻣﻘﺮرات دراﺳﻴﺔ
ﺗﺸﲑ إﱃ أﻧﻪ اﳌﻘﺮرات اﻟﺘﺄﺳﻴﺴﻴﺔ اﻟﱵ ﺗﻌﻄﻰ ﰲ اﳌﺮاﺣﻞ اﻟﺪراﺳﻴﺔ اﻷوﱃ ﰲ ][281-283
ﺟﺪﻳﺪة ﺣﻮل اﻹﻟﻜﱰوﻧﻴﺎت اﳌﺘﻘﺪﻣﺔ .اﳋﱪات اﻟﺴﺎﺑﻘﺔ
-واﻟﱵ ﺗﺪرس ﻛﻤﺤﺎﺿﺮات ﻧﻈﺮﻳﺔ -ﺗﻘﺪم ][285
وﺑﻨﻴﺔ اﳊﺎﺳﺐ ][284
ﻋﻠﻮم اﻹﻟﻜﱰوﻧﻴﺎت إﺿﺎﻓﺔً إﱃ أﺳﺎﺳﻴﺎت اﻟﺪارات اﻟﺮﻗﻤﻴﺔ اﳌﻨﻄﻘﻴﺔ
اﳌﻌﺮﻓﺔ اﻟﻜﺎﻓﻴﺔ واﻟﻮاﻓﻴﺔ ﻟﻠﻄﻼب ﻟﻠﺒﺪء ﰲ دراﺳﺔ ﺑﺮﳎﺔ وﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ .FPGAوﻟﺘﺴﺮﻳﻊ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﺘﻄﺒﻴﻘﻲ ﰲ اﻟﺼﻔﻮف اﻟﻨﻈﺮﻳﺔ ﻳﻠﺠﺄ
ﻋﺎدة إﱃ إﺿﺎﻓﺔ ﻣﻨﻬﺞ ﻋﻤﻠﻲ ﺗﻄﺒﻴﻘﻲ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ.[286]FPAG
2-5-2ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﳐﺘﱪ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ):(FPGA-based Digital Electronic Lab
إن اﳌﺮوﻧﺔ اﻟﻜﺒﲑة واﻟﺴﻬﻮﻟﺔ ﰲ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAأدواﻬﺗﺎ اﳌﺘﻄﻮرة ﺗﺰود اﻟﻄﻼب أﺛﻨﺎء ﺗﻌﻠﻢ ﻣﺒﺎدئ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ واﳌﻨﻄﻘﻴﺔ
ﺑﺎﻟﻔﺮﺻﺔ اﳌﻼﺋﻤﺔ ﻟﻠﻌﻤﻞ ﻋﻠﻰ ﻣﺸﺎرﻳﻊ ﻫﺎدﻓﺔ ذات ﺗﻄﺒﻴﻖ واﻗﻌﻲ ،وذو أﺛﺮ ﻣﻠﻤﻮس ﻣﻦ ﺧﻼل ﺗﺼﻤﻴﻢ ﳛﻮي ﻋﻠﻰ ﻋﺸﺮات اﻵﻻف ﻣﻦ
اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ] ،[287,288وﺑﺪﻻً ﻣﻦ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ﺑﺎﺳﺘﺨﺪام ﺑﺮاﻣﺞ اﶈﺎﻛﺎة أو اﻻﻗﺘﺼﺎر ﻋﻠﻰ اﻟﺘﺼﻤﻴﻢ اﻟﻨﻈﺮي ،ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ
ﻣﻘﺮرات ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ﻳﺘﻢ ﺗﺪرﻳﺴﻬﺎ اﻵن ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ ،FPGAﺣﻴﺚ ﻳﺘﻢ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ FPGA
وﻳﺘﻢ ﺗﺸﻐﻴﻠﻬﺎ ،وﻳﺴﺘﺨﺪم ﰲ ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﳏﺮر اﻟﺘﺼﻤﻴﻢ اﻟﺮﺳﻮﻣﻲ ) (Schematic Designﺑﺪﻻً ﻣﻦ اﻟﺪﺧﻮل ﰲ ﺗﻔﺎﺻﻴﻞ ﻟﻐﺎت وﺻﻒ
اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
93 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
3-5-2ﺗﻘﻨﻴﺔ اﻟـ FPGAﰲ ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ ):(FPGA-based Adv. ESs Courses
ﺗﻌﺘﱪ ﺗﻘﻨﻴﺔ اﻟـ FPGAاﻟﻴﻮم اﻟﺘﻘﻨﻴﺔ اﻷﻛﺜﺮ ﺗﻄﻮراً ﻋﻠﻰ ﻣﺴﺘﻮى ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ] .[289-291وﻓﻘﺎً إﱃ اﳋﱪات اﳌﻨﺸﻮرة] ،[292-297ﻓﺈن
اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﺎﻣﻌﺎت ﺣﻮل اﻟﻌﺎﱂ ﺗﺘﺒﲎ ﺗﻌﻠﻴﻢ ﺗﻄﻮﻳﺮ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ FPGAﻣﻦ ﺧﻼل اﺳﺘﺨﺪام
أدوات اﻟﺘﻄﻮﻳﺮ اﳊﻘﻴﻘﺔ.
اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﺎﻣﻌﺎت ﺣﻮل اﻟﻌﺎﱂ ﺗﻘﺪم ﻣﻨﺎﻫﺞ ﺗﺪرﻳﺴﻴﺔ ﻟﺘﻌﻠﻴﻢ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ ) ،(VLSI, SoCsإﻻ أﻧﻪ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ
ذﻟﻚ ﻣﺎ ﻳﺰال اﻟﺒﺤﺚ واﺳﻌﺎً ﻣﻜﺜﻔﺎً ﻋﻦ اﳊﻠﻮل اﳌﺜﻠﻰ ﻟﺘﺪرﻳﺲ وﺗﻨﻈﻴﻢ ﻫﺬﻩ اﳌﻨﺎﻫﺞ ،ﲝﻴﺚ ﻳﻜﻮن اﻟﺮﺑﻂ ﺑﲔ اﳉﺎﻧﺐ اﻟﻌﻤﻠﻲ واﳉﺎﻧﺐ
اﻟﺘﻄﺒﻴﻘﻲ اﻟﻌﻤﻠﻲ أﻗﺮب ﻣﺎ ﳝﻜﻦ إﱃ اﻟﻮاﻗﻊ.
ﺑﺸﻜﻞ ﻋﺎم ،ﰲ ﻫﺬﻩ اﳌﻘﺮرات ﺗُﻌﺘﻤﺪ اﻟﺘﻤﺎرﻳﻦ اﳌﺨﱪﻳﺔ اﻟﱵ ﺗَﻌﺘﻤﺪ ﻋﻠﻰ اﶈﺎﻛﺎة ) (Simulationواﻟﺘﺤـ ـﻠﻴﻞ )- (Analysisﺑﺪﻻً ﻣﻦ ﻣﻨﻬﺞ
اﻟﺘﺼﻤﻴﻢ اﻟﺘﻄﺒﻴﻘﻲ ﺑﺎﺳﺘﺨﺪام اﻟﻜﻴﺎن اﻟﺼﻠﺐ -ﻛﺄﺳﺎس ﰲ ﺗﻘﺪﱘ اﳉﺎﻧﺐ اﻟﻌﻤﻠﻲ ﰲ اﳌﺨﺘﱪ ) .(Hands-onﺑﻌﺾ اﳉﺎﻣﻌﺎت اﻟﺮاﺋﺪة
) (MIT, Brinkley, Stanfordﲤﻨﺢ اﻟﻄﻼب إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء ﳕﻮذج VLSIﺣﻘﻴﻘﻲ ،وﻟﻜﻦ ﺑﺸﻜﻞ ﻋﺎم ،ﻓﺈن ﻫﺬا اﳌﻨﻬﺞ ﻣﺎ ﻳﺰال ﻧﺎدراً
ﺟﺪاً ﻧﻈﺮاً ﻟﻠﻜﻠﻔﺔ اﻟﻌﺎﻟﻴﺔ ﺟﺪاً ﻟﺒﻨﺎء اﻟﻨﻤﻮذج.
ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﺄﰐ ﻛﺤﻞ ﳌﺸﻜﻠﺔ اﻟﻜﻠﻔﺔ اﻟﻌﺎﻟﻴﺔ ﰲ ﺑﻨﺎء ﳕﺎذج ﺣﻘﻴﻘﻴﺔ ﻟﻸﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ ،ﺣﻴﺚ أن اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﺎﻣﻌﺎت وﻣﺮاﻛﺰ
اﻟﺘﺪرﻳﺐ ﺣﻮل اﻟﻌﺎﱂ وﺟﺪت اﻟﻔﺮﺻﺔ اﳌﺜﻠﻰ واﳊﻞ اﻟﻨﻤﻮذﺟﻲ ﻣﻦ ﺧﻼل اﺳﺘﺨﺪام ﻟﻮﺣﺎت ﺗﻄﻮﻳﺮ اﻟ ـFPGA Development ) FPGA
(Boardsﰲ ﺗﺪرﻳﺲ ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ ،ﺣﻴﺚ اﺳﺘﻄﺎﻋﺖ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ أن ﺗﻀﻴﻒ ﻣﺴﺘﻮى ﻛﺒﲑ ﻣﻦ اﻟﻮاﻗﻌﻴﺔ ﻟﻠﺨﱪة
اﳌﻜﺘﺴﺒﺔ ﻣﻦ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي اﳍﻨﺪﺳﻲ ،إﺿﺎﻓﺔً إﱃ ﻧﺸﻮء ﲢﻔﻴﺰ وﺗﻌﺰﻳﺰ ﻛﺒﲑ ﻟﺪى اﻟﻄﻼب ﻣﻦ ﺣﻴﺚ أ�ﻢ ﻳﻠﻤﺴﻮن اﻟﻔﻜﺮة اﻟﻨﻈﺮﻳﺔ ﺑﺸﻜﻞ
ﻋﻤﻠ ٍﻲ ﺗﻄﺒﻴﻘ ٍﻲ ﻛﻤﺎ ﻟﻮ أ�ﺎ ﺗﻌﻤﻞ ﰲ اﻟﻮاﻗﻊ اﳊﻘﻴﻘﻲ].[298
رﲟﺎ ﳚﺪر اﻹﺷﺎرة إﱃ أﻧﻪ وﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن اﻻﺳﺘﺨﺪام اﻟﺒﺪﻳﻞ ﻟﺸﺮاﺋﺢ اﻟـ FPGAﻛﻤﻨﺼﺎت ﺗﻄﺒﻴﻘﻴﺔ ﰲ ﺑﻨﺎء أﻧﻈﻤﺔ اﻟـ VLSIاﻟﺮﻗﻤﻴﺔ ،ﻟﻴﺲ
ﻟﻪ ﺻﻠﺔ ﻣﺒﺎﺷﺮة ﺑﺎﻟﺘﺼﻤﻴﻢ اﻟﻨﻬﺎﺋﻲ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ ،إﻻ أن اﳌﺴﺎﺋﻞ اﻟﺘﺼﻤﻴﻤﻴﺔ اﳉﻮﻫﺮﻳﺔ واﻟﻌﻼﻗﺔ ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ وﻣﺮاﺣﻞ ﺑﻨﺎء اﻟﻨﻈﺎم
وﺗﻮﺻﻴﻒ ﺳﻠﻮﻛﻪ واﺧﺘﺒﺎر أداءﻩ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻫﻲ ﻧﻔﺴﻬﺎ ﻟﻜﻼ اﻟﺘﻘﻨﻴﺘﲔ ،ﺑﻞ أن ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﻀﻴﻒ واﻗﻌﻴﺔ ووﺿﻮح أﻛﺜﺮ ﳍﺬﻩ
اﳌﺴﺎﺋﻞ.
4-5-2ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻟﱪﳎﺔ اﳌﻌﺎﳉﺎت ﺑﺎﺳﺘﺨﺪام اﻟـ:(FPGA-based MPU Prog. Courses) FPGA
ﻧﻈﺮاً ﻟﻠﻤﺮوﻧﺔ اﻟﻜﺒﲑة ﰲ ﺗﺼﻤﻴﻢ ﺷﺮاﺋﺢ اﻟـ ،FPGAوﻛﻮن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻏﺪت ﳏﻮر اﻫﺘﻤﺎم اﻟﻘﻄﺎﻋﺎت اﻟﺼﻨﺎﻋﻴﺔ ،ﻗﺎﻣﺖ اﻟﺸﺮﻛﺎت اﻟﺮاﺋﺪة
اﳌﺼﻨﻌﺔ ﻟﺸﺮاﺋﺢ اﻟـ FPGAﺑﺘﺰوﻳﺪ اﻟﺸﺮاﺋﺢ ﺑﻮﺣﺪات ﻣﻌﺎﳉﺎت ﻋﺎﻣﺔ ﻣﺪﳎﺔ ﻋﻠﻰ اﻟﺸﺮاﺋﺢ] ،[299واﻟﺬي ﺑﺪورﻩ ﺣﻔﺰ اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﺎﻣﻌﺎت ﻋﻠﻰ
ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﺟﺪﻳﺪة ﰲ اﳌﺨﺘﱪات اﳉﺎﻣﻌﻴﺔ اﳍﻨﺪﺳﻴﺔ ﻟﺘﺼﻤﻴﻢ وﺑﺮﳎﺔ اﳌﻌﺎﳉﺎت ﺑﺎﺳﺘﺨﺪام ﺷﺮاﺋﺢ اﻟـ.[300]FPGA
5-5-2ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ:(FPGA-based ESs Courses) FPGA
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 94
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
إن اﻻﺳﺘﺨﺪام اﻟﻨﺎﺟﺢ ﻟﺘﻘﻨﻴﺔ اﻟـ FPGAsﰲ ﺑﻨﺎء أﺟﺰاء ﻧﻈﺎم ﻣﺎ ﻟﻠﻮﺻﻮل إﱃ ﺗﻄﺒﻴﻖ أﻛﺜﺮ ﻛﻔﺎءة ﻫﻮ اﻟﺬي ﻳﻘﻮد اﳊﺎﺟﺔ إﱃ اﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
اﻟـ FPGAsﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻛﻤﺎ ﻫﻮ اﳊﺎل ﰲ ﺑﺎﻗﻲ اﻷﻧﻈﻤﺔ] ،[16,301وﻟﻜﻦ اﻟﺘﺴﺎؤل اﳌﻬﻢ واﻟﻮﺣﻴﺪ ﻫﻮ :ﻛﻢ ﻣﻦ اﻟﻄﻼب واﳌﻬﻨﺪﺳﲔ ﰲ
ﻓﺮوع اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ ﻗﺎدرﻳﻦ ﻋﻠﻰ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ FPGAوﺑﺮﳎﺘﻬﺎ!؟
ﻳﺸﲑ اﻟﺒﺎﺣﺚ R. Hartensteinإﱃ أن اﳌﻨﺎﻫﺞ اﳍﻨﺪﺳﻴﺔ اﻟﱵ ﻳﺘﻢ ﺗﺪرﻳﺴﻬﺎ ﻟﻠﻄﻼب ﺗﻘﻮم ﻋﻠﻰ ﺗﻮﻇﻴﻒ ﻧﺼﻒ ﻗﺪرات ﻋﻘﻮﳍﻢ ﻓﻘﻂ]،[302
ﻣﺸﲑاً إﱃ أن ﻫﺬﻩ اﳌﻨﺎﻫﺞ ﺗﻌﲎ ﻓﻘﻂ ﺑﺘﻄﻮﻳﺮ اﳊﻠﻮل اﻟﱪﳎﻴﺔ اﻟﺒﺤﺘﺔ ) (Softwareﺑﺪون اﻟﱰﻛﻴﺰ ﻋﻠﻰ ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﻟﻘﺎﺑﻞ ﻹﻋﺎدة
أدرﻛﺖ اﳊﺎﺟﺔ اﻟﺘﺸﻜﻴﻞ ) ،(Reconfigurable Hardwareﻣﺜﻞ :ﺷﺮاﺋﺢ اﻟـ . FPGAsاﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺮاﺟﻊ واﻟﻜﺘﺐ ﺣﺎﻟﻴﺎً
][302-305 ][16
اﳌﻠﺤﺔ ﻟﺘﺒﲏ ﻣﻨﺎﻫﺞ ﺗﺄﺳﻴﺴﻴﺔ ﲡﻤﻊ ﺑﲔ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺒﻨﻴﻮي ،وذﻟﻚ ﻣﻦ ﺧﻼل ﺗﻘﺪﱘ ﺑﻌﺾ اﳌﻔﺎﻫﻴﻢ
ﺣﻮل اﻷﻧﻈﻤﺔ اﻟﻘﺎﺑﻠﺔ ﻹﻋﺎدة اﻟﺘﺸﻜﻴﻞ ﻋﻠﻰ ﻣﺴﺘﻮى ][306-310
اﻟﺘﺄﺳﻴﺴﻴﺔ ﳍﺬﻩ اﻷﻧﻈﻤﺔ ،ﻏﲑ أن اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻜﺘﺐ اﻷﺧﺮى اﻟﱵ ﰎ ﻧﺸﺮﻫﺎ
اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) ،(Reconfigurable Systemsﱂ ﻳﻐﻄﻲ أي ﻣﻨﻬﺎ اﻻﻋﺘﺒﺎرات اﻟﻮاﻓﻴﺔ واﻟﻜﺎﻓﻴﺔ ﻟﺘﻌﻠﻴﻢ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ ﻫﺬﻩ اﻷﻧﻈﻤﺔ.
إﱃ أن اﻟﻜﺜﺎﻓﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ اﳌﺸﺎرﻳﻊ اﳌﺴﺘﻘﺒﻠﻴﺔ رﲟﺎ ﺗﺘﺠﺎوز اﻟـ 100ﺑﻠﻴﻮن ﻋﻨﺼﺮ ﻋﻠﻰ ][311
اﻟﺒﺎﺣﺚ Buttsﻳﺸﲑ ﰲ ﺗﻘﺮﻳﺮﻩ
ﻣﺴﺎﺣﺔ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ ﺗﻘﺪر ﺑـ ،1mm2ﰒ ﳛﺎول اﻟﺒﺎﺣﺚ أن ﻳﱪﻫﻦ ﻋﻠﻰ أن اﳌﻨﻄﻖ اﻟﻘﺎﺑﻞ ﻹﻋﺎدة اﻟﺘﺸﻜﻴﻞ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻨﻄﺎق اﳉﺰﻳﺌﻲ
) (Molecular-scale Reconfigurable Logicﻣﻦ اﳌﺮﺟﺢ أن ﻳﺼﺒﺢ اﻟﺘﻜﻨﻠﻮﺟﻴﺎ اﻟﺮﻗﻤﻴﺔ اﻟﺴﺎﺋﺪة ﺧﻼل ﻋﻘﺪ ﻣﻦ اﻵن .إن ﻫﺬﻩ
اﻟﻔﺮﺿﻴﺔ اﻟﱵ وﺿﻌﺖ ﰲ ﻋﺎم 2003ﺑﺪت ﻣﻼﳏﻬﺎ ﰲ ﻋﺎم ،2010ﺣﻴﺚ أن ﺗﺄﺛﲑ ﺗﻘﻨﻴﺔ اﻟـ FPGAﻳﺰداد أﻛﺎدﳝﻴﺎً وﺻﻨﺎﻋﻴﺎً ﺑﺸﻜﻞ ﻛﺒﲑ
ﻋﻠﻰ ﻋﺪة ﳏﺎور وﳎﺎﻻت ﳐﺘﻠﻔﺔ.
وﻋﻠﻴﻪ ﻓﺈن ﲨﻴﻊ اﻷﲝﺎث ﺗﺆﻛﺪ ﻋﻠﻰ أﻧﻪ ﻣﻦ اﻟﻀﺮوري أن ﺗﻨﻌﻜﺲ ﻫﺬﻩ اﻻﲡﺎﻫﺎت اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳉﺪﻳﺪة ﺣﻘﻴﻘﺔ ﻋﻤﻠﻴﺔ ﰲ اﻷﻧﺸﻄﺔ اﻟﱰﺑﻮﻳﺔ،
وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري وﺟﻮد ﻣﺮاﺟﻌﺔ وﺗﻄﻮﻳﺮ ﻣﺴﺘﻤﺮ ﻟﻠﻤﻨﺎﻫﺞ اﻟﺪراﺳﻴﺔ ﳉﺎﻣﻌﻴﺔ ﻬﺑﺪف دﻣﺞ اﻟﺘﻄﻮرات اﳉﺪﻳﺪة ﻟﺘﻘﻨﻴﺔ اﻟـ) FPGAsاﻟﺒﲎ
ﻣﻨﻬﺠﻴﺎت اﻟﺘﺼﻤﻴﻢ واﻟﺘﻄﻮﻳﺮ اﳉﺪﻳﺪة( وأدواﻬﺗﺎ .ﺑﻌﺒﺎرة أﺧﺮى :إن اﳌﻨﺎﻫﺞ اﻟﺪراﺳﻴﺔ اﳉﺎﻣﻌﻴﺔ ﳚﺐ أن ﺗﻜﻮن ﺣﺴﺎﺳﺔ ﻟﻠﺘﻐﲑات ﰲ
اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ واﻟﺘﻄﻮرات اﳉﺪﻳﺪة ﰲ ﳎﺎل ﻋﻠﻮم اﻟﺘﻌﻠﻴﻢ ،وﻳﻨﺒﻐﻲ أن ﺗﺆﻛﺪ ﻋﻠﻰ أﳘﻴﺔ ﻮاﻛﺒﺔ ﻫﺬﻩ اﻟﺘﻄﻮرات ﻬﺑﺪف اﻟﻮﺻﻮل إﱃ ﺗﻌﻠﻴﻢ
ﻓﻌﺎل] .[312-315ﺑﻌﺾ اﻷﺳﺎﻟﻴﺐ واﻟﻨﺘﺎﺋﺞ ﺣﻮل ﺗﺪرﻳﺲ أﻧﻈﻤﺔ اﻟـ FPGAsﰎ ﻣﻨﺎﻗﺸﺘﻬﺎ ﰲ اﻷوراق اﻟﺒﺤﺜﻴﺔ] [316,317ﺣﻴﺚ ﰎ دراﺳﺔ اﻟﻨﻤﺎذج
اﻟﱪﳎﻴﺔ ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺗﻌﻠﻴﻤﻴﺔ ﺣﺪﻳﺜﺔ.
اﳌﻨﺎﻫﺞ اﳌﺨﱪﻳﺔ اﻟﺘﺄﺳﻴﺴﻴﺔ – ﻣﺜﻞ :ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ – ﺗﺒﺪأ ﺑﺎﺳﺘﺨﺪام ﻟﻮﺣﺎت ﺗﻄﻮﻳﺮ اﻟـ FPGAﻛﺄداة ﰲ ﺗﺼﻤﻴﻢ اﻟﺘﻤﺎرﻳﻦ
واﳌﺸﺎرﻳﻊ اﻟﺮﻗﻴﻤﺔ اﳌﻨﻄﻘﻴﺔ )اﻟﺒﻮاﺑﺎت ،اﻟﻌﺪادات ،اﻟﻘﻼﺑﺎت ،اﳌﺆﻗﺘﺎت (... ،وﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻟﺒﻴﺌﺔ اﻟﺼﻨﺪوﻗﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ ﰲ اﻟﺘﺼﻤﻴﻢ
) ،(Schematic Diagram Designﰒ ﺗﻨﺘﻘﻞ إﱃ اﳌﻨﻬﺞ اﻟﺘﺎﱄ اﻟﺬي ﻳﻬﺘﻢ ﺑﺘﺼﻤﻴﻢ اﳌﻌﺎﰿ].[320
95 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
اﳌﻨﺎﻫﺞ اﳌﺨﱪﻳﺔ اﳌﺘﻘﺪﻣﺔ ﺗﺴﺘﺨﺪم ﻟﻮﺣﺎت ﺗﻄﻮﻳﺮ اﻟـ FPGAﻛﺄﺳﺎس ﰲ ﺑﻨﺎء ﻣﺸﺎرﻳﻊ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ ﻣﺜﻞ ،VLSI, SoCs:إﺿﺎﻓﺔً
إﱃ ﻛﻮن ﻫﺬﻩ اﻟﻠﻮﺣﺎت ﺗﺴﺘﺨﺪم ﻛﺄدوات ﻣﺴﺎﻋﺪة ﰲ ﺗﻌﻠﻴﻢ ﺑﺮﳎﺔ ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﻄﺒﻴﻘﺎﻬﺗﺎ] ،[321ﻛﻤﺎ ﻳﺘﻢ اﻻﺳﺘﻔﺎدة ﻣﻦ ﻣﻮﺿﻮﻋﺎت
ﳐﺘﻠﻔﺔ ﺑﺸﺮح اﻟﻌﻨﺎﺻﺮ اﶈﻴﻄﻴﺔ ﻋﻠﻰ اﻟﺒﻮرد.
اﳌﻨﺎﻫﺞ اﳌﺨﱪﻳﺔ اﻟﱵ ﻇﻬﺮت ﻣﺆﺧﺮاً واﻟﱵ ﻳﺸﺎر إﻟﻴﻬﺎ ﺑـ [322](Systems-on-Programmable Chips) SoPCﺗﺘﻢ ﺑﺎﺳﺘﺨﺪام ﻟﻮﺣﺎت
اﻟﺘﻄﻮﻳﺮ ﰲ ﺗﻄﺒﻴﻖ ﻣﻨﻬﺠﻴﺔ اﻟـ Software-Hardware co-designﰲ ﺑﻨﺎء وﺗﺼﻤﻴﻢ ﻧﻈﺎم ﻣﺘﻜﺎﻣﻞ ﺑﺎﺳﺘﺨﺪام ﺷﺮاﺋﺢ اﻟـ FPGAواﻟﺬي
ﳝﻜﻦ أن ﳛﻮي ﻋﻠﻰ ﻣﻌﺎﳉﺎت ووﺣﺪات ذاﻛﺮة ووﺣﺪات ﻣﻌﺎﳉﺔ إﺷﺎرة ووﺣﺪات اﺗﺼﺎل ﺗﺴﻠﺴﻠﻲ ﻋﺎﻟﻴﺔ اﻟﺴﺮﻋﺔ وﻏﲑﻫﺎ ﻣﻦ اﶈﻴﻄﻴﺎت
اﳌﺘﻘﺪﻣﺔ اﻟﱵ ﺗﺘﻀﻤﻨﻬﺎ ﺷﺮﳛﺔ اﻟـ.FPGA
ﺑﻌﺾ اﳉﺎﻣﻌﺎت ﺑﺎﻟﺘﻌﺎون ﻣﻊ اﳌﺆﺳﺴﺎت واﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﺘﻘﻨﻴﺔ اﻟـ FPGAﻔﺰ اﻟﻄﻼب ﻋﻠﻰ ﺷﺮاء ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﳋﺎﺻﺔ ﻬﺑﻢ ﻗﺒﻞ
ﲡﻤﻴﻌﻬﺎ ،وذﻟﻚ ﺑﺄﺳﻌﺎر ﳐﻔﻀﺔ وﺧﺎﺻﺔ] ،[323وﺑﺎﻟﺘﺎﱄ ﰲ ﺑﺪاﻳﺔ اﻟﻔﺼﻞ ﻳﺘﻢ ﺗﻌﻠﻴﻢ اﻟﻄﻼب ﻋﻠﻰ ﺗﻘﻨﻴﺎت اﻟﻠﺤﺎم واﻟﺘﺠﻤﻴﻊ واﻟﻔﺤﺺ ﻟﻠﻜﻴﺎن
ﺼﻠﺐ ﻬﺑﺪف ﲡﻤﻴﻊ ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﳋﺎﺻﺔ ﻬﺑﻢ ﻗﺒﻞ أن ﻳﺘﻢ اﺳﺘﺨﺪاﻣﻬﺎ ﻟﻠﻤﻘﺮر اﻷﺳﺎﺳﻲ ،إﺿﺎﻓﺔ إﱃ ذﻟﻚ ﻓﺈن ﻫﺬﻩ اﻟﻠﻮﺣﺎت ﺗﺴﺘﺨﺪم
ﰲ ﺗﻄﺒﻴﻖ اﻟﻮﻇﺎﺋﻒ اﳌﻨﺰﻟﻴﺔ ﺑﺪﻻً ﻣﻦ أن ﺗﻜﻮن اﻟﻮﻇﺎﺋﻒ ﻧﻈﺮﻳﺔ.
إن ﻣﺸﺎرﻛﺔ اﻟﻄﻼب ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻫﻲ ﻣﻦ اﻷﻣﻮر اﻟﱵ ﰎ إﻗﺮارﻫﺎ ﻋﻠﻰ ﻧﻄﺎق واﺳﻊ ﻋﻠﻰ أ�ﺎ اﻟﻨﻘﻄﺔ اﳉﻮﻫﺮﻳﺔ ﰲ اﻟﺘﻌﻠﻢ اﻟﻔﻌﺎل ،وﻣﻦ
اﳌﻌﺮوف أﻳﻀﺎ أن اﶈﺎﺿﺮات اﻟﺘﻘﻠﻴﺪﻳﺔ ﳝﻜﻦ أن ﺗﺘﻄﻮر ﻣﻦ ﺧﻼل ﺧﱪات اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻤﺔ ﻋﻠﻰ دور اﻟﻄﻼب ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ]،[327,328
وﻫﺬا ﺻﺤﻴﺢ ﻻ ﺳﻴﻤﺎ ﰲ ﻤﻟﺎﻻت اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ،ﺣﻴﺚ أن اﻻﻋﺘﺒﺎر اﻷﺳﺎﺳﻲ ﻟﻠﺘﻌﻠﻴﻢ اﻟﻨﺎﺟﺢ ﻫﻮ اﺗﺼﺎل اﻟﻄﻼب ﻣﻊ اﻟﺘﺠﻬﻴﺰات واﻷدوات
اﳌﺨﱪﻳﺔ ،ﺣﻴﺚ ﳝﻜﻦ أن ﻳﻜﺘﺴﺐ اﻟﻄﻼب ﻣﻬﺎرات اﺣﱰاﻓﻴﺔ ﻋﺪﻳﺪﻳﺔ إﺿﺎﻓﺔً إﱃ ﻣﻬﺎرات اﻟﻌﻤﻞ ﺿﻤﻦ ﻓﺮﻳﻖ ،اﳌﻘﺪرة ﻋﻠﻰ اﻹﺑﺪاع وإﳚﺎد
اﳊﻠﻮل اﳌﺜﻠﻰ ،اﻟﺘﻌﻠﻢ ﻣﻦ اﻷﺧﻄﺎء ،واﳌﻘﺪرة ﻋﻠﻰ اﻟﺘﺼﻤﻴﻢ وﻓﻘﺎً ﻻﺳﱰاﺗﻴﺠﻴﺎت ﻋﻠﻤﻴﺔ ﺣﻘﻴﻘﻴﺔ] ،[329-331ﻛﻤﺎ ﺗﺸﲑ اﻟﺪراﺳﺎت أﻳﻀﺎً إﱃ أن
اﻟﻄﻼب ﻳﻔﻀﻠﻮن داﺋﻤﺎً اﻟﻌﻤﻞ ﰲ ﳎﻤﻮﻋﺎت ،ﻛﻤﺎ أن ﻣﻌﻈﻢ اﻷﲝﺎث ﺗﺘﺠﻪ إﱃ أن ﻤﻟﻤﻮﻋﺎت اﳌﺆﻟﻔﺔ ﻣﻦ ﺛﻼث ﻃﻼب ﺗﺒﻘﻰ ﻣﺘﻤﺎﺳﻜﺔ
وﻣﺘﻔﺎﻋﻠﺔ وﻣﻨﺴﺠﻤﺔ].[332
ﺣﺎﺟﺎت اﻟﺼﻨﺎﻋﺔ إﻟﻰ ﻣﻬﻨﺪﺳﻲ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ:(FPGA Eng. Industry Demand) FPGA 6-2
إن اﻟﺸﺮﻛﺎت اﻟﺮاﺋﺪة اﳌﺼﻨﻌﺔ ﻟﺸﺮاﺋﺢ اﻟـ FPGAﺗﻘﻮم ﺑﺸﻜﻞ ﻣﻨﺘﻈﻢ ﻋﻠﻰ زﻳﺎدة ﻛﻤﻴﺎت اﻹﻧﺘﺎج ﻟﺸﺮاﺋﺢ اﻟـ FPGAاﻟﱵ ﺗﺒﺎع ﺑﻜﺜﺮة ،وذﻟﻚ
ﻧﻈﺮاً ﻟﻜﻮ�ﺎ ﻳﻮﻣﺎً ﺑﻌﺪ ﻳﻮم ﲤﻠﻚ ﻣﻴﺰات أﻛﺜﺮ ﺗﻘﺪﻣﺎً ،وﺗﻘﺪم ﺣﻠﻮل ﻓﻌﺎﻟﺔ ذ ﻛﻠﻔﺔ أﺧﻔﺾ ﺠﻤﻟﺎل واﺳﻊ ﻣﻦ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﻜﺎﻓﺔ
ﻓﺮوﻋﻬﺎ][333؛ ﻳﺸﲑ اﶈﻠﻠﻮن إﱃ أن ﻫﺬﻩ اﻟﺰﻳﺎدة ﰲ اﳌﺒﻴﻌﺎت ﺗﱰاﻓﻖ ﻳﺪاً ﺑﻴﺪ ﻣﻊ ازدﻳﺎد اﳊﺎﺟﺔ اﳌﻠﺤﺔ إﱃ ﻣﻬﻨﺪﺳﲔ ذوي ﻣﻬﺎرات ﺟﻮﻫﺮﻳﺔ
ﻻﺳﺘﺜﻤﺎر ﻫﺬﻩ اﻟﻔﺮص واﳊﻠﻮل اﳉﺪﻳﺪة.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 96
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈن أدوات ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﱵ ﺗﺴﺘﺨﺪﻣﻬﺎ اﻟﺸﺮﻛﺎت ﰲ ﺑﻨﺎء اﻟﺘﻄﺒﻴﻘﺎت اﻟﺼﻨﺎﻋﻴﺔ ﰲ ﺗﻄﻮر ﻣﺘﺰاﻳﺪ ،وإن اﳊﺎﺟﺔ إﱃ
ﻣﻬﻨﺪﺳﲔ ﻋﻠﻰ ﺳﻮﻳﺔ ﻋﺎﻟﻴﺔ ﻗﺎدرﻳﻦ ﻋﻠﻰ إﳚﺎد ﺗﺼﻤﻴﻢ ﺣﻘﻴﻘﻲ ﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻤﻮﻣﺎً ،واﻷﻧﻈﻤﺔ اﻟﱵ ﺗﻌﺘﻤﺪ اﻟـ FPGAsﺧﺼﻮﺻﺎً ﰲ ﻃﻠﺐ
ﻣﺘﺰاﻳﺪ أﻳﻀﺎً؛ ﻟﺬا ﻓﺈن اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ واﻟﺘﺪرﻳﺒﻴﺔ ﳚﺐ أن ﺗﺮاﻋﻲ اﻟﱰﻛﻴﺰ اﻷﻛﱪ ﻋﻠﻰ ﺗﻄﻮﻳﺮ اﳌﻬﺎرات اﻟﺘﺼﻤﻴﻤﻴﺔ ﺑﺪﻻً ﻣﻦ اﻟﱰﻛﻴﺰ ﻋﻠﻰ ﻟﻐﺔ
ﻛﻴﺎن ﺻﻠﺐ أو ﺑﻴﺌﺔ ﺑﺮﳎﻴﺔ ﳏﺪدة .اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻘﺮرات اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠـ FPGAﺗﺮﻛﺰ ﺑﺸﻜﻞ ﻛﺒﲑ ﻋﻠﻰ ﺗﻔﺎﺻﻴﻞ ﻟﻐﺔ وﺻﻒ ﻛﻴﺎن ﺻﻠﺐ ﳏﺪدة
) ،[334-336](HDLإﻻ أن ﻫﺬﻩ اﳌﻘﺮرات ﻻ ﺗﻠﱯ ﺣﺎﺟﺎت اﻟﺼﻨﺎﻋﺔ ﻟﻜﻮ�ﺎ ﺑﻌﻴﺪة ﻋﻦ ﺗﻄﻮﻳﺮ اﳌﻬﺎرات اﳌﻄﻠﻮﺑﺔ ﻟﻠﻤﻬﻨﺪﺳﲔ اﳌﺴﺘﻘﺒﻠﻴﲔ]،[337
إذ أن ﻋﻤﻠﻴﺔ ﺗﻌﻠﻴﻢ ﺗﺼﻤﻴﻢ ﻫﺬﻩ اﻷﻧﻈﻤﺔ ﳚﺐ أن ﺗﺮﻛﺰ ﻋﻠﻰ اﺳﱰاﺗﻴﺠﻴﺎت وﻃﺮاﺋﻖ اﻟﺘﺼﻤﻴﻢ وأدواﺗﻪ ﲝﻴﺚ ﻳﻜﻮن اﻟﻄﻼب أﻗﺮب ﻣﺎ ﳝﻜﻦ إﱃ
اﻷدوات واﻻﺳﱰاﺗﻴﺠﻴﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﰲ اﻟﺼﻨﺎﻋﺔ ،وﻋﻠﻰ أﺛﺮ ذﻟﻚ ﺗﺒﺬل ﻣﺆﺧﺮاً اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻘﱰﺣﺎت واﳉﻬﻮد اﻟﺮاﻣﻴﺔ ﻟﺘﻘﻠﻴﺺ اﻟﻔﺠﻮة ﺑﲔ
اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ واﻟﺼﻨﺎﻋﺔ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻴﻬﺎ ﰲ اﻷوراق اﻟﺒﺤﺜﻴﺔ] .[338-341اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪراﺳﺎت ﺗﺸﲑ إﱃ ارﺗﻔﺎع وﺗﲑة ﺟﺮس اﻹﻧﺬار
ﻟﺪى اﳌﺆﺳﺴﺎت اﻷورﺑﻴﺔ واﻷﻣﺮﻳﻜﻴﺔ ﻣﻦ ﺗﻘﻠﺺ ﻋﺪد اﻟﺸﺒﺎب اﻟﻠﺬﻳﻦ ﳜﺘﺎرون ﳎﺎل اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ ﳌﺴﺘﻘﺒﻠﻬﻢ اﻟﻌﻤﻠﻲ] [342,343إذ ﺗﻔﻘﺪ ﻫﺬﻩ
اﳌﺆﺳﺴﺎت اﻟﻘﻴﺎدة ﰲ ﳎﺎﻻت اﻟﻌﻠﻮم واﳍﻨﺪﺳﺔ].[344,345
اﻟﺮﺑﻂ ﺑﻴﻦ اﻟﻤﻨﺎﻫﺞ اﻟﻨﻈﺮﻳﺔ واﻟﺼﻨﺎﻋﺔ ):(Linking among Curriculum & Industry 7-2
ﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ اﳌﻨﺎﻫﺞ اﻟﺘﺪرﻳﺴﻴﺔ اﳉﺎﻣﻌﻴﺔ ﰲ اﻟﺼﻔﻮف واﻟﺼﻨﺎﻋﺔ؟ ﰲ اﳊﻘﻴﻘﺔ ﻫﺬا اﻟﺴﺆال ﰎ ﻃﺮﺣﻪ ﻣﺮاراً وﺗﻜﺮاراً ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﶈﺎﻓﻞ
واﳌﺆﲤﺮات] [346وﳝﻜﻦ اﻹﺟﺎﺑﺔ ﻋﻠﻴﻪ ﻣﻦ وﺟﻬﱵ ﻧﻈﺮ...
ﻓﻤﻦ وﺟﻬﺔ ﻧﻈﺮ ﻋﻤﻠﻴﺔ ﺻﻨﺎﻋﻴﺔ :إن ﻣﺴﺎﻟﺔ ﺗﺼﻤﻴﻢ ﻣﻨﺘﺞ ﲡﺎري ﻋﻠﻰ درﺟﺔ ﻋﺎﻟﻴﺔ ﻣﻦ اﻟﻄﻠﺐ ﺧﻼل أﻗﺼﺮ زﻣﻦ ﳑﻜﻦ ،ﻳﻌﺘﱪ ﻣﻦ اﳌﺴﺎﺋﻞ
اﳊﺮﺟﺔ ﰲ اﳌﺸﺎرﻳﻊ اﳍﻨﺪﺳﻴﺔ ،ﻟﺬا ﻓﺈن اﳌﻬﻨﺪﺳﲔ اﳌﺨﺘﺼﲔ ﻳﻜﻮﻧﻮن ﻋﺎدة ﻣﻬﺘﻤﲔ ﺑﺸﻜﻞ أﻛﱪ وﻣﺒﺎﺷﺮ ﰲ اﳌﻌﺮﻓﺔ واﳌﻬﺎرات اﳌﺘﻌﻠﻘﺔ
ﺑﺘﻄﺒﻴﻘﺎت وﺗﻘﻨﻴﺎت ﳏﺪدة ﺑﺄﺳﺮع وﻗﺖ ﳑﻜﻦ.
وأﻣﺎ ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺗﻌﻠﻴﻤﻴﺔ :إن اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻳﻬﺘﻢ ﺑﺎﻟﺪرﺟﺔ اﻷوﱃ – ﻋﺎدةً – ﺑﺎﳌﻘﺮرات واﳌﻮﺿﻮﻋﺎت اﻷﻛﺜﺮ ﻋﻤﻮﻣﻴﺔ ،واﻟﱵ ﺗﻌﺘﱪ
ﺿﺮورﻳﺔ "ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ أﻛﺎدﳝﻴﺔ ﺗﻌﻠﻴﻤﻴﺔ" ،ﻣﻊ أن اﻟﻌﺪﻳﺪ ﻣﻦ ﻫﺬﻩ اﳌﻮﺿﻮﻋﺎت رﲟﺎ ﻻ ﺗﺴﺘﺨﺪم – ﰲ اﻟﻐﺎﻟﺐ أو ﻋﻠﻰ اﻹﻃﻼق – ﰲ
اﻟﺘﻄﺒﻴﻖ اﻟﻌﻤﻠﻲ ﺑﻌﺪ اﻟﺘﺨﺮج.
ﻟﺬا ﻓﺈن اﳌﺆﺳﺴﺎت اﻟﺒﺤﺜﻴﺔ ﺗﺸﲑ إﱃ أﳘﻴﺔ اﻋﺘﻤﺎد ﻣﻨﺎﻫﺞ ﻋﻤﻠﻴﺔ ذات ﺻﻠﺔ ﻣﺒﺎﺷﺮة ﲝﺎﺟﺔ اﻟﺼﻨﺎﻋﺔ ،وﻫﺬﻩ اﳌﻨﺎﻫﺞ ﺗﺪف إﱃ زﻳﺎدة ﻓﺎﻋﻠﻴﺔ
وﻓﺎﺋﺪة اﳋﱪة اﻟﻌﻤﻠﻴﺔ ﰲ اﶈﺎﺿﺮات اﻟﻨﻈﺮﻳﺔ ﻣﻦ ﺧﻼل اﻋﺘﻤﺎد اﺳﱰاﺗﻴﺠﻴﺔ إﺿﺎﻓﺔ ﻣﻨﻬﺞ ﺗﻌﻠﻴﻤﻲ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
اﻟـ.FPGA
ﻳﻘﺪم ﻣﺎرﺗﻦ – ﻛﺒﲑ اﻟﺒﺎﺣﺜﲔ ﰲ ﻣﺪﻳﻨﺔ Tensilicaﻛﺎﻟﻴﻔﻮرﻧﻴﺎ – أﻓﻜﺎراً ﻣﻔﻴﺪة ﺣﻮل ﻣﺎ ﻳﺘﻮﺟﺐ ﻋﻠﻰ اﳌﻘﺮرات اﳉﺎﻣﻌﻴﺔ ﻟﺘﺪرﻳﺲ اﻷﻧﻈﻤﺔ
اﻟﺮﻗﻤﻴﺔ اﳌﺘﻘﺪﻣﺔ أن ﺗﻘﺪﻣﻪ ،وذﻟﻚ ﻣﻦ ﻣﻨﻈﻮر اﻟﺼﻨﺎﻋﺔ] ،[347ﺣﻴﺚ ﻳﺸﲑ إﱃ "أن اﳌﻘﺮرات اﻟﺘﺪرﻳﺴﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ﳌﻨﻬﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ
اﳌﺘﻘﺪﻣﺔ ﳚﺐ أن ﺗﺘﻐﲑ ﲝﻴﺚ ﺗﻌﻜﺲ اﻟﺘﻄﻮر اﻟﺘﻜﻨﻮﻟﻮﺟﻲ ﰲ ﻫﺬا ﻤﻟﺎل ،ﰒ ﻳﻀﻴﻒ ﺑﺄﻧﻪ إﱃ اﻵن ﻻ ﻳﻮﺟﺪ دﻟﻴﻞ واﺿﺢ ﻋﻠﻰ أن اﳌﻨﺎﻫﺞ
اﳊﺎﻟﻴﺔ ﺗﻘﺪم اﳌﻄﻠﻮب وﺧﺼﻮﺻﺎً ﺑﺎﻟﻨﻈﺮ إﱃ ﻣﺴﺘﻮى اﳋﺮﳚﲔ اﳉﺎﻣﻌﻴﲔ؛ أﻳﻀﺎً ﻳﻨﺒﻐﻲ ﻋﻠﻰ اﻟﻄﻼب اﻟﺘﻌﺎﻣﻞ ﻣﻊ أدوات اﻟﺘﺼﻤﻴﻢ واﻟﺘﻄﻮﻳﺮ
اﳊﺪﻳﺜﺔ واﳌﺘﻘﺪﻣﺔ واﻟﱵ ﺗﺴﺘﻌﻤﻞ ﰲ اﻟﺼﻨﺎﻋﺔ" ،وﻫﺬا ﻣﺎ أﻛﺪت ﻋﻠﻴﻪ أﲝﺎث أﺧﺮى].[348
97 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
اﻟﻤﺪﺧﻞ إﻟﻰ دراﺳﺔ اﻟﻤﺼﻔﻮﻓﺎت اﻟﻤﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﺒﺮﻣﺠﺔ ﺣﻘﻠﻴﺎً ):(Introduction to FPGAs 8-2
إن ﻣﺼﻄﻠﺢ " "Field Programmableﻳﺸﲑ إﱃ أن اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﺸﺮﳛﺔ – وﻫﻲ اﻟﺒﻠﻮﻛﺎت اﳌﻨﻄﻘﻴﺔ – ﳝﻜﻦ أن ﻳﺘﻢ ﺗﻌﻴﲔ ﻃﺮﻳﻘﺔ
وﺻﻠﻬﺎ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻦ ﻗﺒﻞ اﳌﺼﻤﻢ أﺛﻨﺎء ﻋﻤﻞ اﻟﺸﺮﳛﺔ ﰲ اﻟﻨﻈﺎم ،ﻋﻠﻰ ﺧﻼف اﻟﺸﺮاﺋﺢ ذات اﻟﺘﻄﺒﻴﻘﺎت اﶈﺪدة اﻟﻮﻇﻴﻔﺔ
)… (MCUs, MPUs, ASICs, SOCs, etcاﻟﱵ ﰎ ﻓﻴﻬﺎ ﺗﻌﻴﲔ ﺑﻨﻴﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺑﺸﻜﻞ ﻣﺴﺒﻖ ﻣﻦ ﻗﺒﻞ اﻟﺸﺮﻛﺔ اﻟﺼﺎﻧﻌﺔ.
ﲤﻠﻚ ﻋﺪد ﳏﺪود ﻣﻦ اﻟﺒﻮاﺑﺎت ﻛﻤﺎ أن اﻟﻮﻇﺎﺋﻒ اﻟﱵ ﺗﺆدﻳﻬﺎ ﺗﻜﻮن أﺻﻐﺮ وأﺑﺴﻂ ﻣﻦ اﻟﻮﻇﺎﺋﻒ اﻟﱵ ﻣﻦ اﳌﻤﻜﻦ أن ﺗﺆدﻳﻬﺎ ﺗﻘﻨﻴﺔ اﻟـ.FPGA
اﻟﺸﻜﻞ 1-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺰﻣﲏ ﻟﺘﻄﻮر ﺗﻘﻨﻴﺎت اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﺣﻴﺚ ﺗﻮﺿﺢ اﻷﻗﺴﺎم اﳌﻈﻠﺔ ﺑﺎﻟﻠﻮن اﻷﺻﻔﺮ ﺑﺄن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻛﺎﻧﺖ ﻣﺘﻮﻓﺮة
ﻣﻨﺬ وﻗﺖ ﻣﺒﻜﺮ ،وﻟﻜﻨﻬﺎ ﱂ ﺗﻜﻦ ﻣﻄﺮوﻗﺔ اﻻﺳﺘﺨﺪام ﺣﱴ وﻗﺖ ﻣﺘﺄﺧﺮ ،ﻓﻘﺪ ﻗﺪﻣﺖ ﺷﺮﻛﺔ Xilinxﻟﻠﻌﺎﱂ أول ﺷﺮﳛﺔ FPGAﰲ ﺑﺪاﻳﺔ
ﻋﺎم 1984إﻻ أن ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﱂ ﺗﺴﺘﺨﺪم ﻋﻠﻰ ﻧﻄﺎق واﺳﻊ ﺣﱴ ﺑﺪاﻳﺔ اﻟﺘﺴﻌﻴﻨﻴﺎت].[349
ﺗﻘﻨﻴﺎت اﻟﻮﺻﻼت اﳌﻨﻄﻘﻴﺔ ﰲ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ):(PLD’s Link Technologies 1-3-8-2
ﻳﺘﻢ ﺗﺸﻜﻴﻞ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻣﻦ ﺧﻼل وﺻﻼت ﻣﻨﻄﻘﻴﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ واﻟﱵ ﺗﻘﻮم ﻋﻠﻰ وﺻﻞ أو ﻓﺼﻞ اﳌﺪاﺧﻞ أو اﳌﺨﺎرج ﺑﲔ اﻟﺒﻮاﺑﺎت
ﻟﺘﺸﻜﻴﻞ اﳊﺎﻟﺔ اﳌﻨﻄﻘﻴﺔ اﳌﻄﻠﻮﺑﺔ .ﻳﻮﺟﺪ ﺳﺘﺔ ﺗﻘﻨﻴﺎت ﳐﺘﻠﻔﺔ ﻟﻠﻮﺻﻼت وﻫﻲ]:[350,351
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 98
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
اﻟﺸﻜﻞ 2-2ﻳﺒﲔ ﳐﻄﻂ ﺗﻘﻨﻴﺎت ﺧﻼﻳﺎ اﻟﺘﺨﺰﻳﻦ اﻟﺬاﻛﺮﻳﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ.
Programmable
Memory Cells
Unipolar Bipolar
CMOS BJT
(volatileﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﳌﺮة واﺣﺪة ” ،(One-Time-Programmable) “OTPأي أﻧﻪ ﻻ ﳝﻜﻦ ﳏﻴﻬﺎ أو إﻋﺎدة ﺑﺮﳎﺘﻬﺎ ﻣﺮة ﺛﺎﻧﻴﺔ،
إﻻ أن زﻣﻦ اﻻﺣﺘﻔﺎظ ﺑﺎﳊﺎﻟﺔ اﳌﻨﻄﻘﻴﺔ ﺑﻌﺪ اﻟﱪﳎﺔ ﻏﲑ ﳏﺪود.
ﰲ اﳊﺎﻟﺔ اﻷوﻟﻴﺔ ﺗﻜﻮن اﻟﺸﺮﳛﺔ اﳌﻨﻄﻘﻴﺔ ﻣﺼﻨﻮﻋﺔ ﺑﻮﺟﻮد ﲨﻴﻊ اﻟﻮﺻﻼت ) (Fusesﰲ ﻣﻜﺎ�ﺎ )ﻣﻘﺼﻮرة( ،اﻟﺸﻜﻞ ،3-2واﻟﱵ ﻫﻲ ﻋﺒﺎرة ﻋﻦ
وﺻﻼت ﻣﻌﺪﻧﻴﺔ ﻣﻴﻜﺮوﻳﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﻛﻬﺮﺑﺎﺋﻴﺎً؛ ﺗﺘﻢ اﻟﱪﳎﺔ ﺑﺘﻤﺮﻳﺮ ﺗﻴﺎر ﻣﻦ ﺧﻼل اﻟﻮﺻﻠﺔ ،وذﻟﻚ ﺑﺘﻄﺒﻴﻖ ﺟﻬﺪ ٍ
ﻋﺎل ﻧﺴﺒﻴﺎً ﻋﻠﻰ ﻣﺪﺧﻞ
اﻟﻮﺻﻠﺔ؛ ﻳﺆدي إﱃ ﻓﺘﺢ اﻟﻮﺻﻠﺔ وﲢﺪﻳﺪ اﳊﺎﻟﺔ اﳌﻨﻄﻘﻴﺔ اﳌﻄﻠﻮﺑﺔ ،اﻟﺸﻜﻞ.4-2
اﻟﺸﻜﻞ 4-2اﻟﻮﺻﻼت اﳌﻨﺼﻬﺮة ﺑﻌﺪ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ اﻟﺸﻜﻞ 3-2اﻟﻮﺻﻼت اﳌﻨﺼﻬﺮة ﻗﺒﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ
99 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﻣﻼﺣﻈﺔ :إن ﺗﻘﻨﻴﺔ اﻟﻮﺻﻠﺔ اﳌﻨﺼﻬﺮة ﻟﻴﺴﺖ ﻣﻦ اﻟﺘﻘﻨﻴﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺑﻨﺎء ﺷﺮاﺋﺢ اﻟـ ،FPGAوإﳕﺎ ﻫﻲ ﻣﺴﺘﺨﺪﻣﺔ ﻓﻘﻂ ﰲ اﻷﺟﻴﺎل اﻷوﱃ
ﻟﺸﺮاﺋﺢ اﻟـ.PLDs
ﻳﻮﺟﺪ أﻧﻮاع ﳐﺘﻠﻔﺔ ﻣﻦ ﺷﺮاﺋﺢ اﻟـ PLDاﻟﱵ ﺗﻌﺘﻤﺪ اﻟﻮﺻﻠﺔ اﳌﻘﺼﻮرة ﻣﺜﻞ.PLICE, ViaLink, MicroVia :
ﻋﻠﻰ اﻟﻌﻜﺲ ﲤﺎﻣﺎً ﻣﻦ ﺗﻘﻨﻴﺔ اﻟﻮﺻﻠﺔ اﳌﻨﺼﻬﺮة ،ﻓﺈن ﺗﻘﻨﻴﺔ اﻟﻮﺻﻠﺔ اﳌﻘﺼﻮرة ﻳﺰود ﻓﻴﻬﺎ ﻛﻞ ﻣﺴﺎر ﻗﺎﺑﻞ ﻟﻠﺘﻌﺪﻳﻞ ﰲ اﻟﺪارة ﺑﻮﺻﻠﺔ ﻗﺎﺑﻠﺔ ﻟﻠﺒﻨﺎء،
ﺣﻴﺚ ﳝﺜﻞ اﳌﺴﺎر ﰲ اﳊﺎﻟﺔ ﻏﲑ اﳌﱪﳎﺔ دارة ﻣﻔﺘﻮﺣﺔ )اﻟﻮﺻﻠﺔ ﻏﲑ ﻣﺘﻮﺿﻌﺔ(؛ اﻟﺸﻜﻞ.5-2
اﻟﺸﻜﻞ 6-2اﻟﻮﺻﻼت اﳌﻘﺼﻮرة ﺑﻌﺪ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ اﻟﺸﻜﻞ 5-2اﻟﻮﺻﻼت اﳌﻘﺼﻮرة ﻗﺒﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ
ﻣﻦ أﺟﻞ ﺑﺮﳎﺔ اﻟﻮﺻﻠﺔ )ﻗﺼﺮﻫﺎ( ﻳﺘﻢ ﺗﻄﺒﻴﻖ ﺟﻬﺪ ﻋﺎﱄ ﻋﻠﻰ ﻣﺪﺧﻞ اﻟﺪارة اﳌﻨﻄﻘﻴﺔ اﳌﻮاﻓﻖ ،وﻋﻨﺪﻫﺎ ﺗﺒﺪأ اﻟﻮﺻﻠﺔ ﺑﺎﻟﻈﻬﻮر ﻛﻮﺻﻠﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ
ﻣﻴﻜﺮوﻳﺔ زﺟﺎﺟﻴﺔ ﺗﻔﺼﻞ ﺑﲔ ﻗﻄﻌﺘﲔ ﻣﻦ اﳌﻌﺪن واﻟﱵ ﺗﻘﻮم ﻋﻠﻰ ﲢﻮﻳﻞ اﻟﻄﺒﻘﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ إﱃ ﻧﺎﻗﻞ .أﻣﺎ ﰲ اﳊﺎﻟﺔ اﻷوﻟﻴﺔ ﻓﺘﻌﻤﻞ اﻟﻮﺻﻠﺔ
اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻤﻞ ﻣﻘﺎوﻣﺔ ﻋﺎﻟﻴﺔ ﺟﺪاً .اﻟﺸﻜﻞ 6-2ﻳﺒﲔ اﻟﻮﺻﻼت ﺑﻌﺪ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ .اﻟﺸﻜﻞ (a) 7-2ﻳﺒﲔ ﻣﻘﻄﻊ ﰲ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ
CMOSﻗﺒﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ ﺣﻴﺚ ﺗﻜﻮن اﻟﻮﺻﻠﺔ ﻋﺒﺎرة ﻋﻦ ﻣﻘﺎوﻣﺔ ﻻ �ﺎﺋﻴﺔ .اﻟﺸﻜﻞ (b) 7-2ﻳﺒﲔ ﳕﻮ اﻟﻮﺻﻠﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ووﺻﻞ اﻟﻨﺎﻗﻠﲔ
اﳌﻌﺪﻧﻴﲔ.
اﻟﺸﻜﻞ 7-2ﻣﻘﻄﻊ ﰲ ﻣﺪﺧﻞ اﻟﺒﻮاﺑﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ ﻗﺒﻞ ) (aوﺑﻌﺪ ) (bﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ
إن اﻷﻧﻈﻤﺔ اﻟﱵ ﺗﻌﺘﻤﺪ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺗﻌﺘﱪ أﺟﻬﺰة ﺟﺎﻫﺰة ﻟﻠﻌﻤﻞ ﻓﻮر ﺗﻐﺬﻳﺘﻬﺎ ﺑﺎﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ،وذﻟﻚ ﻷن اﳊﺎﻟﺔ اﳌﻨﻄﻘﻴﺔ ﻟﻠﺨﻼﻳﺎ ﻻ ﻳﺘﻢ
ﻓﻘﺪا�ﺎ ﻋﻨﺪ اﻧﻘﻄﺎع اﻟﻄﺎﻗﺔ؛ ﻛﻤﺎ ﺗﻌﺘﱪ ﻫﺬﻩ اﻷﺟﻬﺰة ذات ﻣﻨﺎﻋﺔ ﻛﺒﲑة ﺿﺪ اﻟﺘﺄﺛﺮ ﺑﺎﻟﻀﺠﻴﺞ ،ﻓﻤﻦ اﳌﻤﻜﻦ ﻟﻸﺟﻬﺰة اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ
SRAMﻣﺜﻼً ن ﺗﻔﻘﺪ ﺑﻴﺎﻧﺎﻬﺗﺎ ﻋﻨﺪ ﺗﻌﺮﺿﻬﺎ ﻟﻠﻀﺠﻴﺞ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 100
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
إن اﻷﺟﻬﺰة اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ اﳌﻌﺘﻤﺪة ﻋﻠﻰ ﺧﻼﻳﺎ SRAMﲢﺘﺎج إﱃ ﻣﺴﺎﺣﺔ ﻛﺒﲑة ﻧﺴﺒﻴﺎً ﻣﻦ اﻟﻘﻄﺎﻋﺎت اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،وذﻟﻚ ﻷن اﳋﻠﻴﺔ
ﺗﺘﺸﻜﻞ ﻣﻦ أرﺑﻊ أو ﺳﺖ ﺗﺮاﻧﺰﺳﺘﻮرات ﺗﻌﻤﻞ ﻛﻤﺎﺳﻚ؛ اﻟﺸﻜﻞ 8-2اﳋﻠﻴﺔ اﻟﺬاﻛﺮﻳﺔ ﻧﻮع .SRAM
ﺗﻌﺘﱪ اﻷﺟﻬﺰة اﳌﻌﺘﻤﺪة ﻋﻠﻰ ﺗﻘﻨﻴﺔ SRAMﰲ ﻣﻘﺪﻣﺔ اﻷﺟﻬﺰة ﻣﻦ ﻧﺎﺣﻴﺔ اﻟﺘﻄﻮر اﻟﺘﻜﻨﻮﻟﻮﺟﻲ إﺿﺎﻓﺔ إﱃ أن ﻋﻤﻠﻴﺔ إﻧﺸﺎء ﺧﻼﻳﺎ
اﻟـ SRAMﻳﺘﻢ ﺑﻨﻔﺲ ﺗﻘﻨﻴﺔ إﻧﺸﺎء CMOSﻛﻤﺎ ﰲ ﺑﻘﻴﺔ أﺟﺰاء اﻟﺸﺮﳛﺔ ،ﻟﺬﻟﻚ ﻟﻴﺲ ﻫﻨﺎك ﻣﺘﻄﻠﺒﺎت وﻋﻤﻠﻴﺎت ﻣﻌﺎﳉﺔ ﺧﺎﺻﺔ أﺛﻨﺎء
اﻟﺘﺼﻨﻴﻊ .ﻛﻤﺎ ﲤﺘﺎز ﺷﺮاﺋﺢ اﻟـ FPGAاﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ ﺧﻼﻳﺎ SRAMﺑﺈﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء اﻟﻨﻤﺎذج اﻷوﻟﻴﺔ ﲟﺮوﻧﺔ ﻛﺒﲑة ﲝﻴﺚ ﻜﻦ ﻬﺗﻴﺌﺔ
ﺷﺮﳛﺔ اﻟـ FPGAﻟﺘﻨﻔﻴﺬ ﺑﱪﻧﺎﻣﺞ ﻣﺒﺪﺋﻲ ﻋﻨﺪﻣﺎ ﻳﺘﻢ ﺗﻐﺬﻳﺔ اﻟﻨﻈﺎم ﺑﺎﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ،ﻣﺜﻞ :اﺧﺘﺒﺎر ذاﰐ أو ﻓﺤﺺ ﻟﻠﻨﻈﺎم واﻟﺪارة اﻟﺮﺋﻴﺴﻴﺔ ،ﰒ
ﻳﻜﻮن ﺑﻌﺪﻫﺎ ﺟﺎﻫﺰاً ﻟﻴﱪﻣﺞ ﻟﻠﻘﻴﺎم ﺑﻮﻇﻴﻔﺘﻪ اﻟﺮﺋﻴﺴﻴﺔ.
اﻟﺴﻴﺌﺔ اﻟﻮﺣﻴﺪة ﻟﻠﺸﺮاﺋﺢ اﳌﻌﺘﻤﺪة ﻋﻠﻰ ﺗﻘﻨﻴﺔ SRAMﻫﻲ اﳊﺎﺟﺔ ﻟﱪﳎﺘﻬﺎ ﻣﻦ ﺟﺪﻳﺪ ﻛﻠﻤﺎ ﰎ إﻋﺎدة ﺗﻐﺬﻳﺘﻬﺎ ﺑﺎﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ،وﻫﺬا ﻳﻘﻮد
إﱃ اﳊﺎﺟﺔ إﱃ ذاﻛﺮة ﺧﺎرﺟﻴﺔ ﳏﻴﻄﻴﺔ ﺧﺎﺻﺔ ﻟﻴﺘﻢ ﲢﻤﻴﻞ اﻟﱪﻧﺎﻣﺞ ﻋﻠﻴﻬﺎ ،وﻟﻜﻦ ﻣﻦ ﻧﺎﺣﻴﺔ أﺧﺮى ﻓﺈن ﻣﺜﻞ ﻫﺬﻩ اﻷﺟﻬﺰة ﳝﻜﻦ أن ﺗﱪﻣﺞ
ﺑﺴﺮﻋﺔ وﳌﺮات ﻋﺪﻳﺪة.
ﻳﻮﺻﻒ ﻫﺬا اﻟﻨﻮع ﺑﺎﻟﺪﻳﻨﺎﻣﻴﻜﻲ؛ وذﻟﻚ ﻷن اﳌﻜﺜﻒ ﻳﻔﻘﺪ ﺷﺤﻨﺘﻪ ﻣﻊ اﻟﺰﻣﻦ ،ﻟﺬﻟﻚ ﻓﺈﻧﻪ ﳚﺐ إﻋﺎدة ﺷﺤﻦ ﻣﻜﺜﻒ ﻛﻞ ﺧﻠﻴﺔ ﺑﺎﺳﺘﻤﺮار
ﺘﺒﻘﻰ ﳏﺘﻔﻈﺔ ﺑﺒﻴﺎﻧﺎﻬﺗﺎ .إن ﻫﺬﻩ اﻟﻌﻤﻠﻴﺔ ﺗﺪﻋﻰ ﺑ ـ” “Refreshingوﻫﻲ ﻣﻌﻘﺪة وﲢﺘﺎج ﻟﺰﻳﺎدة ﻋﺪد اﻟﺪارات ﰲ اﻟﻨﻈﺎم ،وﻋﻨﺪﻣﺎ ﲢﺴﺐ ﻛﻠﻔﺔ
دارات اﻟﺸﺤﻦ ﻣﻦ أﺟﻞ ﻋﺸﺮات ﻣﻼﻳﲔ اﳋﺎﻧﺎت اﻟﺬاﻛﺮﻳﺔ ﰲ ﺷﺮﳛﺔ ذاﻛﺮة ،ﻓﺈن اﻟﻜﻠﻔﺔ ﺳﺘﻜﻮن ﻛﺒﲑة ﺟﺪاً؛ وﻋﻠﻰ ﻛﻞ ﺣﺎل ﻓﺈن اﻟﺘﻘﻨﻴﺔ
DRAMﻻﻗﺖ اﻫﺘﻤﺎﻣﺎً ﻗﻠﻴﻼً ﻓﻴﻤﺎ ﻳﺘﻌﻠﻖ ﺑﺎﻷﺟﻬﺰة اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ.
101 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﲤﺘﻠﻚ ﺧﻠﻴﺔ اﻟﺬاﻛﺮة MRAMاﳌﻘﺪرة ﻋﻠﻰ اﳌﺰج ﺑﲔ اﻟﺴﺮﻋﺔ اﻟﻌﺎﻟﻴﺔ ﻟـ SRAMوﻣﻘﺪرة اﻟﺘﺨﺰﻳﻦ DRAMودﳝﻮﻣﺔ اﻟﺬاﻛﺮة ،Flashﻛﻞ
ﻫﺬا ﻣﻊ اﺳﺘﻬﻼك ﻗﻠﻴﻞ ﻟﻠﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ.
إن اﻟﺬواﻛﺮ اﳌﻌﺘﻤﺪة ﻋﻠﻰ اﻟﺘﻘﻨﻴﺔ MRAMأﺻﺒﺤﺖ ﻣﺘﺎﺣﺔ ﻟﻼﺳﺘﺨﺪام اﻋﺘﺒﺎراً ﻣﻦ اﻟﻌﺎم ،2005وﺣﺎﳌﺎ ﺗﺼﺒﺢ ﻫﺬﻩ اﻟﺬواﻛﺮ ﻣﻨﺘﺸﺮة ﰲ
اﻷﺳﻮاق ﻓﻤﻦ اﳌﺆﻛﺪ أن أﻧﻮاع ﺟﺪﻳﺪة ﻣﻦ اﻷﺟﻬﺰة ﻣﺜﻞ ﺷﺮاﺋﺢ اﻟـ FPGAاﳌﻌﺘﻤﺪة ﻋﻞ ﺗﻘﻨﻴﺔ MRAMﺳﺘﻈﻬﺮ ﻗﺮﻳﺒﺎً ﻟﺘﺤﺪث ﺛﻮرة ﺟﺪﻳﺪة
ﰲ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ.
ﲤﺘﻠﻚ اﻟﺬاﻛﺮة EPROMﻧﻔﺲ اﻟﺒﻨﻴﺔ اﻷﺳﺎﺳﻴﺔ ﻟﻠﱰاﻧﺰﺳﺘﻮر ،MOSﻟﻜﻦ ﻳﺘﻢ إﺿﺎﻓﺔ ﺑﻮاﺑﺔ ﻋﺎﺋﻤﺔ إﺿﺎﻓﻴﺔ ﻣﺼﻨﻮﻋﺔ ﻣﻦ اﻟﺴﻴﻠﻴﻜﻮن وﻣﻌﺰوﻟﺔ
ﺑﻄﺒﻘﺎت ﻣﻦ اﻷوﻛﺴﻴﺪ .اﻟﺸﻜﻞ 10-2ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﱰاﻧﺰﺳﺘﻮر (a) MOSواﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﱰاﻧﺰﺳﺘﻮر MOSﻣﻊ ﺑﻮاﺑﺔ
ﻋﺎﺋﻤﺔ ) .(bﻓﻔﻲ اﳊﺎﻟﺔ ﻏﲑ اﳌﱪﳎﺔ ﺗﻜﻮن اﻟﺒﻮاﺑﺔ اﻟﻌﺎﺋﻤﺔ ﻏﲑ ﻣﺸﺤﻮﻧﺔ وﻻ ﺗﺆﺛﺮ ﻋﻠﻰ اﻟﻌﻤﻞ اﻟﻄﺒﻴﻌﻲ ﻟﺒﻮاﺑﺔ اﻟﺘﺤﻜﻢ.
ﻣﻦ أﺟﻞ ﺑﺮﳎﺔ اﻟﱰاﻧﺰﺳﺘﻮر ﻳﺘﻢ ﺗﻄﺒﻴﻖ ﺟﻬﺪ ﻋﺎﱄ ﻧﺴﺒﻴﺎً ) (12Vﺑﲔ ﺑﻮاﺑﺔ اﻟﺘﺤﻜﻢ واﳌﺼﺮف ،وﻫﺬا ﻳﺴﺒﺐ ﲢﻮل اﻟﱰاﻧﺰﺳﺘﻮر إﱃ ﺣﺎﻟﺔ اﻟﻮﺻﻞ
) ،(Onوﺗﺄﺧﺬ اﻻﻟﻜﱰوﻧﺎت اﳌﺸﺤﻮﻧﺔ ﺑﺎﻟﻄﺎﻗﺔ ﻃﺮﻳﻘﻬﺎ ﰲ اﻷوﻛﺴﻴﺪ ﳓﻮ اﻟﺒﻮاﺑﺔ اﻟﻌﺎﺋﻤﺔ ﺑﻌﻤﻠﻴﺔ ﺗُﻌﺮف ﺑـ"اﳊﻘﻦ ﻋﺎﱄ اﻟﻄﺎﻗﺔ ﻟﻺﻟﻜﱰوﻧﺎت"؛
وﻣﻊ إزاﻟﺔ إﺷﺎرة اﻟﱪﳎﺔ ﺗﺒﻘﻰ اﻟﺒﻮاﺑﺔ اﻟﻌﺎﺋﻤﺔ ﻣﺸﺤﻮﻧﺔ ﺑﺸﺤﻨﺔ ﺳﺎﻟﺒﺔ ﻣﺴﺘﻘﺮة.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 102
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
(b) EPROM اﻟﺸﻜﻞ 10-2اﳌﻘﺎرﻧﺔ ﺑﲔ ﺗﺮاﻧﺰﺳﺘﻮر (a) MOSوﺧﻠﻴﺔ ﺗﺮاﻧﺰﺳﺘﻮر ﻣﺴﺘﺨﺪم ﰲ ﺧﻠﻴﺔ
إن ﺧﻼﻳﺎ اﻟـ EPROMﺗﺘﻄﻠﺐ ﻣﺴﺎﺣﺔ أﻗﻞ ﻣﻦ اﻟﻘﻄﺎﻋﺎت اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻣﻘﺎرﻧﺔً ﻣﻊ ﺧﻼﻳﺎ اﻟﻮﺻﻼت اﳌﻨﺼﻬﺮة ،وإن أﻛﺜﺮ ﻣﺎ ﺣﻘﻖ اﻟﺸﻬﺮة
واﻻﻧﺘﺸﺎر ﳍﺬﻩ اﳋﻼﻳﺎ ﻫﻮ إﻣﻜﺎﻧﻴﺔ ﺑﺮﳎﺘﻬﺎ وﻣﺴﺤﻬﺎ ،ﻓﻤﻦ اﳌﻤﻜﻦ ﻣﺴﺢ ﺧﻠﻴﺔ اﻟﺬاﻛﺮة EPROMﺑﺈزاﻟﺔ ﺷﺤﻨﺔ اﻟﺒﻮاﺑﺔ اﻟﻌﺎﺋﻤﺔ ﻟﺘﻠﻚ اﳋﻠﻴﺔ،
وإن اﻟﻄﺎﻗﺔ اﳌﻄﻠﻮﺑﺔ ﻹزاﻟﺔ اﻟﺸﺤﻨﺔ ﻳﺘﻢ اﳊﺼﻮل ﻋﻠﻴﻬﺎ ﺑﻮاﺳﻄﺔ ﻣﺼﺪر ﻟﻸﺷﻌﺔ ﻓﻮق اﻟﺒﻨﻔﺴﺠﻴﺔ .اﻟﺸﻜﻞ 11-2ﻳﺒﲔ ﺧﻠﻴﺔ ذاﻛﺮة ﺗﻌﺘﻤﺪ ﻋﻠﻰ
ﺗﺮاﻧﺰﺳﺘﻮر .EPROM
إن اﳌﺸﻜﻠﺔ اﻟﺮﺋﻴﺴﻴﺔ ﻟﺬواﻛﺮ اﻟـ EPROMﻫﻲ اﻟﺜﻤﻦ اﳌﺮﺗﻔﻊ ﺟﺪاً ﻟﻠﻐﻼف اﳋﺎرﺟﻲ ﻟﻠﺸﺮﳛﺔ واﳌﺰود ﺑﻨﺎﻓﺬة ﻣﻦ اﻟﻜﻮارﺗﺰ واﻟﱵ ﺗﺴﺘﺨﺪم
ﻟﻠﱪﳎﺔ اﻟﻀﻮﺋﻴﺔ ﻟﻠﺸﺮﳛﺔ ،إﺿﺎﻓﺔً إﱃ اﻟﺰﻣﻦ اﻟﻄﻮﻳﻞ ﻹﳒﺎز ﻋﻤﻠﻴﺔ اﳌﺴﺢ واﻟﱵ ﺗﺴﺘﻐﺮق ﺣﻮاﱄ 20دﻗﻴﻘﺔ.
إن ﺗﺮاﻧﺰﺳﺘﻮر اﻟﺬاﻛﺮة EEPROMﻣﺸﺎﺑﻪ ﻟﱰاﻧﺰﺳﺘﻮر اﻟﺬاﻛﺮة EPROMاﻟﺬي ﳛﺘﻮي ﻋﻠﻰ ﺑﻮاﺑﺔ ﻋﺎﺋﻤﺔ ،إﻻ أن ﻃﺒﻘﺔ اﻷوﻛﺴﻴﺪ ﰲ ﺣﺎﻟﺔ
اﻟﺬاﻛﺮة EEPROMأرق ﺑﻜﺜﲑ.
103 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﲤﺘﻠﻚ ﺧﻼﻳﺎ اﻟﺬاﻛﺮة FLASHﺑﲎ ﳐﺘﻠﻔﺔ ﺣﻴﺚ أن ﺑﻌﻀﻬﺎ ﻳﻜﻮن ﻣﺰود ﺑﺒﻮاﺑﺔ ﻋﺎﺋﻤﺔ واﺣﺪة ﺑﻨﻔﺲ اﳌﺴﺎﺣﺔ ﻛﻤﺎ ﰲ اﻟﺬاﻛﺮة EPROM
وﻟﻜﻦ ﺑﻄﺒﻘﺎت أوﻛﺴﻴﺪ ذات ﲰﺎﻛﺔ أﻗﻞ ﻣﻦ اﻟﻄﺒﻘﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﺬاﻛﺮة ،EEPROMاﻟﺸﻜﻞ .13-2ﻳﺘﻢ ﻣﺴﺢ ﻫﺬﻩ اﻟﺬاﻛﺮة
ﻛﻬﺮﺑﺎﺋﻴﺎً وذﻟﻚ ﲟﺴﺢ ﻛﺎﻣﻞ اﻟﺬاﻛﺮة أو اﳉﺰء اﻷﻛﱪ ﻣﻨﻬﺎ ،ﻟﻜﻨﻬﺎ ﳝﻜﻦ أن ﲤﻠﻚ ﺑﻨﻴﺔ أﺧﺮى ﺗﻜﻮن ﻓﻴﻬﺎ اﳋﻠﻴﺔ ﻣﺰودة ﺑﱰاﻧﺰﺳﺘﻮرﻳﻦ ﻛﻤﺎ ﰲ
اﻟﺬاﻛﺮة EEPROMﳑﺎ ﻳﺴﻤﺢ ﺑﱪﳎﺔ وﻣﺴﺢ ﻫﺬﻩ اﻟﺬاﻛﺮة ﺟﺰﺋﻴﺎً.
9-1-3-8-2ﻣﻨﺎﻗﺸﺔ ):(Discussion
-ﺗﺸﺎﺑﻪ اﻷﺟﻬﺰة اﳌﻌﺘﻤﺪة ﻋﻠﻰ ﺗﻘﻨﻴﺔ EEPROM/FLASHﻧﻈﺎﺋﺮﻫﺎ اﳌﻌﺘﻤﺪة ﻋﻠﻰ ﺗﻘﻨﻴﺔ SRAMﻣﻦ ﺣﻴﺚ أن ﺧﻼﻳﺎ اﻟﺘﻌﺪﻳﻞ
ﺗﺘﺼﻞ ﻣﻊ ﺑﻌﻀﻬﺎ ﻋﻠﻰ ﺷﻜﻞ ﺳﻠﺴﻠﺔ إزاﺣﺔ ﻃﻮﻳﻠﺔ.
-ﳝﻜﻦ ﺑﺮﳎﺔ ﻫﺬﻩ اﻷﺟﻬﺰة ﺧﺎرج اﻟﻨﻈﺎم ﺑﻮﺟﻮد ﻣﱪﳎﺔ ﺧﺎﺻﺔ ،وﻓﻮر إﲤﺎم ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ ﻓﺈن اﻟﺒﻴﺎﻧﺎت ﺗﺒﻘﻰ ﺛﺎﺑﺘﺔ ،وﻫﺬا ﻳﻌﲏ أن اﻟﻨﻈﺎم
ﻳﻜﻮن ﺟﺎﻫﺰاً ﻟﻠﻌﻤﻞ ﻓﻮر وﺻﻮل اﻟﺘﻐﺬﻳﺔ ﻟﻠﻨﻈﺎم.
-إن ﺧﻠﻴﺔ اﻟﺬاﻛﺮة EEPROMواﻟﺬاﻛﺮة FLASHاﳌﺆﻟﻔﺔ ﻣﻦ ﺗﺮاﻧﺰﺳﺘﻮرﻳﻦ ﺗﺰﻳﺪ ﰲ اﳊﺠﻢ ﺣﻮاﱄ ﻣﺮﺗﲔ وﻧﺼﻒ ﻋﻦ ﺣﺠﻢ اﳋﻠﻴﺔ
اﳌﺆﻟﻔﺔ ﻣﻦ ﺗﺮاﻧﺰﺳﺘﻮر واﺣﺪ ،إﻻ أ�ﺎ ﺗﺒﻘﻰ أﺻﻐﺮ ﻣﻦ ﺧﻼﻳﺎ .SRAM
-أﺣﺪ ﻣﺴﺎوئ ﺧﻼﻳﺎ اﻟﺬاﻛﺮة EEPROMواﻟﺬاﻛﺮة FLASHﻫﻲ أ�ﻤﺎ ﲝﺎﺟﺔ إﱃ ﲬﺲ ﺧﻄﻮات ﻣﻌﺎﳉﺔ إﺿﺎﻓﻴﺔ أﺛﻨﺎء اﻟﺘﺼﻨﻴﻊ زﻳﺎدة
ﻋﻠﻰ ﺗﻘﻨﻴﺔ CMOSاﻟﻘﻴﺎﺳﻴﺔ .ﻫﺬا ﳚﻌﻞ اﻷﺟﻬﺰة اﻟﱵ ﺗﻌﺘﻤﺪ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺗﺘﺄﺧﺮ ﻣﻦ ﻧﺎﺣﻴﺔ ﺗﻘﻨﻴﺔ اﻟﺘﺼﻨﻴﻊ ﲜﻴﻞ أو أﻛﺜﺮ ﻋﻦ اﻷﺟﻬﺰة
اﻟﱵ ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ .SRAM
-إن اﻷﺟﻬﺰة اﳌﻌﺘﻤﺪة ﻋﻠﻰ ﺗﻘﻨﻴﺔ EEPROMأو FLASHذات اﺳﺘﻬﻼك ﻛﺒﲑ ﻧﺴﺒﻴﺎً ﻟﻠﻄﺎﻗﺔ ﰲ اﳊﺎﻟﺔ اﻟﺴﺎﻛﻨﺔ وﻫﺬا ﻳﻌﻮد إﱃ اﻟﻌﺪد
اﻟﻜﺒﲑ ﻧﺴﺒﻴﺎً ﻣﻦ ﻣﻘﺎوﻣﺎت اﻟﺮﻓﻊ اﻟﺪاﺧﻠﻴﺔ اﻟﱵ ﲢﻮﻳﻬﺎ ﻫﺬﻩ اﻷﺟﻬﺰة.
اﳉﺪول 1-2ﻳﺒﲔ ﻣﻠﺨﺼﺎً ﻟﻠﻤﻴﺰات اﻷﺳﺎﺳﻴﺔ ﳌﺰاﻳﺎ اﻟﺘﻘﻨﻴﺎت اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﺼﻨﻴﻊ اﳋﻼﻳﺎ اﻟﺬاﻛﺮﻳﺔ .ﻛﻤﺎ ﻳﺒﲔ اﳉﺪول 2-2اﻟﺘﻘﻨﻴﺎت
اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﺼﻨﻴﻊ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ورﻣﻮزﻫﺎ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 104
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﺗﻘﺴﻢ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (PLDsﺣﺴﺐ اﻟﺒﻨﻴﺔ إﱃ ﺛﻼث ﻓﺮوع رﺋﻴﺴﺔ:
:(Simple Programmable Logic Devices) SPLDs -1اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﺴﻴﻄﺔ اﻟﺒﻨﻴﺔ.
:(Complex Programmable Logic Devices) CPLDs -2اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﻣﻌﻘﺪة اﻟﺒﻨﻴﺔ.
:(Field Programmable Gate Arrays) FPGAs -3ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً.
ﲣﺘﻠﻒ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﺜﻼﺛﺔ ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ ﻣﻦ ﺣﻴﺚ ﺗﻌﻘﻴﺪ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻛﻤﺎ أ�ﺎ ﺗﺸﱰك ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ ﺑﺎﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات .اﻟﺸﻜﻞ14-
اﻟﺸﻜﻞ 14-2اﺧﺘﻴﺎر اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﻨﺎءً ﻋﻠﻰ اﻟﻌﻼﻗﺔ ﺑﲔ درﺟﺔ ﺗﻌﻘﻴﺪ اﻟﻨﻈﺎم وﻛﻠﻔﺘﻪ
105 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﺗﺘﻔﺮع اﻟﻔﺮوع اﻟﺮﺋﻴﺴﺔ ﻟﻠﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ إﱃ ﻓﺮوع ﺛﺎﻧﻮﻳﺔ أﺧﺮى ﲣﺘﻠﻒ ﻋﻦ ﺑﻌﻀﻬﺎ ﻣﻦ ﺣﻴﺚ ﺗﺎرﻳﺦ اﻟﻈﻬﻮر واﻟﱰﻛﻴﺐ اﻟﺪاﺧﻠﻲ
ﻟﻠﺸﺮﳛﺔ .اﻟﺸﻜﻞ 15-2ﻳﺒﲔ اﻟﻔﺮوع اﻟﺮﺋﻴﺴﺔ وﻓﺮوﻋﻬﺎ اﻟﺜﺎﻧﻮﻳﺔ ﻟﻠﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ.
PLDs
XPLD XPGA
اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﺴﻴﻄﺔ اﻟﺒﻨﻴﺔ ):(Simple Programmable Logic Devices 1-4-8-2
ﰎ ﺗﻄﻮﻳﺮ اﻟﺸﺮﳛﺔ اﻷوﱃ ﻟﻠـ SPLDﰲ ﻋﺎم 1975ﺑﻮاﺳﻄﺔ ﺷﺮﻛﺔ Monolitic Memories Inc.وﻛﺎﻧﺖ ﺗﺪﻋﻰ ﺑـ" Programmable
(PAL) "Array Logic؛ ﻫﺬﻩ اﻟﺸﺮﳛﺔ ﻛﺎﻧﺖ وﻗﺘﻬﺎ اﻟﻨﻮع اﻷول ﻣﻦ ﺷﺮاﺋﺢ اﻟـ.PLD
ﺗﺘﺄﻟﻒ ﺷﺮﳛﺔ اﻟـ SPLDﻣﻦ وﺣﺪﺗﲔ أو أﻛﺜﺮ ﻣﻦ اﳋﻼﻳﺎ اﳌﻴﻜﺮوﻳﺔ ) (macrocellsاﻟﱵ ﲢﻘﻖ اﻟﻮﻇﺎﺋﻒ اﳌﻨﻄﻘﻴﺔ اﳌﻄﻠﻮﺑﺔ .اﻷﺟﻴﺎل اﻟﻼﺣﻘﺔ
اﻟﱵ ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﻣﻦ ﺷﺮاﺋﺢ اﻟـ PLDﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻧﻔﺲ ﺑﻨﻴﺔ ﺷﺮاﺋﺢ اﻟـ PALاﻷوﱃ ﻣﻊ ﺑﻌﺾ اﻟﺘﺤﺴﻴﻨﺎت .اﻟﺸﻜﻞ 16-2ﻳﺒﲔ اﻟﺒﻴﻨﺔ اﻟﺪاﺧﻠﻴﺔ
ﻟـ Macrocellاﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﺸﺮﳛﺔ .[252]CoolRunner-II
ﺗﺘﻀﻤﻦ ﻋﺎﺋﻠﺔ اﻟـ SPLDﺛﻼث ﺗﻘﻨﻴﺎت ﳐﺘﻠﻔﺔ وﻫﻲ]PLAs, PALs, GALs :[253؛ ﲨﻴﻊ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺎت ﳍﺎ ﻧﻔﺲ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ اﻟﱵ ﳍﺎ
ﻛﺜﺎﻓﺔ ﻣﻨﺨﻔﻀﺔ ﻣﻦ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ .اﳉﺪول 3-2ﻳﺒﲔ اﳋﺼﺎﺋﺺ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ.[254]SPLD
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 106
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
اﻟﺘﻘﻨﻴﺘﲔ GAL&PALﺗﺸﻜﻼن اﻟﻨﻤﻮذج اﻷوﱄ ﻟﺘﻘﻨﻴﺔ اﻟـ ،PLDأﻣﺎ اﻟﺘﻘﻨﻴﺔ PLAﻓﺘﺸﻜﻞ اﻟﻨﻤﺎذج اﳌﺘﻘﺪﻣﺔ .ﰲ اﻟﻐﺎﻟﺐ ﺗﺘﻜﻮن اﻟﻮﺣﺪات
اﳌﻨﻄﻘﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﳍﺬﻩ اﻟﺘﻘﻨﻴﺎت اﻟﺜﻼﺛﺔ ﻣﻦ ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ANDأو ORواﻟﱵ ﺗﺴﺘﺨﺪم ﻟﺘﺼﻤﻴﻢ ﺑﻌﺾ أﻧﻮاع اﻟﺪارات اﳌﻨﻄﻘﻴﺔ اﻟﺮﻗﻤﻴﺔ
اﻟﺒﺴﻴﻄﺔ ،ﻛﻤﺎ ﲤﻠﻚ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات ﻣﺜﻞ :اﺳﺘﻬﻼك ﻣﻨﺨﻔﺾ ﻟﻠﻄﺎﻗﺔ ،ﻛﻠﻔﺔ ﻣﻨﺨﻔﻀﺔ ،ﺣﺠﻢ ﻏﻼف ﺷﺮﳛﺔ ﺻﻐﲑ ،ﻣﻮﺛﻮﻗﻴﺔ
ﻋﺎﻟﻴﺔ ،ﺳﻬﻮﻟﺔ ﰲ اﻟﺘﺼﻤﻴﻢ .ﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﻛﺒﺪﻳﻞ ﻻﺳﺘﺒﺪال اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ﻣﻦ اﻟﻌﺎﺋﻠﺔ .74xxxx
1-1-4-8-2ذاﻛﺮة اﻟﻘﺮاءة ﻓﻘﻂ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ :(Programmable Read only Memory) PROM
ﺗﻌﺘﱪ اﻟﺬاﻛﺮة PROMاﻟﻨﻤﻮذج اﻷول ﻟﻠﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ واﻟﺬي ﻇﻬﺮ ﻋﺎم .1970ﰎ ﺗﻄﻮﻳﺮ اﻟﺬاﻛﺮة PROMﺑﺎﻷﺻﻞ
ﻟﺘﻌﻤﻞ ﻛﺬاﻛﺮة ﺣﺎﺳﺐ ﺣﻴﺚ ﲣﺰن ﺗﻌﻠﻴﻤﺎت اﻟﱪﻧﺎﻣﺞ واﻟﻘﻴﻢ اﻟﺜﺎﺑﺘﺔ ،وﻛﺬﻟﻚ اﺳﺘﺨﺪﻣﻬﺎ أﻳﻀﺎً ﻣﻬﻨﺪﺳﻮ اﻟﱪﳎﺔ ﻹﳒﺎز ﻣﻬﺎم ﻣﻨﻄﻘﻴﺔ ﺑﺴﻴﻄﺔ
ﻣﺜﻞ اﳉﺪاول اﳌﺮﺟﻌﻴﺔ ،(Look-up-tables) LUTsﻛﻤﺎ ﳝﻜﻦ اﺳﺘﺨﺪﻣﻬﺎ ﻹﳒﺎز أي ﻛﺘﻠﺔ ﻣﻨﻄﻘﻴﺔ ﲢﺘﻮي ﻋﻠﻰ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺒﻮاﺑﺎت
اﳌﻨﻄﻘﻴﺔ ﻣﻦ أﺟﻞ ﻋﺪد ﳏﺪود ﻣﻦ اﳌﺪاﺧﻞ واﳌﺨﺎرج.
ﻟﻔﻬﻢ ﻣﺒﺪأ ﻋﻤﻞ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ؛ ﻓﺈﻧﻪ ﳝﻜﻦ أن ﻧﻌﺘﱪ أن اﻟﻌﻨﺼﺮ اﳌﻨﻄﻘﻲ ﻳﺘﺄﻟﻒ ﻣﻦ ﻣﺼﻔﻮﻓﺔ ﺛﺎﺑﺘﺔ ﻣﻦ ﺑﻮاﺑﺎت ” “ANDواﻟﱵ ﺗﻘﻮد ﻣﺼﻔﻮﻓﺔ
ﻣﻦ ﺑﻮاﺑﺎت ” “ORذات وﺻﻼت ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ .ﻓﺈذا أﺧﺬﻧﺎ ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ذاﻛﺮة PROMﺑﺜﻼﺛﺔ ﻣﺪاﺧﻞ وﺛﻼﺛﺔ ﳐﺎرج ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ
ﰲ اﻟﺸﻜﻞ ،17-2ﻓﺈن اﻟﻮﺻﻼت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﰲ ﻣﺼﻔﻮﻓﺔ ” “ORﳝﻜﻦ أن ﲢﺪد ﻃﺮﻳﻘﺔ وﺻﻠﻬﺎ ﻛﻮﺻﻼت ﻣﻨﺼﻬﺮة ) Fusible
،(Linksوﰲ ﺣﺎﻟﺔ ذاﻛﺮة ﻣﻦ اﻟﻨﻮع EPROMأو ذاﻛﺮة ﻣﻦ اﻟﻨﻮع EEPROMﻓﺈن ﻃﺮﻳﻘﺔ وﺻﻠﻬﺎ ﲢﺪد ﻛﻤﺼﻔﻮﻓﺔ ﺗﺮاﻧﺰﺳﺘﻮرات
EPROMأو ﻛﻤﺼﻔﻮﻓﺔ ﺧﻼﻳﺎ .EEPROM
اﻟﺸﻜﻞ 17-2ﲤﺜﻴﻞ ﻟﺬاﻛﺮة PROMﻏﲑ ﻣﱪﳎﺔ ﺗﺴﺘﺨﺪم ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ANDﳏﺪدة اﻟﻮﻇﻴﻔﺔ وﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ORﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ
107 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﻛﻤﺎ ﻫﻮ واﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ 17-2ﻓﺈن ﻛﻞ ﺑﻮاﺑﺔ ” “ANDﲤﻠﻚ ﺛﻼث ﻣﺪاﺧﻞ ﲤﺜﻞ اﳊﺎﻟﺔ اﳊﻘﻴﻘﻴﺔ أو ﻣﺘﻤﻢ اﳊﺎﻟﺔ ﻋﻠﻰ اﳌﺪاﺧﻞ ،a,b,c
وﺑﺸﻜﻞ ﳑﺎﺛﻞ ﻓﺈن ﻛﻞ ﺑﻮاﺑﺔ ” “ORﰲ ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ” “ORﲤﻠﻚ ﲦﺎﻧﻴﺔ ﻣﺪاﺧﻞ ،واﻟﱵ ﻫﻲ ﻋﺒﺎرة ﻋﻦ ﳐﺎرج ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت
اﻟـ” .“ANDﺑﻔﺮض أﻧﻨﺎ ﳓﺘﺎج إﱃ ﺑﻨﺎء اﻟﻜﺘﻠﺔ اﳌﻨﻄﻘﻴﺔ اﻟﻮﻇﻴﻔﻴﺔ اﳌﺒﻴﻨﺔ ﰲ اﻟﺸﻜﻞ 18-2وذﻟﻚ ﻣﻦ اﻟﻜﺘﻠﺔ اﻷﺳﺎﺳﻴﺔ اﳌﺒﻴﻨﺔ ﺑﺎﻟﺸﻜﻞ،17-2
ﻓﺈﻧﻨﺎ ﺳﻮف ﳓﺘﺎج ﻓﻘﻂ إﱃ ﺑﺮﳎﺔ اﻟﻮﺻﻼت اﳌﻨﺎﺳﺒﺔ ﰲ ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ORﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.19-2
إن ﻫﺬا اﳌﺜﺎل ﻫﻮ ﻣﺜﺎل ﺑﺴﻴﻂ ﺟﺪاً ﺣﻴﺚ أن ﺷﺮاﺋﺢ اﻟـ PROMاﳊﻘﻴﻘﻴﺔ ﲤﻠﻚ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺪاﺧﻞ واﳌﺨﺎرج ﲟﺎ ﻳﻜﻔﻲ ﻹﳒﺎز أي ﻋﻤﻠﻴﺔ
ﻣﻨﻄﻘﻴﺔ ﺗﺘﻄﻠﺐ ﻋﺪد ﻛﺒﲑ ﻣﻦ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ.
ﻋﻤﻮﻣﺎً ﻳﻌﺘﱪ اﺳﺘﺨﺪام اﻟـ PROMﻣﻔﻴﺪاً ﻹﳒﺎز ﻋﻤﻠﻴﺎت ﻣﻨﻄﻘﻴﺔ ﻣﺮﻛﺒﺔ ﲢﺘﻮي ﻋﻠﻰ ﻋﺪد ﻛﺒﲑ ﻣﻦ اﳉﺪاءات اﳌﻨﻄﻘﻴﺔ ﺑﻌﺪد ﻣﺪاﺧﻞ وﳐﺎرج
ﳏﺪود ،ﺣﻴﺚ ﻳﺮﻣﺰ ﻟﻠﺒﻮاﺑﺔ ” “ANDﺑﺎﻟﺮﻣﺰ )”&“( وﻟﻠﺒﻮاﺑﺔ ORﺑﺎﻟﺮﻣﺰ )”|“( وﻟﻠﺒﻮاﺑﺔ NOTﺑﺎﻟﺮﻣﺰ )”!“( وﻟﻠﺒﻮاﺑﺔ XORﺑﺎﻟﺮﻣﺰ
)”^“(.
اﺧﺘﺼﺎر ﻟـ :اﳌﺼﻔﻮﻓﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (Programmable logic arraysواﻟﱵ أﺻﺒﺤﺖ ﻣﺘﺎﺣﺔ ﻟﻠﻤﺮة اﻷوﱃ ﻋﺎم ،1975
ٌ وﻫﻲ
وﻗﺪ ﻛﺎﻧﺖ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺑﲔ ﻓﺮوع اﻟـ SPLDاﻟﺘﻘﻨﻴﺔ اﻷﻛﺜﺮ ﻗﺎﺑﻠﻴﺔ ﻟﻠﺘﺸﻜﻴﻞ ) (configurableوذﻟﻚ ﻟﻜﻮن ﻛﻼ ﻣﺼﻔﻮﻓﱵ اﻟـ& AND
ORﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 108
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﻟﻨﻌﺘﱪ أن ﻟﺪﻳﻨﺎ ﺷﺮﳛﺔ PLAﺑﺜﻼث ﻣﺪاﺧﻞ وﺛﻼث ﳐﺎرج ،وﺧﻼﻓﺎً ﻟﻠـ PROMﻓﺈن ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﰲ ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت AND
ﻣﺴﺘﻘﻞ ﻋﻦ ﻋﺪد ﻣﺪاﺧﻞ اﻟﺸﺮﳛﺔ ،ﺣﻴﺚ ﳝﻜﻦ ﺑﺴﻬﻮﻟﺔ إﺿﺎﻓﺔ ﻋﺪد أﻛﱪ ﻣﻦ ﺑﻮاﺑﺎت ANDﺑﺈﺿﺎﻓﺔ اﳌﺰﻳﺪ ﻣﻦ اﻷﺳﻄﺮ ﻟﻠﻤﺼﻔﻮﻓﺔ ،وﺑﺎﳌﺜﻞ
ﻓﺈن ﻋﺪد ﺑﻮاﺑﺎت ORﰲ ﻣﺼﻔﻮﻓﺔ ﺑﻮاﺑﺎت ORﻣﺴﺘﻘﻞ ﻋﻦ ﻋﺪد ﻣﺪاﺧﻞ اﻟﺸﺮﳛﺔ ،وﻣﺴﺘﻘﻞ ﻋﻦ ﻋﺪد ﺑﻮاﺑﺎت ANDﰲ ﻣﺼﻔﻮﻓﺔ
ﺑﻮاﺑﺎت ،ANDﻫﺬا ﺑﺎﻹﺿﺎﻓﺔ إﱃ إﻣﻜﺎﻧﻴﺔ إﺿﺎﻓﺔ اﳌﺰﻳﺪ ﻣﻦ ﺑﻮاﺑﺎت ORﺑﺈﺿﺎﻓﺔ اﳌﺰﻳﺪ ﻣﻦ اﻷﻋﻤﺪة .ﻳﻮﺿﺢ اﻟﺸﻜﻞ 20-2اﳊﺎﻟﺔ ﻏﲑ
اﳌﱪﳎﺔ ﻟﺸﺮﳛﺔ اﻟـ.PLA
𝑊 = (𝑎 & 𝑐) | (! 𝑏 & ! 𝑐), 𝑋 = (𝑎 & 𝑏 & 𝑐) | (! 𝑏 & ! 𝑐), )𝑐 & 𝑏 & 𝑎( = 𝑌
ﻓﺈﻧﻪ ﳝﻜﻦ ﲢﻘﻴﻖ اﳌﻌﺎدﻻت ﺑﱪﳎﺔ اﻟﻮﺻﻼت اﳌﻨﺎﺳﺒﺔ ﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ ﰲ اﻟﺸﻜﻞ.21-2
اﺳﺘﺨﺪﻣﺖ ﺷﺮاﺋﺢ اﻟـ PLAﻋﻤﻠﻴﺎً ﰲ ﻋﺪد ﻛﺒﲑ ﺟﺪاً ﻣﻦ اﻟﺘﺼﻤﻴﻤﺎت اﳌﻨﻄﻘﻴﺔ ﻟﱵ ﲤﺘﺎز ﻣﻌﺎدﻻﻬﺗﺎ اﳌﻨﻄﻘﻴﺔ ﺑﻌﺪد ﻛﺒﲑة ﻣﻦ اﳉﺪاءات ،واﻟﱵ
ﳝﻜﻦ أن ﺗﺴﺘﺨﺪم أﻳﻀﺎً اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺨﺎرج؛ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ذﻟﻚ ﻓﺈن ﺷﺮاﺋﺢ اﻟـ PLAﱂ ﲢﻘﻖ اﻧﺘﺸﺎراً ﲡﺎرﻳﺎً ﻛﺒﲑاً؛ وذﻟﻚ ﻟﻜﻮ�ﺎ ﲤﻠﻚ
ﺑﻌﺾ اﳋﺼﺎﺋﺺ ﻏﲑ اﳌﺮﻏﻮﺑﺔ ﻣﺜﻞ وﺟﻮد ﻣﺼﻔﻮﻓﺔ ﻣﻦ ﺑﻮاﺑﺎت ANDﺗﻘﻮد ﻣﺼﻔﻮﻓﺔ ﻣﻦ ﺑﻮاﺑﺎت ،ORﻻﺣﻘﺎً ﻇﻬﺮت ﺑﻌﺾ اﻟﺒﲎ اﻷﺧﺮى
ﻣﺜﻞ ﻣﺼﻔﻮﻓﺔ ﻣﻦ ﺑﻮاﺑﺎت ANDﺗﻘﻮد ﻣﺼﻔﻮﻓﺔ ﻣﻦ ﺑﻮاﺑﺎت .NORوﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أﻧﻪ ﻣﻦ اﳌﻤﻜﻦ ﻧﻈﺮﻳﺎً ﺑﻨﺎء ﻣﺜﻞ ﻫﺬﻩ اﻷﺟﻬﺰة ﺑﺒﲎ
ﻣﻨﻄﻘﻴﺔ ﳐﺘﻠﻔﺔ ﻣﺜﻞ OR-AND :أو OR-ANDأو ،NAND-NORإﻻ أن ﻫﺬﻩ اﻟﺒﲎ ﻛﺎﻧﺖ ﻧﺎدرة أو ﻏﲑ ﻣﻮﺟﻮدة ،وذﻟﻚ
ﻟﻜﻮن اﻟﺸﺮاﺋﺢ اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺑﲎ AND-ORأو ﺣﱴ AND-NORﲤﺜﻞ ﻏﺎﻟﺒﺎً ﲟﻌﺎدﻻت ﻣﻨﻄﻘﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﳎﻤﻮع ﺟﺪاءات
ﳝﻜﻦ ﺑﻨﺎؤﻫﺎ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ﺑﺴﻬﻮﻟﺔ ،ﰲ ﺣﲔ أن اﻟﺒﲎ اﻷﺧﺮى ﲤﺜﻞ ﲟﻌﺎدﻻت ﻣﻨﻄﻘﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺟﺪاء ﳎﺎﻣﻴﻊ ،وإن ﺑﻨﺎء ﻫﺬﻩ اﻟﻌﻤﻠﻴﺔ ﻋﻠﻰ
اﻟﺸﺮﳛﺔ ﲝﺎﺟﺔ إﱃ ﺗﻘﻨﻴﺎت ﺧﺎﺻﺔ.
109 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
أﺣﺪ ﻣﺴﺎوئ ﺷﺮاﺋﺢ اﻟـ PLAاﻟﺸﺎﺋﻌﺔ ﻫﻲ ﻛﻮن اﻹﺷﺎرة ﺗﺴﺘﻐﺮق زﻣﻦ ﻃﻮﻳﻞ ﻧﺴﺒﻴﺎً ﻟﺘﻤﺮ ﻋﱪ اﻟﻮﺻﻼت اﳌﱪﳎﺔ ﺧﻼﻓﺎً ﻟﻸﺟﻬﺰة اﻟﺴﺎﺑﻘﺔ
ﳌﺸﺎﻬﺑﺔ ،وﻫﻜﺬا ﻓﺈن ﺣﻘﻴﻘﺔ أن ﻛﻞ ﻣﻦ ﻣﺼﻔﻮﻓﱵ AND-ORﻛﺎﻧﺘﺎ ﻗﺎﺑﻠﺘﲔ ﻟﻠﱪﳎﺔ ﺟﻌﻠﺖ اﻟـ PLAأﺑﻄﺄ ﻧﺴﺒﻴﺎً ﻣﻦ .PROM
ﻣﻦ ﺣﻴﺚ اﳌﺒﺪأ ﻓﺈن ﺷﺮاﺋﺢ اﻟـ PALذات ﺑﻨﻴﺔ ﻣﺒﺎﻳﻨﺔ ﻟﺸﺮاﺋﺢ اﻟـ PROMوذﻟﻚ ﻷ�ﺎ ﲤﻠﻚ ﻣﺼﻔﻮﻓﺔ ANDﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ وﻣﺼﻔﻮﻓﺔ OR
ﻣﻌﺮﻓﺔ ﻣﺴﺒﻘﺎً .اﻟﺸﻜﻞ 22-2ﻳﺒﲔ ﺷﺮﳛﺔ PALﺑﺜﻼث ﻣﺪاﺧﻞ وﺛﻼث ﳐﺎرج ﻗﺒﻞ اﻟﱪﳎﺔ.
ﺗﺘﻤﻴﺰ ﺷﺮاﺋﺢ اﻟـ PALﻣﻘﺎرﻧﺔ ﻣﻊ ﺷﺮاﺋﺢ اﻟـ PLAﺑﺴﺮﻋﺔ أﻛﱪ ﻟﻮﺟﻮد ﻣﺼﻔﻮﻓﺔ واﺣﺪة ﻓﻘﻂ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) ،(ANDإﻻ أن ﻫﺬﻩ اﳌﻴﺰة ﺟﻌﻠﺖ
ﺷﺮاﺋﺢ اﻟـ PALذات ﳏﺪودﻳﺔ ﰲ ﻋﺪد اﳉﺪاءات اﻟﱵ ﳚﺮي ﻋﻠﻴﻬﺎ اﳉﻤﻊ اﳌﻨﻄﻘﻲ.
اﻟﺸﻜﻞ 22-2ﺷﺮﳛﺔ PALﻏﲑ ﻣﱪﳎﺔ )ﻣﺼﻔﻮﻓﺔ ANDﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ وﻣﺼﻔﻮﻓﺔ ORﻣﻌﺮﻓﺔ ﻣﺴﺒﻘﺎً(
.(programmable
اﻟﻌﻨﺼﺮ اﳌﻨﻄﻘﻲ اﻟﻘﺎﺑﻞ ﻟﻠﱪﳎﺔ ﻣﻌﻘﺪ اﻟﺒﻨﻴﺔ:(Complex Programmable Logic Device) CPLD 2-4-8-2
ﺷﻬﺪت �ﺎﻳﺔ اﻟﺴﺒﻌﻴﻨﺎت وﺑﺪاﻳﺔ اﻟﺜﻤﺎﻧﻴﻨﺎت ﻇﻬﻮر ﺷﺮاﺋﺢ PLDأﻛﺜﺮ ﺗﻌﻘﻴﺪاً وﻋﺮﻓﺖ وﻗﺘﻬﺎ ﺑـ ،CPLDوﻗﺪ ﻇﻬﺮ وﻗﺘﻬﺎ ﻋﻨﺼﺮ اﻟـMega-
PALواﻟﺬي ﻫﻮ ﻋﺒﺎرة ﻋﻦ ﺷﺮﳛﺔ ﺑـ 84ﻗﻄﺐ ﻛﺎﻧﺖ ﲢﻮي ﻋﻠﻰ أرﺑﻊ ﺷﺮاﺋﺢ PALﻣﻊ ﺑﻌﺾ اﻟﺘﻮﺻﻴﻼت اﻟﺪاﺧﻠﻴﺔ ﻟﻮﺻﻞ ﻫﺬﻩ اﻟﺸﺮاﺋﺢ
ﻣﻌﺎً .ﻟﺴﻮء اﳊﻆ ﻓﺈن اﻟـ Mega-PALﻛﺎن ﻳﺴﺘﻬﻠﻚ ﻛﻤﻴﺎت ﻛﺒﲑة ﻣﻦ اﻟﻄﺎﻗﺔ ،ﻛﻤﺎ أﻧﻪ ﻳﻘﺪم ﻣﻴﺰات ﳏﺪودة ﻣﻘﺎرﻧﺔ ﻣﻊ اﺳﺘﺨﺪام أرﺑﻌﺔ
ﺷﺮاﺋﺢ PALﻣﻨﻔﺼﻠﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 110
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
إن اﻟﻘﻔﺰة اﻟﻜﺒﲑة ﺣﺪﺛﺖ ﻋﺎم 1984ﻋﻨﺪﻣﺎ ﻗﺪﻣﺖ ﺷﺮﻛﺔ Alteraﺷﺮﳛﺔ CPLDﺗﻌﺘﻤﺪ ﻋﻠﻰ اﳌﺰج ﺑﲔ ﺗﻘﻨﻴﺔ CMOSوﺗﻘﻨﻴﺔ
،EPROMواﻟﺬي ﺑﺪورﻩ ﻣﻜﻦ ﻣﻦ إﳒﺎز ﻋﺪد ﻫﺎﺋﻞ ﻣﻦ اﻟﺘﻮاﺑﻊ ﻣﻦ ﺣﻴﺚ اﻟﻜﺜﺎﻓﺔ واﻟﺘﻌﻘﻴﺪ ﻣﻦ أﺟﻞ اﺳﺘﻬﻼك ﻣﻨﺨﻔﺾ ﻧﺴﺒﻴﺎً ﻟﻠﻄﺎﻗﺔ.
إن اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ CPLDﺗﺘﺄﻟﻒ ﻣﻦ ﻋﺪة وﺣﺪات SPLDﺗﺘﺸﺎرك ﻣﺼﻔﻮﻓﺔ وﺻﻞ ﻣﺮﻛﺰﻳﺔ (Interconnect Matrix) ICM
ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ،وﻛﻠﻬﺎ ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ 23-2واﻟﺸﻜﻞ.24-2
ﺑﺎﻹﺿﺎﻓﺔ إﱃ إﻣﻜﺎﻧﻴﺔ ﺑﺮﳎﺔ وﺣﺪات اﻟـ SPLDاﳌﺴﺘﻘﻠﺔ ،ﻓﺈن اﻟﻮﺻﻼت ﺑﲔ اﻟﻮﺣﺪات ﳝﻜﻦ أن ﺗﱪﻣﺞ ﺑﻮاﺳﻄﺔ ﻣﺼﻔﻮﻓﺔ اﻟﻮﺻﻞ اﻟﺪاﺧﻠﻲ
اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ،ﻛﻤﺎ أن ﻣﺼﻔﻮﻓﺔ اﻻﺗﺼﺎل اﻟﺪاﺧﻠﻲ ﲢﺘﻮي ﻋﻠﻰ اﻟﻜﺜﲑ ﻣﻦ اﻷﺳﻼك ) 100ﻣﺜﻼً( ،وﻫﺬا أﻛﺜﺮ ﳑﺎ ﳝﻜﻦ ﻟﻮﺣﺪة SPLDأن
ﺗﺘﻌﺎﻣﻞ ﻣﻌﻪ ،ﺣﻴﺚ ﳝﻜﻦ ﻟﻮﺣﺪة اﻟـ SPLDأن ﺗﺘﻌﺎﻣﻞ ﻣﻊ ﻋﺪد ﳏﺪود ﻣﻦ اﻹﺷﺎرات ) 30ﻣﺜﻼً( وﺑﺬﻟﻚ ﻓﺈن وﺣﺪة اﻟـ SPLDﺗﺘﺼﻞ إﱃ
ﻣﺼﻔﻮﻓﺔ اﻻﺗﺼﺎل اﻟﺪاﺧﻠﻲ ﺑﻮاﺳﻄﺔ ﻧﺎﺧﺐ ﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ) ،(Programmable Multiplexerوﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ اﻟﺸﺮﻛﺔ اﻟﺼﺎﻧﻌﺔ ﻓﺈن
ﻧﻮاﺧﺐ اﻟـ CPLDاﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﳝﻜﻦ أن ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ EPROMأو ﺗﻘﻨﻴﺔ اﻟـ EEPROMأو ﺗﻘﻨﻴﺔ اﻟـ Flashأو ﺧﻼﻳﺎ
اﻟـ .SRAMاﻟﺸﻜﻞ 25-2ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﻨﻮاﺧﺐ اﻟـ CPLDاﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ.
111 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ”:(Field Programmable Gate Array) “FPGA 3-4-8-2
ﰲ ﻋﺎم 1984أﻋﻠﻨﺖ ﺷﺮﻛﺔ Xilinxاﻟﺮاﺋﺪة ﻋﻦ ﺗﻄﻮﻳﺮﻫﺎ ﻟﺼﻨﻒ ﺟﺪﻳﺪ ﻣﻦ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ واﻟﺬي أﻃﻠﻘﺖ ﻋﻠﻴﻪ
” “FPGAاﺧﺘﺼﺎراً ﻟـ” .“Field Programmable Gate Arrayإن ﺷﺮاﺋﺢ اﻟـ FPGAاﻷوﱃ ) (XC2064ﻛﺎﻧﺖ ﺗﺴﺘﺨﺪم ﺧﻼﻳﺎ
SRAMﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ ﺗﺮاﻧﺰﺳﺘﻮرات CMOSوﲢﻮي ﻋﻠﻰ ﺑﻀﻊ آﻻف ﻣﻦ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 112
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﻣﻊ �ﺎﻳﺔ اﻟﻘﺮن اﻟﻌﺸﺮﻳﻦ ﺗﻄﻮرت ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﺑﺸﻜﻞ ﻛﺒﲑ ﺟﺪاً ﺣﻴﺚ ﲡﺎوز ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة ﺛﻼث ﻣﻼﻳﲔ وﻧﺼﻒ
اﳌﻠﻴﻮن ﺑﻮاﺑﺔ ،وﻏﺪت ﺷﺮاﺋﺢ اﻟـ FPGAﳏﻮر اﻫﺘﻤﺎم ﲨﻴﻊ اﻟﻘﻄﺎﻋﺎت اﻟﺼﻨﺎﻋﻴﺔ واﻟﺘﺠﺎرﻳﺔ وأﺻﺒﺤﺖ ﺣﱴ أﻟﻌﺎب اﻷﻃﻔﺎل ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻫﺬﻩ
اﻟﺘﻘﻨﻴﺔ.
إن ﺷﺮاﺋﺢ اﻟـ FPGAاﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ Antifuse, Fusible, ROMﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﳌﺮة واﺣﺪة ﻓﻘﻂ وﻳﺸﺎر إﻟﻴﻬﺎ ﺑـ ،OTPأﻣﺎ ﺑﺎﻗﻲ
اﻟﺸﺮاﺋﺢ ذات اﻟﺘﻘﻨﻴﺎت ،SRAM, EEPROM, FLASHﻓﻴﻤﻜﻦ إﻋﺎدة ﺑﺮﳎﺘﻬﺎ/ﺿﺒﻄﻬﺎ.
ﺗﺆﻣﻦ ﺗﻘﻨﻴﺔ اﻟـ FPGAﻣﺮوﻧﺔ ﻛﺒﲑة ﰲ اﻟﺘﺼﻤﻴﻢ وﻛﻠﻔﺔ ﻣﻨﺨﻔﻀﺔ إﺿﺎﻓﺔ إﱃ ذﻟﻚ ﻓﺈن ﺗﻘﻨﻴﺔ اﻟـ FPGAﳝﻜﻦ أن ﺗﺴﺘﺨﺪم ﻟﺘﺤﻘﻴﻖ أي وﻇﻴﻔﺔ
ﻣﻨﻄﻘﻴﺔ ﳝﻜﻦ ﲢﻘﻴﻘﻬﺎ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ ASICsإﺿﺎﻓﺔ إﱃ إﻣﻜﺎﻧﻴﺔ ﲢﻘﻴﻖ وﻇﺎﺋﻒ ﻣﻨﻄﻘﻴﺔ ﻣﺘﻘﺪﻣﺔ )MCUs, Memories, High-
… ،(speed Buss, etcوﻛﻞ ﻫﺬا ﳝﻜﻦ إﻋﺎدة ﺿﺒﻄﻪ ﺑﺸﻜﻞ ﻛﻠﻲ أو ﺟﺰﺋﻲ ) (Partial re-configurableﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ
اﻟـ .FPGAاﳉﺪول 5-2ﻳﺒﲔ اﻟﺴﻤﺎت اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ.FPGA
113 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
اﻟﺮﻗﻤﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ،اﻟﻜﺜﲑ ﻣﻦ اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﺸﺮاﺋﺢ اﻟـ FPGAﺑﺪأت واﻧﺘﻬﺖ ﺧﻼل ﻣﺪة أﻗﻞ ﻣﻦ اﻟﻌﻤﺮ اﻻﻓﱰاﺿﻲ ﳌﺸﺮوع ﻛﺒﲑ.
اﻟﺸﻜﻞ 26-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺰﻣﲏ ﻟﻠﺸﺮﻛﺎت اﻟﻌﺎﳌﻴﺔ اﳌﺼﻨﻌﺔ ﻟﺘﻘﻨﻴﺔ اﻟـ PLD/FPGAﺣﻴﺚ ﳝﺜﻞ اﻟﻠﻮن اﻷﺧﻀﺮ اﻟﺸﺮﻛﺎت اﻟﻨﺎﺟﺤﺔ
ﳌﺴﺘﻤﺮة ﰲ ﻫﺬا ا ﺠﻤﻟﺎل ،وﳝﺜﻞ اﻟﻠﻮن اﻟﺮﻣﺎدي اﻟﺸﺮﻛﺎت اﻟﱵ ﻛﺎﻧﺖ ﻣﻮﺟﻮدة ﺳﺎﺑﻘﺎً وﻓﺸﻠﺖ ،وأﻣﺎ اﻟﻠﻮن اﻷزرق ﻓﻴﻤﺜﻞ اﻟﺸﺮﻛﺎت اﻟﻐﲑ
ﻣﺴﺘﻘﺮة ،واﻟﻠﻮن اﻷﺻﻔﺮ ﳝﺜﻞ اﻟﺸﺮﻛﺎت اﻟﱵ ﺑﺪأت ﺣﺪﻳﺜﺎً.
ﻋﻤﻮﻣﺎً ﻓﺈن اﻟﺸﺮﻛﺎت اﻟﻌﻤﻼﻗﺔ ﻫﻲ اﻟﱵ ﺗﻘﺪم أﻓﻀﻞ اﳊﻠﻮل وأﻓﻀﻞ اﻷﺳﻌﺎر .اﻟﺸﻜﻞ 27-2ﻳﺒﲔ اﻟﱰﺗﻴﺐ اﻟﻌﺎﳌﻲ ﻟﻠﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ وﻓﻘﺎً
ﻟﺘﻘﺮﻳﺮ Gartnerﻟﻌﺎم ،2008وﻳﺄﰐ ﻋﻠﻰ رأﺳﻬﺎ اﻟﺸﺮﻛﺔ اﻟﺮاﺋﺪة Xilinxواﻟﱵ ﲢﺘﻞ أﻛﺜﺮ ﻣﻦ 51%ﻣﻦ ﺳﻮق اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ
ﻟﻠﱪﳎﺔ.
إن ﻛﻞ ﻣﻦ ﻫﺬﻩ اﻟﺸﺮﻛﺎت ﺗﻌﺘﻤﺪ ﺑﻨﻴﺔ ﺧﺎﺻﺔ وﺗﻘﻨﻴﺎت ﳐﺘﻠﻔﺔ ﻟﻠﺸﺮاﺋﺢ اﻟﱵ ﺗﻘﻮم ﺑﺘﺼﻨﻴﻌﻬﺎ ،وﻻ ﻳﻮﺟﺪ اﺷﱰاك ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ إﻻ ﻣﻦ ﺣﻴﺚ اﻟﺒﻨﻴﺔ
اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ .FPGAاﳉﺪول 5-2ﻳﺒﲔ اﻟﺸﺮﻛﺎت اﻟﺮاﺋﺪة ﰲ ﺻﻨﺎﻋﺔ ﺷﺮاﺋﺢ اﻟـ FPGAواﻟﺘﻘﻨﻴﺎت اﻟﱵ ﺗﻌﺘﻤﺪﻫﺎ إﺿﺎﻓﺔً إﱃ ﻋﻨﺎوﻳﻦ اﳌﻮاﻗﻊ
ﻋﻠﻰ اﻷﻧﱰﻧﺖ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 114
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻌﺎﻳﲑ واﻟﻌﻮاﻣﻞ اﳍﺎﻣﺔ ﰲ اﺧﺘﻴﺎر اﻟﺸﺮﻛﺔ اﳌﺼﻨﻌﺔ ﻟﻠﺤﻠﻮل اﻟﺘﻜﻨﻠﻮﺟﻴﺔ ﻧﻮردﻫﺎ ﻓﻴﻤﺎ ﻳﻠﻲ]:[355,356
ﺗﻮﻓﲑ اﻷدوات واﳊﻠﻮل اﻟﱪﳎﻴﺔ ).(Tools
اﻟﺮﻳﺎدة ﰲ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ).(Technology leadership
ﺗﺰوﻳﺪ اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ اﳌﺘﻮاﻓﻘﺔ ﻣﻊ اﳊﻠﻮل اﻟﱪﳎﻴﺔ ).(IP offerings
ﺗﺰوﻳﺪ ﻣﻨﺘﺠﺎت ﲟﻮاﺻﻔﺎت وﻣﺰاﻳﺎ إﺑﺪاﻋﻴﺔ ).(Innovative product features
ﺗﻄﻮﻳﺮ أﺟﻴﺎل اﳌﻨﺘﺠﺎت ﺑﺸﻜﻞ ﻣﺘﺠﺪد ).(Solid roadmaps
دﻋﻢ وﺗﺼﻨﻴﻊ ﻋﺎﺋﻼت اﻟﺸﺮاﺋﺢ ﻟﻔﱰات ﻃﻮﻳﻠﺔ ﺟﺪاً ).(Longevity of parts
ﺗﻮﻓﺮ اﻟﻌﺪﻳﺪ ﻣﻦ ﻋﺎﺋﻼت اﻟﺸﺮاﺋﺢ ﲟﻴﺰات ﻋﺪﻳﺪة ).(Multiple families
اﻟﺪﻋﻢ اﻟﻔﲏ واﻟﺘﻘﲏ ).(Support
ﲟﺎ أن اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ اﻟﺮاﺋﺪة ﺗﻮﻓﺮ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻌﺎﺋﻼت اﻟﺸﺮاﺋﺢ اﻟﱵ ﺗﺘﻔﺎوت ﰲ ﻣﻴﺰﻬﺗﺎ وأداﺋﻬﺎ وﺳﻌﺮﻫﺎ ،ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري اﺧﺘﻴﺎر اﻟﺸﺮﳛﺔ
اﳌﻨﺎﺳﺒﺔ ﻟﻠﺘﻄﺒﻴﻖ ﻣﻦ ﺧﻼل ﲢﺪﻳﺪ اﻟﻌﻮاﻣﻞ اﳌﻄﻠﻮﺑﺔ] [355,356وﻫﻲ:
اﻟﺴﻌﺮ ).(Cost
اﳊﺠﻢ ).(Size
اﻟﻄﺎﻗﺔ ).(Power
اﻟﺴﺮﻋﺔ ).(Speed
ﻋﺪد أﻗﻄﺎب اﻟﺪﺧﻞ واﳋﺮج ).(I/O Count
اﳌﺼﺎدر اﳌﻨﻄﻘﻴﺔ اﻷﺳﺎﺳﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ).(Logic fabric resources
ﻣﺼﺎدر إدارة ﺗﺮدد ﻋﻤﻞ اﻟﺸﺮﳛﺔ ).(Clock management resources
ﻣﺼﺎدر اﻟﺬاﻛﺮة ).(Memory resources
دﻋﻢ ﻧﻮاة ﻣﺪﳎﺔ ﳌﻌﺎﰿ ﻣﺼﻐﺮ ).(Embedded processor support
115 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Field Programmable Gate Arrays | ًاﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎ
:(Typical vendor-specific names for FPGA) FPGA اﻷﻧﻮاع اﻟﺘﺠﺎرﻳﺔ ﻟﺸﺮاﺋﺢ اﻟـ4-3-4-8-2
اﻷﻧﻮاع اﻟﺘﺎﻟﻴﺔ ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺎت اﻟﺘﺼﻨﻴﻊ،ﺗﻘﻮم اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﻠﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﺘﻄﻮﻳﺮ أﻧﻮاع ﳐﺘﻠﻔﺔ ﳍﺬﻩ اﻟﺸﺮاﺋﺢ
.FPGA( وﲨﻴﻌﻬﺎ ﺗﻌﺘﻤﺪ ﺑﻨﻴﺔ اﻟـLattice, Altera, Xilinx, Atmel, etc... ) اﳌﺨﺘﻠﻔﺔ
(Field Programmable Gate Array) FPGA -
(System Programmable Gate Array) SPGA -
(eXpanded Programmable Gate Array) XPGA -
(Programmable ASIC) pASIC -
(Logic Cell Array) LCA -
(Masked Programmable Gate Array) MPGA -
(Field Programmable Object Array) FPOA -
(Field Programmable Analog Array) FPAA -
FPGAs Classification
.28-2[ ﻣﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ357] وﻓﻘﺎً ﻟﺜﻼث ﳏﺎور رﺋﻴﺴﻴﺔFPGAﻳﺘﻢ ﺗﺼﻨﻴﻒ اﻟﺘﻘﻨﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ
. اﻟﺒﻨﻴﺔ اﻟﺘﻨﻈﻴﻤﻴﺔ ﻟﻌﻨﺎﺻﺮ اﻟﺸﺮﳛﺔ-1
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 116
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﲣﺘﻠﻒ اﻟﺘﺼﻨﻴﻔﺎت ﻟﻠﺸﺮاﺋﺢ اﳌﺘﻮﻓﺮة ﰲ اﻟﺴﻮق ﺑﺎﺧﺘﻼف اﻟﺸﺮﻛﺔ اﳌﺼﻨﻌﺔ ،ﻓﻜﻞ ﺷﺮﻛﺔ ﻣﺼﻨﻌﺔ ﺗﺘﺒﲎ ﻋﻨﺼﺮاً ﻣﻦ ﻛﻞ واﺣﺪ ﻣﻦ ﻫﺬﻩ
اﻟﺘﺼﻨﻴﻔﺎت .اﳉﺪول 7-2ﻳﺒﲔ اﻟﺸﺮﻛﺎت اﻟﺮﺋﻴﺴﺔ واﻟﺒﲎ واﻟﺘﺼﻨﻴﻔﺎت اﳌﺨﺘﻠﻔﺔ ﻟﻠﺸﺮاﺋﺢ اﻟﱵ ﺗﻨﺘﺠﻬﺎ.
ﺗﻌﺘﱪ اﻟﺒﻨﻴﺔ اﻟﺘﻨﻈﻴﻤﻴﺔ ﻟﻌﻨﺎﺻﺮ اﻟﺸﺮﳛﺔ ﻫﻲ اﳌﻌﻴﺎر اﻷﺳﺎﺳﻲ اﻟﺬي ﳝﻴﺰ اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﺸﺮاﺋﺢ اﻟـ .FPGAﻋﻤﻮﻣﺎً ﻳﻮﺟﺪ أرﺑﻊ ﺑﲎ ﺗﻨﻈﻴﻤﻴﺔ
أﺳﺎﺳﻴﺔ ﻣﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ.30-2
ﲣﺘﻠﻒ ﻫﺬﻩ اﻟﺒﲎ ﻋﻦ ﺑﻌﻀﻬﺎ ﻣﻦ ﺣﻴﺚ ﻃﺮﻳﻘﺔ ﺗﻮزع اﻟﻮﺣﺪات واﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ إﺿﺎﻓﺔً إﱃ ﻃﺮﻳﻘﺔ رﺑﻂ ﻫﺬﻩ اﻟﻜﺘﻞ
واﻟﻮﺣﺪات .ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ﺗﺘﺒﲎ ﺷﺮﻛﺔ Xilinxوﺷﺮﻛﺔ Atmelاﻟﺒﻨﻴﺔ Symmetrical Arrayواﻟﱵ ﻓﻴﻬﺎ ﺗﺘﻮزع اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ
ﺷﻜﻞ ﻣﺼﻔﻮﻓﺔ ﺛﻨﺎﺋﻴﺔ وﺗﻜﻮن اﻟﻮﺻﻼت اﳌﻨﻄﻘﻴﺔ ﺑﲔ اﻟﻜﺘﻞ؛ اﻟﺸﻜﻞ .30-2ﰲ ﺣﲔ ﺗﺘﺒﲎ ﺷﺮﻛﺔ Actelاﻟﺒﻨﻴﺔ ﻣﻦ اﻟﻨﻮع Row-based
117 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Field Programmable Gate Arrays | ًاﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎ
.31-2 وﺗﻜﻮن اﻟﻮﺻﻼت اﳌﻨﻄﻘﻴﺔ ﻣﻮزﻋﺔ ﺑﲔ اﻟﺼﻔﻮف ﺑﺸﻜﻞ أﻓﻘﻲ؛ اﻟﺸﻜﻞ،واﻟﱵ ﻓﻴﻬﺎ ﺗﺘﻮزع اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺷﻜﻞ ﺻﻔﻮف ﻣﻨﺘﻈﻤﺔ
Plessey وﺷﺮﻛﺔActel ﺗﺘﺒﻨﺎﻫﺎ ﺷﺮﻛﺔSea-of-Gates اﻟﺒﻨﻴﺔ.32-2 اﳌﻮﺿﺤﺔ ﻋﻠﻰ اﻟﺸﻜﻞHierarchical ﺗﺘﺒﲎ اﻟﺒﻨﻴﺔAltera ﺷﺮﻛﺔ
.33-2وﻏﲑﻫﺎ؛ اﻟﺸﻜﻞ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 118
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
)( c
اﻟﺸﻜﻞ 34-2اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ(c) Actel ،(b) Altera ،(a) Xilinx -FPGA
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن ﺗﻘﻨﻴﺔ اﻟـ FPGAﺗﺸﱰك ﰲ ﻋﻨﺎﺻﺮ اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ اﳉﻮﻫﺮﻳﺔ اﳌﻮﺿﺤﺔ ﰲ اﻟﺸﻜﻞ ،34-2إﻻ أن ﻋﻨﺎﺻﺮ ﺑﻨﻴﺘﻬﺎ اﻟﺪاﺧﻠﻴﺔ
ﲣﺘﻠﻒ ﺣﺴﺐ اﻟﺸﺮﻛﺔ اﳌﺼﻨﻌﺔ واﻟﺘﻘﻨﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﺘﺼﻨﻴﻊ ،ﻓﻬﻲ ﲤﻠﻚ أﺣﺠﺎم ﻣﺘﻌﺪدة ﳐﺘﻠﻔﺔ ﰲ ﺧﺼﺎﺋﺼﻬﺎ اﻟﺒﻨﻴﻮﻳﺔ واﻟﻮﻇﻴﻔﻴﺔ.
اﻟﺸﻜﻞ 35-2ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺸﺎﻣﻠﺔ ﻟﺸﺮاﺋﺢ اﻟـ FPGAوﻋﻨﺎﺻﺮﻫﺎ اﻷﺳﺎﺳﻴﺔ واﳌﺘﻘﺪﻣﺔ وﻫﻲ:
119 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﺗﺘﺄﻟﻒ اﻟـ CLBﻣﻦ switch matrixﺗﺘﺼﻞ ﻣﻊ ” ،“4-Sliceوﻛﻞ Sliceﻣﻦ ﻫﺬﻩ اﻷرﺑﻊ ﳛﻮي ﻋﻠﻰ ”Look-up ) “2-LUT
.(Tableاﻟـ LUTsﳝﻜﻦ أن ﺗﺴﺘﺨﺪم ﻟﺘﺸﻜﻴﻞ ذاﻛﺮة RAMﺑﻌﺮض ،(RAM16) 16×1أو ﻣﺴﺠﻞ إزاﺣﺔ ﺑﻌﺮض 16-bit
) (SRL16واﻟﺬي ﳝﻜﻦ أن ﻳﻌﻤﻞ اﳌﺴﺠﻞ ﻛﻘﻼب أو ﻣﺎﺳﻚ ،ﻛﻤﺎ ﳛﻮي اﻟـ CLBﻋﻠﻰ دارات ﻣﻨﻄﻘﻴﺔ أﺧﺮى ) Multiplexer, Cary
ﻳﺘﻢ ﺗﻮﺿﻊ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (CLBsداﺧﻞ ﺷﺮﳛﺔ اﻟـ FPGAﻋﻠﻰ ﺷﻜﻞ ﻣﺼﻔﻮﻓﺔ ﺗﺘﺄﻟﻒ ﻣﻦ أﺳﻄﺮ وأﻋﻤﺪة ﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ
ﻋﻠﻰ اﻟﺸﻜﻞ ،37-2ﺣﻴﺚ أن ﻋﺪد ﻫﺬﻩ اﻟﻜﺘﻞ ﳜﺘﻠﻒ ﻣﻦ ﺷﺮﳛﺔ إﱃ أﺧﺮى .اﻟﺸﻜﻞ 38-2ﻳﺒﲔ ﻋﺪد اﻟـ CLBsواﳌﺼﺎدر اﳌﺘﻮﻓﺮة ﻋﻠﻰ
ﺷﺮاﺋﺢ اﻟـ FPGAﻟﻠﻌﺎﺋﻠﺔ .Xilinx Spartan-3E
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 120
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
اﻟﺸﻜﻞ 38-2اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ وﻋﻨﺎﺻﺮﻫﺎ اﳌﺘﻮﻓﺮة ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟـ FPGAﻟﻠﻌﺎﺋﻠﺔ Xilinx Spartan-3E
إن ﻛﻞ CLBﲢﻮي ﻋﻠﻰ أرﺑﻊ Slicesﻣﱰاﺑﻄﺔ داﺧﻠﻴﺎً ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ وﻣﻮزﻋﺔ ﻋﻠﻰ ﺷﻜﻞ أزواج ،ﲝﻴﺚ أن ﻛﻞ زوج ﰎ ﺗﻨﻈﻴﻤﻪ ﻛﻌﻤﻮد ﻣﻊ وﺣﺪة
ﻣﻨﻄﻘﻴﺔ ﻣﺴﺘﻘﻠﺔ ﻟﻠـ.Cary
ﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ 36-2ﻓﺈن اﻟﺰوج اﻷﻳﺴﺮ ﻳﺴﻤﻰ ﺑـ SLICEMوﻫﻮ ﻳﺪﻋﻢ اﻟﻮﻇﺎﺋﻒ اﳌﻨﻄﻘﻴﺔ ) (Logicواﻟﺘﺨﺰﻳﻨﻴﺔ
)(RAM16, SRL16؛ ﻛﻤﺎ أن اﻟﺰوج اﻷﳝﲔ ﻳﺴﻤﻰ ﺑـ SLICELوﻫﻮ ﻳﺪﻋﻢ ﻓﻘﻂ اﻟﻮﻇﺎﺋﻒ اﳌﻨﻄﻘﻴﺔ ،ذﻟﻚ ﻬﺑﺪف ﲣﻔﻴﺾ ﺣﺠﻢ
وﻛﻠﻔﺔ اﻟﻜﺘﻠﺔ .CLBاﻟﺸﻜﻞ 39-2ﻳﺒﲔ ﲤﺜﻴﻼً ﻟﻠﻤﺼﺎر اﳌﻮﺟﻮدة ﻋﻠﻰ ﻛﻼ اﻟـ.SLICEs
اﻟﺸﻜﻞ 40-2ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠـ ،SLICEMﺣﻴﺚ أن اﳋﻂ اﻷزرق اﳌﻨﻘﻂ ﳝﺜﻞ اﻟﻮﻇﺎﺋﻒ RAM16, SRL16ﺑﻴﻨﻤﺎ اﳋﻂ اﻷﺳﻮد
ﳝﺜﻞ اﻟﻮﻇﺎﺋﻒ اﳌﻨﻄﻘﻴﺔ ﻓﻘﻂ واﻟﺬي ﳝﺜﻞ اﻟـ.SLICEL
121 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﺗﺰود وﺣﺪات اﻟـ IOBsواﺟﻬﺔ اﺗﺼﺎل )أﺣﺎدﻳﺔ أو ﺛﻨﺎﺋﻴﺔ اﻻﲡﺎﻩ( ﺑﲔ اﻷﻗﻄﺎب اﻟﻔﻴﺰﻳﺎﺋﻴﺔ ﻟﻠﺸﺮﳛﺔ واﻟﺪارات اﳌﻨﻄﻘﻴﺔ اﻟﺪاﺧﻠﻴﺔ .اﻟﺸﻜﻞ41-2
ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟـ ،IOBsﺣﻴﺚ ﻳﻮﺟﺪ ﺛﻼث ﻣﺴﺎرات رﺋﻴﺴﻴﺔ ﻟﻺﺷﺎرات :ﻣﺴﺎر اﻟﺪﺧﻞ ) ،(Input pathﻣﺴﺎر اﳋﺮج
) ،(Output pathﻣﺴﺎر ﺣﺎﻟﺔ اﳌﻤﺎﻧﻌﺔ اﻟﻌﺎﻟﻴﺔ ).(3-State path
إن اﻷﻗﻄﺎب ﰲ وﺣﺪات اﻟﺪﺧﻞ واﳋﺮج إﺿﺎﻓﺔ إﱃ ﻛﻮ�ﺎ أﻗﻄﺎب ذات �ﺎﻳﺔ ﺣﺮة ) ،(Single-Ended IOsﻓﺈ�ﺎ ﳝﻜﻦ أن ﺗﻌﻤﻞ ﻛﺄﻗﻄﺎب
دﺧﻞ/ﺧﺮج ﺗﻔﺎﺿﻠﻴﺔ ) ،Differential IOsوﻫﻲ ﻋﺒﺎرة ﻋﻦ زوج ﻣﻦ اﻷﻗﻄﺎب ﺗﻜﻮن اﻹﺷﺎرة ﻋﻠﻰ أﺣﺪﻫﺎ ﻋﻜﺲ اﻵﺧﺮ وذﻟﻚ ﻬﺑﺪف
إﻟﻐﺎء اﻟﻀﺠﻴﺞ.
(LVCMOS, PCI-X, GTL, GTLPأو اﻷﻗﻄﺎب اﻟﺘﻔﺎﺿﻠﻴﺔ ”LVDS, BLVDS, ) “Differential signaling
– (ULVDS, LDT, LVPECLﺗﺘﻌﻠﻖ ﲟﺴﺘﻮﻳﺎت اﳉﻬﻮد واﳌﻼﺋﻤﺔ ﻣﻊ اﻹﺷﺎرات ،ﺣﻴﺚ ﳝﻜﻦ ﻟﺸﺮﳛﺔ واﺣﺪة أن ﺗﺪﻋﻢ ﳎﺎل واﺳﻊ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 122
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
ﻣﻦ اﳉﻬﻮد .1.2V, 1.5V, 1.8V, 2.5V, 3.3Vإﺿﺎﻓﺔ إﱃ ذﻟﻚ ﻓﺈن ﻫﺬﻩ اﻷﻗﻄﺎب ﲤﻠﻚ ﻣﻘﺎوﻣﺎت داﺧﻠﻴﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ – ﳝﻜﻦ
ﺗﻌﻴﻴﻨﻬﺎ ﺑﺮﳎﻴﺎً ﻛﻤﻘﺎوﻣﺎت رﻓﻊ ) ،(pull-upأو ﻣﻘﺎوﻣﺎت ﺳﺤﺐ ) ،(pull-downأو ﻣﻘﺎوﻣﺎت ﻃﺮﻓﻴﺔ ﰲ ﺣﺎل اﻷزواج اﻟﺘﻔﺎﺿﻠﻴﺔ.
إن اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻟﺒﻮاﺑﺎت اﻟﺪﺧﻞ واﳋﺮج ) (IOBsﻳﺘﻢ ﺗﻨﻈﻴﻤﻬﺎ وﻓﻖ ﻣﺎ ﻳﺴﻤﻰ ﺑـ – Banksاﳌﻌﲎ ﳑﺎﺛﻞ ﳌﺎ ﻫﻮ ﻋﻠﻴﻪ ﰲ اﳌﺘﺤﻜﻤﺎت
واﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة واﳌﻘﺼﻮد ﻫﻮ اﻟﺒﻮاﺑﺎت ﻋﻠﻰ أﻃﺮاف اﻟﺸﺮﳛﺔ – وﳜﺘﻠﻒ ﻋﺪد ﻫﺬﻩ اﻟـ Banksﻣﻦ ﺷﺮﳛﺔ إﱃ أﺧﺮى ،وﳝﻜﻦ أن ﻳﺼﻞ ﻋﺪد
اﻷﻗﻄﺎب ﰲ ﻛﻞ Bankإﱃ ،IOs-40ﻛﻤﺎ أن اﻷﻗﻄﺎب ﺿﻤﻦ اﻟـ Bankﳝﻜﻦ أن ﻳﺘﻢ ﺗﻌﻴﻴﻨﻬﺎ ﺑﺸﻜﻞ ﻣﺴﺘﻘﻞ ﻟﺘﺪﻋﻢ ﻧﻈﺎم ﺟﻬﻮد
ﻗﻴﺎﺳﻲ ﻣﻌﲔ واﻟﺬي ﺑﺪورﻩ ﻳﺴﻤﺢ ﻋﻤﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﺷﺮاﺋﺢ اﻟـ FPGAﰲ إﻧﺸﺎء اﺗﺼﺎل ﺑﲔ ﻋﺪة أﺟﻬﺰة ذات أﻧﻈﻤﺔ ﺟﻬﻮد ﻗﻴﺎﺳﻴﺔ ﳐﺘﻠﻔﺔ.
اﻟﺸﻜﻞ 42-2ﻳﺒﲔ ﲤﺜﻴﻼً ﻟﻠـ Banksﻋﻠﻰ أﻃﺮاف ﺷﺮﳛﺔ FPGAﺣﻴﺚ ﲢﻮي اﻟﺸﺮﳛﺔ ﻋﻠﻰ .Bank0~Bank7
123 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
إن ﻣﻌﻈﻢ اﻟﺘﻄﺒﻴﻘﺎت ﲢﺘﺎج ﺑﺸﻜﻞ أﺳﺎﺳﻲ إﱃ اﺳﺘﺨﺪام اﻟﺬواﻛﺮ ،ﻟﺬﻟﻚ ﻳﺘﻢ ﺗﺰوﻳﺪ ﺷﺮاﺋﺢ اﻟـ FPGAﺑﻮﺣﺪات )ﻛﺘﻞ( ﻣﻨﻄﻘﻴﺔ ﻟﺬاﻛﺮة
ﻣﺪﳎﺔ ﻣﻦ اﻟﻨﻮع RAMﺗﺴﻤﻰ .ERAM :ﺗﺘﻀﻤﻦ ﺷﺮﳛﺔ اﻟـ FPGAﻣﻦ ﺑﻀﻊ وﺣﺪات BRAMﻣﺪﳎﺔ إﱃ آﻻف اﻟﻮﺣﺪات وذﻟﻚ
ﺣﺴﺐ اﻟﺸﺮﳛﺔ اﳌﺴﺘﺨﺪﻣﺔ ،وﺗﺘﻮﺿﻊ وﺣﺪات اﻟﺬاﻛﺮة RAMﺿﻤﻦ اﻟﺸﺮﳛﺔ إﻣﺎ ﻋﻠﻰ أﻃﺮاف اﻟﺸﺮﳛﺔ اﻟﺪاﺧﻠﻴﺔ ،أو ﺗﻨﻈﻢ ﰲ أﻋﻤﺪة ﺑﲔ
اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ ﰲ اﻟﺸﻜﻞ 43-2واﻟﺸﻜﻞ.44-2
اﻟﺸﻜﻞ 43-2ﺗﻮﺿﻊ ﻋﻠﻰ ﺷﻜﻞ أﻋﻤﺪة ﻟﻜﺘﻞ اﻟﺬاﻛﺮة RAMاﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـFPGA
إن ﻛﺘﻞ اﻟﺬاﻛﺮة BRAMﻳﺘﻢ ﺗﻨﻈﻴﻤﻬﺎ داﺧﻞ ﺷﺮﳛﺔ اﻟـ FPGAإﻣﺎ ﻛﻜﺘﻞ ﺑﺴﻌﺔ 18KBأو 36KBأو ،72KBﺣﻴﺚ ﳝﻜﻦ أن
ﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻟﻜﺘﻞ ٍ
ﻛﻞ ﻋﻠﻰ ﺣﺪى ﺑﺸﻜﻞ ﻣﺴﺘﻘﻞ ،أو ﳝﻜﻦ دﳎﻬﺎ ﻟﺘﺸﻜﻴﻞ ﻛﺘﻠﺔ ذاﻛﺮﻳﺔ ﻛﺒﲑة ﺗﺴﺘﺨﺪم ﻟﻠﻌﺪﻳﺪ ﻣﻦ اﻷﻏﺮاض ﻣﺜﻞ
اﻟـ (First In First Out) FIFOوﻏﲑﻫﺎ ﻣﻦ وﻇﺎﺋﻒ اﻟﺘﺨﺰﻳﻦ واﻟﺘﻜﺪﻳﺲ .اﻟﺸﻜﻞ 45-2ﻳﺒﲔ ﳐﻄﻂ اﻟﻜﺘﻠﺔ اﳌﻨﻄﻘﻴﺔ BRAM-18KB
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 124
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
وﺣﺪة إدارة ﺗﺮدد ﻋﻤﻞ اﻟﺸﺮﳛﺔ ”:(Digital Clock Management) “DCM 4-6-3-4-8-2
ﻳﺘﻢ ﻗﻴﺎدة اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﳌﺘﺰاﻣﻨﺔ داﺧﻞ ﺷﺮﳛﺔ اﻟـ FPGAﺑﻮاﺳﻄﺔ ﻧﺒﻀﺎت ﺳﺎﻋﺔ ﻣﺮﺟﻌﻴﺔ ﻳﺘﻢ ﺗﻮﻟﻴﺪﻫﺎ ﺑﺎﺳﺘﺨﺪام ﻫﺰاز ﻛﺮﻳﺴﺘﺎﱄ ﺧﺎرﺟﻲ
ﻣﻮﺻﻮل ﻣﻊ ﺷﺮﳛﺔ اﻟـ FPGAﻣﻦ ﺧﻼل ﻗﻄﺐ ﺧﺎص.
ﻟﻨﻌﺘﱪ ﲤﺜﻴﻼً ﺑﺴﻴﻄﺎً ﻟﻔﺮوع إﺷﺎرة اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ ) (Clockواﳌﺴﺠﻼت اﳌﺘﺼﻠﺔ ﻣﻌﻪ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ46-2؛ ﻧﻼﺣﻆ أن إﺷﺎرة
اﻟـ Clockاﻟﺮﺋﻴﺴﻴﺔ ﺗﺘﻔﺮع وﺗﺘﻮزع داﺧﻞ اﻟﺸﺮﳛﺔ ﻟﺘﺼﻞ إﱃ ﻛﺎﻓﺔ اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﳌﺘﺰاﻣﻨﺔ واﻟﱵ ﺗﺘﺸﻜﻞ أﺻﻼً ﻣﻦ اﻟﻘﺎﺑﻼت؛ إن اﻟﻐﺎﻳﺔ ﻣﻦ
ﻫﺬﻩ اﻟﻌﻤﻠﻴﺔ ﻫﻲ أن ﺗﺮى ﻛﻞ اﻟﻘﺎﺑﻼت إﺷﺎرة اﻟـ Clockاﳋﺻﺔ ﻬﺑﺎ ﻣﺘﻄﺎﺑﻘﺔ إﱃ أﻛﱪ ﺣﺪ ﳑﻜﻦ .وﺑﺎﻟﺘﺎﱄ إذا ﰎ ﺗﻮزﻳﻊ إﺷﺎرة اﻟـClock
ﻛﺈﺷﺎرة ذات ﻣﺴﺎر ﻃﻮﻳﻞ ﺗﻘﻮد ﲨﻴﻊ اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﳌﺘﺰاﻣﻨﺔ ،ﻓﺈن اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﻟﻘﺮﻳﺒﺔ ﻣﻦ اﻟﻘﻄﺐ اﳋﺎص ﺑﺈﺷﺎرة اﻟـ Clockﺳﻮف
ﺗﻘﺪح ﺑﺈﺷﺎرة اﻟـ Clockﺑﺸﻜﻞ ﺳﺎﺑﻖ ﻟﻠﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﻷﺧﺮى اﳌﻮﺟﻮد ﰲ �ﺎﻳﺔ ﺧﻂ إﺷﺎرة اﻟـ ،Clockﳑﺎ ﻳﺴﺒﺐ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺸﺎﻛﻞ
وﻣﻨﻬﺎ ﻓﻘﺪان اﻟﺘﻮاﻗﺖ.
ﳊﻞ ﻫﺬﻩ اﳌﺸﻜﻠﺔ ،ﻳﺘﻢ ﺗﻮزﻳﻊ إﺷﺎرة اﻟـ Clockﺑﻮاﺳﻄﺔ ﻗﻄﺎﻋﺎت ﺧﺎﺻﺔ وﻣﺴﺘﻘﻠﺔ ﻋﻦ ﻧﻘﺎط اﻻﺗﺼﺎل اﻟﺪاﺧﻠﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ وذات اﻷﻏﺮاض
اﻟﻌﺎﻣﺔ ،ﻛﻤﺎ ﻳﺘﻢ ﺗﻨﻈﻴﻢ ﻋﻤﻞ اﻟﺴﺎﻋﺔ ﺑﺎﺳﺘﺨﺪام ﻗﻄﺐ إﺷﺎرة اﻟـ Clockﻟﻴﻘﻮد ﻣﻨﻈﻢ ﻋﻤﻞ اﻟﺴﺎﻋﺔ ) (Clock Managerﺑﺪﻻً ﻣﻦ وﺻﻠﻪ
ﻣﺒﺎﺷﺮة إﱃ ﻓﺮوع إﺷﺎرة اﻟـ Clockاﻟﺪاﺧﻠﻴﺔ ،وﻫﺬا ﻳﺆدي إﱃ ﺗﻮﻟﻴﺪ ﻋﺪد ﻣﻦ اﻟﻨﺒﻀﺎت اﳌﺨﺘﻠﻔﺔ ﺗﺴﻤﻰ اﻟﻨﺒﻀﺎت اﻟﻔﺮﻋﻴﺔ واﻟﱵ ﻣﻦ اﳌﻤﻜﻦ أن
ﺗﺴﺘﺨﺪم ﻟﻘﻴﺎدة ﳎﻤﻮﻋﺔ ﻓﺮوع إﺷﺎرة ﺗﻮﻗﻴﺖ داﺧﻠﻴﺔ أو ﻟﺘﻘﻮد ﻗﻄﺐ إﺷﺎرة ﺗﻮﻗﻴﺖ ﺧﺎرﺟﻲ ﻣﺴﺘﺨﺪم ﻟﺘﻐﺬﻳﺔ ﺟﻬﺎز آﺧﺮ -اﻟﺸﻜﻞ.47-2
ﺗﺘﻮﺿﻊ وﺣﺪة اﻟـ DCMﻏﺎﻟﺒﺎً ﻋﻠﻰ اﳊﻮاف اﻟﻌﻠﻴﺎ واﻟﺴﻔﻠﻰ ﻟﻠﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،وﺗﺘﺄﻟﻒ ﻣﻦ أرﺑﻊ وﺣﺪات وﻇﻴﻔﻴﺔ ﻣﺘﻜﺎﻣﻠﺔ ﻣﺒﻴﻨﺔ ﻋﻠﻰ
اﳌﺨﻄﻂ اﻟﻮﻇﻴﻔﻲ ﰲ اﻟﺸﻜﻞ 48-2وﻫﻲ:
125 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
:(Delay-Locked Loop) DLL -ﺗﻘﻮم ﻋﻠﻰ ﺗﻘﻠﻴﻞ اﻹﺷﺎرات اﻟﻌﺸﻮاﺋﻴﺔ واﻟﺘﺸﻮﻩ ﻟﻨﺒﻀﺎت اﻟﺴﺎﻋﺔ.
:(Digital Frequency Synthesizer) DFS -ﺗﺴﺘﺨﺪم ﻟﺘﻮﻟﻴﺪ ﺗﺮدد إﺷﺎرة اﻟﺴﺎﻋﺔ اﻟﺪاﺧﻠﻴﺔ اﻟﱵ ﻫﻲ اﳉﺪاء ﺑﲔ إﺷﺎرة
اﻟﺴﺎﻋﺔ ﻋﻠﻰ اﳌﺪﺧﻞ CLKINوﻣﻌﺎﻣﻞ اﳉﺪاء اﶈﺪد ﻣﻦ ﻗﺒﻞ اﳌﺴﺘﺨﺪم.
:(Status Logic) SL -ﺗﺴﺘﺨﺪم ﻟﺘﺼﻔﲑ وﺣﺪة اﻟـ DCMواﻹﺷﺎرة إﱃ اﳊﺎﻟﺔ اﳊﺎﻟﻴﺔ ﻟﻠﻮﺣﺪة اﳌﻨﻄﻘﻴﺔ.
:(Phase Shifter) PS -ﺗﺴﺘﺨﺪم ﻹزاﺣﺔ إﺷﺎرة وﻓﻘﺎً ﳌﻌﺎﻣﻼت إزاﺣﺔ ﳏﺪدة ﻣﻦ ﻗﺒﻞ اﳌﺴﺘﺨﺪم وﻫﻲCLK0, CLK90, :
ﲤﺘﻠﻚ ﺷﺮاﺋﺢ اﻟـ FPGAﻋﺪد ﻣﻦ اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ DCMﻳﱰاوح ﻣﻦ وﺣﺪﺗﲔ إﱃ ﻋﺪة أزواج ﻣﻦ اﻟﻮﺣﺪات وذﻟﻚ ﺣﺴﺐ اﻟﺸﺮﳛﺔ.
اﻟﺸﻜﻞ 49-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﻜﺎﻣﻞ ﻟﺘﻮﺿﻊ وﺣﺪات اﻟـ DCMوارﺗﺒﺎﻃﻬﺎ ﻣﻊ ﺧﻄﻮط اﻟﺘﻮاﻗﺖ ﻟﻠﺸﺮﳛﺔ ،XC3SxxxxEﺣﻴﺚ ﲢﻮي
اﻟﺸﺮﳛﺔ ﻋﻠﻰ ﲦﺎن وﺣﺪات DCMﻣﺘﻮﺿﻌﺔ ﻋﻠﻰ اﻷﻃﺮاف.
إن إﺷﺎرة ﻣﺪﺧﻞ ﻧﺒﻀﺎت اﻟﺴﺎﻋﺔ ﻳﺘﻢ ﺗﻮﺻﻴﻠﻬﺎ ﻣﺒﺎﺷﺮة إﱃ ﺧﻂ اﻟﺘﻮاﻗﺖ ) ،(BUFGأو ﻳﺘﻢ ﻣﻦ ﺧﻼل ﻣﻮزع إﺷﺎرة )(Multiplexer
ﻟﻠﺘﺒﺪﻳﻞ ﺑﲔ إﺷﺎرﺗﲔ ﻣﻨﻔﺼﻠﺘﲔ ) (BUFGMUXﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.50-2
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 126
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
وﻫﻲ ﻋﺒﺎرة ﻋﻦ ﺷﺒﻜﺔ ﻣﻦ ﻣﺴﺎرات اﻹﺷﺎرات )اﻟﻮﺻﻼت( ﺑﲔ ﻣﺪاﺧﻞ وﳐﺎرج اﻟﻮﺣﺪات اﻟﻮﻇﻴﻔﻴﺔ داﺧﻞ ﺷﺮﳛﺔ اﻟـ FPGAﻣﺜﻞIOBs, :
،CLBs, DCMs, BRAMsﻛﻤﺎ أن ﻫﺬﻩ اﻟﺸﺒﻜﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ وﺗﺮﺗﺒﻂ ﻓﻴﻬﺎ اﻟﻮﺻﻼت إﱃ ﻣﺼﻔﻮﻓﺔ ﺗﺒﺪﻳﻞ ﺗﺪﻋﻰ ﺑـ" Switch
"Matrixواﻟﱵ ﺗﻘﻮم ﻋﻠﻰ وﺻﻞ أﻧﻮاع ﳐﺘﻠﻔﺔ ﻣﻦ اﻟﻮﺻﻼت .اﻟﺸﻜﻞ 51-2ﻳﺒﲔ ﲤﺜﻴﻼً ﻟﻠﻮﺻﻼت اﻟﺪاﺧﻠﻴﺔ ﻣﻊ ﻣﺼﻔﻮﻓﺔ اﻟﺘﺒﺪﻳﻞ.
ﻋﻤﻮﻣﺎً ﻳﻮﺟﺪ أﻧﻮاع ﳐﺘﻠﻔﺔ ﻣﻦ اﻟﻮﺻﻼت ﻧﺬﻛﺮ ﻣﻨﻬﺎ .Direct lines ،Long lines, Hex lines, Double lines :ﻛﻤﺎ أن ﲨﻴﻊ ﻫﺬﻩ
اﻟﻮﺻﻼت ﳝﻜﻦ أن ﺗﺘﻮﻓﺮ ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة.
اﻟﺸﻜﻞ 52-2ﻳﺒﲔ اﻟﻮﺻﻠﺔ Long Lineﺣﻴﺚ ﺗﻘﻮم ﻋﻠﻰ وﺻﻞ واﺣﺪ ﻣﻦ ﻛﻞ ﺳﺘﺔ وﺣﺪات .CLBs
اﻟﺸﻜﻞ 53-2ﻳﺒﲔ اﻟﻮﺻﻠﺔ Hex Lineﺣﻴﺚ ﺗﻘﻮم ﻋﻠﻰ وﺻﻞ واﺣﺪ ﻣﻦ ﻛﻞ ﺛﻼث وﺣﺪات .CLBs
127 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
اﻟﺸﻜﻞ 54-2ﻳﺒﲔ اﻟﻮﺻﻠﺔ Double Lineﺣﻴﺚ ﺗﻘﻮم ﻋﻠﻰ وﺻﻞ واﺣﺪ ﻣﻦ ﻛﻞ وﺣﺪﺗﲔ .CLBs
اﻟﺸﻜﻞ 55-2ﻳﺒﲔ اﻟﻮﺻﻠﺔ Direct Lineﺚ ﺗﻘﻮم ﻋﻠﻰ اﻟﻮﺻﻞ اﳌﺒﺎﺷﺮ ﻣﻊ اﻟﻮﺣﺪات اﺠﻤﻟﺎورة وﺗﺴﺘﺨﺪم ﻫﺬﻩ اﻟﻮﺻﻠﺔ ﻟﻘﻴﺎدة اﻹﺷﺎرة ﻣﻦ
وﺣﺪة CLBاﳌﺼﺪرﻳﺔ إﱃ أي ﻣﻦ اﻟﻮﺻﻼت Double, Hex, Longﰒ وﺻﻮﻻً إﱃ وﺣﺪة اﻟـ CLBاﳍﺪف.
إن ﺑﻌﺾ اﻟﺘﻮاﺑﻊ اﻟﻮﻇﻴﻔﻴﺔ ﻛﺎﻟﻀﻮارب ﻣﺜﻼً ﺗﻜﻮن ﺑﻄﻴﺌﺔ ﺟﺪاً ﻋﻨﺪﻣﺎ ﻳﺘﻢ ﺗﺸﻜﻴﻠﻬﺎ ﻣﻦ وﺣﺪات اﻟـ ،CLBsوﲟﺎ أن ﻋﻤﻠﻴﺎت اﻟﻀﺮب واﳉﻤﻊ
ﺗﻌﺘﱪ ﺟﻮﻫﺮﻳﺔ ﰲ اﻟﻜﺜﲑ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ،ﺧﺼﻮﺻﺎً ﰲ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة ،ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ ﺷﺮاﺋﺢ اﻟـ FPGAﺗﺘﻀﻤﻦ ﻛﺘﻞ ﻣﻨﻄﻘﻴﺔ
ﻟﻮﻇﺎﺋﻒ اﻟﻀﺮب واﳉﻤﻊ ﻣﺪﳎﺔ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﻠﺸﺮﳛﺔ؛ ﺗﺘﻮﺿﻊ ﻫﺬﻩ اﻟﻜﺘﻞ ﺑﺎﻟﻘﺮب ﻣﻦ ﻛﺘﻞ اﻟﺬاﻛﺮة RAMاﳌﺪﳎﺔ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ
ﻋﻠﻰ اﻟﺸﻜﻞ ،56-2وذﻟﻚ ﻷن ﻫﻨﺎك ارﺗﺒﺎط وﻇﻴﻔﻲ ﺑﲔ اﻟﻀﻮارب وﻛﺘﻞ اﻟﺬاﻛﺮة .اﻟﺸﻜﻞ 57-2ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻀﺎرب اﳌﺪﻣﺞ
.MULT18X18SIO
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 128
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
إن ﺑﻌﺾ ﺷﺮاﺋﺢ اﻟـ FPGAﲤﻠﻚ وﺣﺪة ﻣﻨﻄﻘﻴﺔ ” (Multiply and Accumulate) “MACواﻟﱵ ﺗﺴﺘﺨﺪم ﻛﻀﺎرب ﻣﻜﺪس ﰲ
ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ،وﻫﻮ ﻋﺒﺎرة ﻋﻦ ﺿﺎرب وﺟﺎﻣﻊ ووﺣﺪة ﻣﺮاﻛﻢ ﻣﺒﻨﻴﺔ ﰲ ﻛﺘﻠﺔ ﻣﻨﻄﻘﻴﺔ وﺣﻴﺪة ،ﺗﻘﻮم ﺑﻀﺮب ﻋﺪدﻳﻦ ﰒ ﲨﻊ
اﻟﻨﺘﻴﺠﺔ ﻣﻊ ﻗﻴﻤﺔ ﺳﺎﺑﻘﺔ ﰲ اﳌﺮاﻛﻢ ،وﲣﺰن اﻟﻨﺘﻴﺠﺔ ﰲ ﻗﻼب ﻣﺴﺎﻋﺪ أو ﰲ ذاﻛﺮة RAMﻣﺪﳎﺔ .اﻟﺸﻜﻞ 58-2ﻳﺒﲔ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ
اﳌﻜﻮﻧﺔ ﻟﻠﻀﺎرب اﳌﻜﺪس.
ﰲ ﻣﻌﻈﻢ اﻟﺘﻄﺒﻴﻘﺎت ﺗﻈﻬﺮ اﳊﺎﺟﺔ ﺑﺸﻜﻞ أو ﺑﺂﺧﺮ إﱃ وﺟﻮد ﻣﻌﺎﰿ ﻣﺼﻐﺮ ،وﺣﱴ وﻗﺖ ﻣﺘﺄﺧﺮ ﻛﺎن ﻳﺘﻮﺟﺐ وﺿﻊ اﳌﻌﺎﰿ ﻛﻌﻨﺼﺮ ﻣﻨﻔﺼﻞ
ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ .أﻣﺎ ﰲ ﺷﺮاﺋﺢ اﻟـ FPGAاﳊﺪﻳﺜﺔ ﻓﻘﺪ أﺻﺒﺢ ﻣﻦ اﳌﻤﻜﻦ أن ﲢﻮي اﻟﺸﺮﳛﺔ ﻋﻠﻰ واﺣﺪ أو أﻛﺜﺮ ﻣﻦ اﳌﻌﺎﳉﺎت اﳌﺪﳎﺔ،
وﺑﺎﻟﺘﺎﱄ ﻳﺘﻢ اﻻﺳﺘﻐﻨﺎء ﻛﻠﻴﺎً ﻋﻦ اﳌﻬﺎم اﻟﱵ ﺗﻨﺠﺰ ﺑﻮاﺳﻄﺔ ﻣﻌﺎﳉﺎت ﺧﺎرﺟﻴﺔ ،واﻟﺬي ﺑﺪورﻩ ﳛﻘﻖ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺰاﻳﺎ واﻟﱵ ﻣﻨﻬﺎ :اﻟﺘﺨﻠﺺ ﻣﻦ
ﺗﻜﻠﻔﺔ وﺟﻮد ﺷﺮﳛﺘﲔ ﻣﻨﻔﺼﻠﺘﲔ ،ﺗﻘﻠﻴﻞ ﻋﺪد ﻧﻘﺎط اﻻﺗﺼﺎل واﻷﻗﻄﺎب ﻋﻠﻰ اﻟﺪارة اﳌﻄﺒﻮﻋﺔ ،اﻟﺪارة اﳌﻄﺒﻮﻋﺔ ﺗﺼﺒﺢ أﺻﻐﺮ ﺣﺠﻤﺎً وأﺧﻒ
وزﻧﺎً.
إن اﻟﺴﺒﺐ وراء اﳊﺎﺟﺔ إﱃ ﻣﻌﺎﰿ ﻣﺼﻐﺮ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ -FPGAوذﻟﻚ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن ﺷﺮاﺋﺢ اﻟـ FPGAأﺳﺮع ﺑﻜﺜﲑ ﻣﻦ اﳌﻌﺎﳉﺎت-
ﻫﻮ أن ﺷﺮاﺋﺢ اﻟـ FPGAﺗﻘﻮم ﻋﻠﻰ ﺗﻨﻔﻴﺬ ﺧﻮارزﻣﻴﺎت ﺗﻔﺮﻋﻴﺔ ) ،(Parallel Algorithmsإﻻ أن اﻟﻌﺪﻳﺪ ﻣﻦ اﳋﻮارزﻣﻴﺎت اﻟﱵ ﻻ ﲢﺘﺎج إﱃ
ﺳﻌﺔ ﻣﻌﺎﳉﺔ ﻋﺎﻟﻴﺔ ﻫﻲ ﺧﻮارزﻣﻴﺎت ﺗﻌﺎﻗﺒﻴﺔ ) ،(Sequential Algorithmsوﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ ﺑﺮﳎﺘﻬﺎ ﻋﻠﻰ ﺷﺮﳛﺔ ﻣﻌﺎﰿ ﺑﺸﻜﻞ أﺳﻬﻞ ﺑﻜﺜﲑ
ﻣﻦ ﺗﻨﻔﻴﺬﻫﺎ ﺑﺎﺳﺘﺨﺪام اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ ﻟﻠـ.FPGA
129 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﰲ ﻫﺬا اﻟﻨﻮع ﻳﺘﻢ ﲣﺼﻴﺺ ﻣﺴﺎﺣﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ اﻷﺳﺎﺳﻴﺔ ﻟﺸﺮﳛﺔ اﻟـ FPGAﻟﺒﻨﺎء اﳌﻌﺎﰿ اﳌﺼﻐﺮ اﳌﺪﻣﺞ ﻛﻜﻴﺎن ﺻﻠﺐ ﻋﻠﻰ
ﺷﻜﻞ ﻛﺘﻞ ،وﻳﻮﺟﺪ ﻃﺮﻳﻘﺘﲔ ﻟﻠﺘﻮزﻳﻊ:
• دﻣﺞ ﻧﻮاة اﳌﻌﺎﰿ ﻋﻠﻰ ﺷﻜﻞ ﺷﺮاﺋﻂ ” “Stripsﺧﺎرج اﻟﺒﻨﺎء اﻟﺮﺋﻴﺴﻲ ﻟﻠﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻟﺸﺮﳛﺔ اﻟـ – FPGAاﻟﺸﻜﻞ.59-2
• دﻣﺞ ﻧﻮاة اﳌﻌﺎﰿ ﻋﻠﻰ ﺷﻜﻞ ﻛﺘﻞ ﻣﻮزﻋﺔ ﺿﻤﻦ اﻟﺒﻨﺎء اﻟﺮﺋﻴﺴﻲ ﻟﻠﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﻟﺸﺮﳛﺔ اﻟـ– FPGAاﻟﺸﻜﻞ.60-2
ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ ﺷﺮاﺋﺢ اﳌﻌﺎﳉﺎت اﳌﺪﳎﺔ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،وﻣﻦ أﺷﻬﺮﻫﺎ اﳌﻌﺎﰿ 32-bit PowerPCاﳌﺪﻣﺞ ﰲ ﺷﺮاﺋﺢ ﺷﺮﻛﺔ
Xilinxﻣﺜﻞ اﻟﺸﺮاﺋﺢ] ،Virtex-4, Virtex-5, Vertex-6 :[359واﳌﻌﺎﰿ 8-bit AVRاﳌﺪﻣﺞ ﰲ ﺷﺮاﺋﺢ ﺷﺮﻛﺔ ATMELﻣﺜﻞ
اﻟﺸﺮﳛﺔ] .FPSLIC :[360اﻟﺸﻜﻞ 61-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ .PowerPCاﻟﺸﻜﻞ 62-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺒﻨﻴﻮي ﻟﺸﺮاﺋﺢ
.FPSLIC
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 130
2 Chapter 2 | اﻟﻔﺼﻞ اﻟﺜﺎﱐ
وﺑﺎﻟﺘﺎﱄ ﻓﻬﻲ ﺗﺴﺘﻨﻔﺪ ﻣﻦ، ﺑﺮﳎﻴﺎً ﺑﺎﺳﺘﺨﺪام اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻷﺳﺎﺳﻴﺔ اﳌﻮﺟﻮدة ﻋﻠﻰ اﻟﺸﺮﳛﺔFPGAﻫﺬﻩ اﻟﻨﻮى ﻳﺘﻢ ﺗﺸﻜﻴﻠﻬﺎ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ
إذ ﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ ﻧﻮى، وﳜﺘﻠﻒ ﻋﺪد اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟﻼزﻣﺔ ﻟﺒﻨﺎء ﻧﻮاة اﳌﻌﺎﰿ ﺣﺴﺐ اﳌﻌﺎﰿ اﳌﺴﺘﺨﺪم،ﻋﺪد اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ
.اﳌﻌﺎﳉﺎت اﻟﱪﳎﻴﺔ
وﺑﺸﻜﻞ، وﻫﻲ إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء اﳌﻌﺎﰿ ﻋﻨﺪ اﳊﺎﺟﺔ، إﻻ أ�ﺎ ﲤﻠﻚ ﻣﻴﺰة ﻫﺎﻣﺔ،ﺗﻌﺘﱪ ﻫﺬﻩ اﻟﻨﻮى أﺑﺴﻂ وأﺑﻄﺄ ﻣﻦ اﻟﻨﻮى ذات اﻟﻜﻴﺎن اﻟﺼﻠﺐ
.361FPGA ﻳﺒﲔ اﻟﺒﲎ اﻟﱪﳎﻴﺔ اﻷﺳﺎﺳﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺷﺮاﺋﺢ اﻟـ8-2 اﳉﺪول.ﻣﺸﺎﺑﻪ ﳝﻜﻦ ﺑﻨﺎء ﻋﺪة ﻣﻌﺎﳉﺎت ﻋﻨﺪ اﳊﺎﺟﺔ ﻟﺬﻟﻚ
ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ64-2 اﻟﺸﻜﻞ.32-bit ذو ﻋﺮض ﻧﺎﻗﻞ [362]
MicroBlaze ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ63-2اﻟﺸﻜﻞ
.[364]Altera NiosII ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻤﻌﺎﰿ65-2 اﻟﺸﻜﻞ.8-bit [ ذو ﻋﺮض ﻧﺎﻗﻞ363]PicoBlaze ﻟﻠﻤﻌﺎﰿ
131 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﺗﺘﻀﻤﻦ ﺷﺮاﺋﺢ اﻟـ FPGAاﳌﺘﻘﺪﻣﺔ اﻟﻴﻮم ﻛﻴﺎن ﺻﻠﺐ ﺧﺎص ﻳﺴﻤﻰ ﺑـ Transceiver Blockﳐﺼﺺ ﻟﻨﻘﻞ اﻟﺒﻴﺎﻧﺎت ﺑﺴﺮﻋﺎت ﻋﺎﻟﻴﺔ ﺗﺼﻞ
إﱃ ﻋﺸﺮات اﻟـ .Gigabitﺑﺸﻜﻞ ﻋﺎم ﺗﺘﻀﻤﻦ ﻫﺬﻩ اﻟﻮﺣﺪة ﻛﺘﻠﺘﲔ ﻣﻨﻄﻘﻴﺘﲔ :ﻛﺘﻠﺔ ﳐﺼﺼﺔ ﻟﻺرﺳﺎل ،وأﺧﺮى ﳐﺼﺼﺔ ﻟﻼﺳﺘﻘﺒﺎل.
اﻟﺸﻜﻞ 66-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ اﳌﺒﺴﻂ ﻟﻮﺣﺪة اﻟﱰاﺳﻞ Vertix-6اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺷﺮاﺋﺢ ﺷﺮﻛﺔ .[365]Xilinx
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 132
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
وﺣﺪات ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ :(Digital Signal Processing Blocks) DSPBs 9-6-3-4-8-2
إﺿﺎﻓﺔً إﱃ وﺣﺪات اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﻟﺴﺎﺑﻘﺔ ،ﻓﺈن ﺑﻌﺾ ﺷﺮاﺋﺢ اﻟـ – FPGAﻏﺎﻟﺒﺎً ﺗﻜﻮن ﻣﻦ اﻟﻌﺎﺋﻼت اﳌﺨﺼﺼﺔ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة
اﻟﺮﻗﻤﻴﺔ – ﲢﻮي ﻋﻠﻰ وﺣﺪات ﻛﻴﺎن ﺻﻠﺐ DSP؛ اﳍﺪف ﻣﻦ ﻫﺬﻩ اﻟﻮﺣﺪات ﻫﻮ دﻋﻢ اﳋﻮارزﻣﻴﺎت اﻟﺮﻳﺎﺿﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﲢﻠﻴﻞ اﻹﺷﺎرة
اﻟﺮﻗﻤﻴﺔ ﲝﻴﺚ ﺗﻜﻮن أﺳﺮع ﻣﺎ ﳝﻜﻦ ﺑﺄﻋﻠﻰ أداء ﻓﻌﺎل وأﻗﻞ اﺳﺘﻬﻼك ﻟﻠﻄﺎﻗﺔ وأﻗﻞ ﻋﺪد ﳑﻜﻦ ﻣﻦ اﳌﺴﺎﺣﺎت اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ
اﻟـ.FPGA
ﺗﺘﻮﺿﻊ ﻫﺬﻩ اﻟﻜﺘﻞ ﺑﲔ ﻛﺘﻞ اﻟﺬاﻛﺮة اﳌﺪﳎﺔ ،RAMواﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ ،67-2وذﻟﻚ ﻷن ﻫﻨﺎك
ارﺗﺒﺎﻃﺎً وﻇﻴﻔﻴﺎً ﻣﻊ ﻛﺘﻞ اﻟﺬاﻛﺮة.
ﺑﺸﻜﻞ ﻋﺎم ﻫﺬﻩ اﻟﻮﺣﺪات أو اﻟﻜﺘﻞ ﺗﻜﻮن ﻣﻜﻮﻧﺔ ﻣﻦ وﺣﺪات 18×18-bitأو 25×18-bitﺗﺘﻀﻤﻨﻬﺎ ﻣﺮاﺣﻞ ودارات ﻣﻨﻄﻘﻴﺔ أﺳﺎﺳﻴﺔ
)ﺿﺮب وﲨﻊ وﻃﺮح وﺗﻜﻤﻴﻢ وﻣﺮاﻛﻢ( إﺿﺎﻓﺔً إﱃ ﻣﺮﺣﻠﺔ ﺟﺎﻣﻊ أوﱄ ﻣﻦ أﺟﻞ ﺗﻄﺒﻴﻘﺎت اﳌﺮﺷﺤﺎت اﻟﺮﻗﻤﻴﺔ " ،"FIR, IIRوﻫﻲ ﺗﻌﻤﻞ ﻋﻨﺪ
ﺳﺮﻋﺎت ﻋﺎﻟﻴﺔ ﳝﻜﻦ أن ﺗﺼﻞ إﱃ .400MHzاﻟﺸﻜﻞ 68-2ﻳﺒﲔ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻜﺘﻠﺔ اﳌﺪﳎﺔ.[366]XtremeDSP DSP48A1
133 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
إن ﻋﺪد اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻟﻠـ DSP Sliceﳜﺘﻠﻒ ﻣﻦ ﺷﺮﳛﺔ ﻷﺧﺮى ،ﻛﻤﺎ أن ﻫﺬﻩ اﻟﻜﺘﻞ ﳝﻜﻦ أن ﺗﺮﺗﺒﻂ ﻣﻊ ﺑﻌﻀﻬﺎ ﻟﺘﺸﻜﻞ ﻋﺪة ﻣﺮاﺣﻞ ﻣﻦ
أﺟﻞ ﻋﻤﻠﻴﺎت وﺗﻄﺒﻴﻘﺎت ﻣﺘﻘﺪﻣﺔ .اﻟﺸﻜﻞ 69-2ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﺷﺮاﺋﺢ اﻟـ FPGAﻣﻦ ﺷﺮﻛﺔ Xilinxاﳌﺨﺼﺼﺔ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة
اﻟﺮﻗﻤﻴﺔ.
ﻫﻨﺎك ﺗﺴﺎؤل ﻳﻄﺮح داﺋﻤﺎً وﻫﻮ :ﳌﺎذا ﻧﺴﺘﺨﺪم ﺷﺮاﺋﺢ اﻟـ FPGAﰲ ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﺑﺪﻻً ﻣﻦ ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ
ﻧﻔﺴﻬﺎ!؟
ﰲ اﳊﻘﻴﻘﺔ ﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺰاﻳﺎ ﰲ اﺳﺘﺨﺪام ﺷﺮاﺋﺢ اﻟـ FPGAﺑﺪﻻً ﻣﻦ ﻣﻌﺎﳉﺎت اﻟـ ،DSPوﻟﻜﻦ اﳌﻴﺰة اﻷﻫﻢ ﻫﻲ إﻣﻜﺎﻧﻴﺔ ﺗﻨﻔﻴﺬ
ﺧﻮارزﻣﻴﺎت ﺗﻔﺮﻋﻴﺔ ﺑﺴﺮﻋﺎت ﻛﺒﲑة ﺟﺪاً ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﺑﺎﳌﻘﺎرﻧﺔ ﻋﻠﻰ اﻟﺸﻜﻞ.70-2
FPGAﳌﺮﺷﺢ رﻗﻤﻲ 256-bit اﻟﺸﻜﻞ 70-2ﻣﻘﺎرﻧﺔ ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ ﳌﻌﺎﰿ DSPﺗﻘﻠﻴﺪي ﻣﻊ وﺣﺪة DSPﻋﻠﻰ ﺷﺮﳛﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 134
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
إن اﳍﺪف ﻣﻦ ﻫﺬﻩ اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ ﻫﻮ إﺿﺎﻓﺔ وﻇﺎﺋﻒ ﻣﻨﻄﻘﻴﺔ إﺿﺎﻓﻴﺔ ﻣﻦ دون اﳊﺎﺟﺔ إﱃ ﻛﺘﺎﺑﺘﻬﺎ ﺑﺮﳎﻴﺎً ،وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ ﺑﻨﺎء ﻧﻈﺎم ﻣﻌﻘﺪ
ﺑﺰﻣﻦ ﻗﺼﲑ ﻧﺴﺒﻴﺎً ﻣﻦ ﺧﻼل ﺗﻀﻤﲔ ﻫﺬﻩ اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ ﰲ اﻟﻨﻈﺎم ورﺑﻂ وﻇﺎﺋﻔﻬﺎ.
ﻳﺘﻢ ﺑﻨﺎء ﻫﺬﻩ اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ ﻣﻦ ﻗﺒﻞ اﻷﻓﺮاد أو اﻟﺸﺮﻛﺎت اﳌﺨﺘﺼﺔ أو اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﻠﺸﺮاﺋﺢ اﳌﻨﻄﻘﻴﺔ ،وﻳﺘﻢ ﺗﻄﻮﻳﺮﻫﺎ ﺑﺸﻜﻞ أﻣﺜﻠﻲ
وﳕﻮذﺟﻲ وﻓﺤﺼﻬﺎ وذﻟﻚ ﺑﻮاﺳﻄﺔ ﻣﻄﻮري ﺑﺮاﻣﺞ ﳐﺘﺼﲔ ،وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ اﺳﺘﺨﺪام ﻫﺬﻩ اﻟﻮﺣﺪات ﰲ ﺑﻨﺎء ﺑﺮاﻣﺞ ووﻇﺎﺋﻒ ﻣﻌﻘﺪة ﺑﺰﻣﻦ ﻗﺼﲑ
ﺟﺪاً ،وﺗﻜﻮن إﻣﺎ ﻣﻔﺘﻮﺣﺔ اﳌﺼﺪر أو ذات ﻛﻠﻔﺔ ﳏﺪدة ﻣﻦ ﻗﺒﻞ اﻟﺸﺮﻛﺔ اﳌﻄﻮرة.
ﺗﻮﻓﺮ اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻏﺎﻟﺒﺎً ﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ ﻟﺘﻮﻟﻴﺪ اﻟـ – IPsﻣﻦ أﻫﻢ ﻫﺬﻩ اﻟﺒﻴﺌﺎت اﻟﱪﻧﺎﻣﺞ – [367]Xilinx LogicCoreﻛﻤﺎ ﳝﻜﻦ أن ﻳﺘﻢ
ﻧﻘﻞ ﻫﺬﻩ اﻟـ IPsواﺳﺘﺨﺪاﻣﻬﺎ ﰲ ﺷﺮاﺋﺢ ﺷﺮﻛﺎت أﺧﺮى .اﻟﺸﻜﻞ 71-2ﻳﺒﲔ ﺗﻮﻟﻴﺪ وﺣﺪة ﺑﺮﳎﻴﺔ ﻟﱰﺷﻴﺢ اﻟﺼﻮر اﻟﺮﻗﻤﻴﺔ ) LogiCORE IP
ﲟﺎ ﰲ ذﻟﻚFPGA ﻳﺒﲔ ﲤﺜﻴﻼً ﳌﺮاﺣﻞ ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ ﺷﺮاﺋﺢ اﻟـ74-2 اﻟﺸﻜﻞ. ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﳌﺮاﺣﻞ اﻟﺘﺼﻤﻴﻢ73-2اﻟﺸﻜﻞ
.ﻣﺮاﺣﻞ اﻟﺘﺤﻠﻴﻞ واﻟﺘﺤﻘﻖ ﻣﻦ ﺳﻠﻮك اﻟﻨﻈﺎم
Implementation
Functional Synthesis & Generating
Description Optimization Mapping Placement Routing Bitstream
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 136
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
وﺗﺪﻋﻰ أﻳﻀﺎً ﺑـ .Design Entryﰲ ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﻳﺘﻢ وﺻﻒ ﺳﻠﻮك أو ﻋﻤﻞ اﻟـ FPGAﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ] [369ﻣﺜﻞ:
،VHDL, Verilogواﻟﱵ ﺗﻘﻮم ﻋﻠﻰ وﺻﻒ اﻟﺴﻠﻮك اﻟﻮﻇﻴﻔﻲ اﳌﻄﻠﻮب ﻣﻦ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﻠﻰ اﳌﺴﺘﻮى "Resistor-) "RTL
.(Transistor Logic
ﺗﺘﺄﻟﻒ ﻫﺬﻩ اﳌﺮﺣﺔ ﻣﻦ ﻣﺮﺣﻠﺘﲔ ﻣﺮﺗﺒﻄﺘﲔ :ﺗﻮﻟﻴﺪ اﻟ ـ Netlistواﻟﺬي ﻫﻮ ﺧﺮج ﻫﺬﻩ اﳌﺮﺣﻠﺔ وﻫﻮ اﳌﺴﺘﻮى اﻷﻋﻠﻰ اﳌﺴﻤﻰ ﺑـ،Logic Gate
وﲢﻘﻴﻖ اﻷﻣﺜﻠﻴﺔ )(Optimization؛ وﺑﺎﻟﺘﺎﱄ ﻳﺘﻢ ﲢﻮﻳﻞ اﻟﻮﺻﻒ اﻟﺴﻠﻮﻛﻲ ﻷداء اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﻠـ – FPGAاﻟﺬي ﰎ ﻛﺘﺎﺑﺘﻪ ﺑﺎﺳﺘﺨﺪام
ﻟﻐﺔ وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ – إﱃ ﳎﻤﻮﻋﺔ ﻣﻦ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﳌﺘﺼﻠﺔ ﻣﻊ ﺑﻌﻀﻬﺎ اﻟﺒﻌﺾ ،ﻛﻤﺎ ﻳﺘﻢ ﺑﻌﺪ ذﻟﻚ ﲢﻘﻴﻖ اﻷﻣﺜﻠﻴﺔ ﻋﻠﻰ ﻣﺴﺘﻮى
اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ،وﻫﻨﺎ ﻳﻮﺟﺪ ﻗﺎﻋﺪة أﺳﺎﺳﻴﺔ ﳌﺴﺎﺋﻞ اﻷﻣﺜﻠﻴﺔ ،وﻫﻲ أن اﻟﺴﺮﻋﺔ ﺗﺘﻨﺎﺳﺐ ﻋﻜﺴﺎً ﻣﻊ ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ،ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل
ﳝﻜﻦ اﳊﺼﻮل ﻋﻠﻰ دارات ﻣﻨﻄﻘﻴﺔ ﺳﺮﻳﻌﺔ ﺟﺪاً داﺧﻞ ﺷﺮﳛﺔ اﻟـ ،FPGAوﻟﻜﻦ ﻫﺬا ﺳﻮف ﻳﺘﻄﻠﺐ ﻋﺪد أﻛﱪ ﻣﻦ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﻟﺘﺤﻘﻴﻖ
ذﻟﻚ .وﻋﻠﻰ اﻟﻨﻘﻴﺾ ﳝﻜﻦ ﺗﻘﻠﻴﺺ ﻋﺪد اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺣﺴﺎب اﻟﺴﺮﻋﺔ] .[370ﻋﻠﻰ ﻛﻞ ﺣﺎل ﻓﺈن ﻣﻌﻈﻢ أدوات اﻟﺘﺼﻤﻴﻢ اﳋﺎﺻﺔ
ﺑﺎﻟـ FPGAﺗﻌﻄﻲ إﻣﻜﺎﻧﻴﺔ ﲢﺪﻳﺪ ﻣﺴﺘﻮى اﻷﻣﺜﻠﻴﺔ اﳌﻄﻠﻮب ﻣﻦ اﻟﱪﻧﺎﻣﺞ ﲢﻘﻴﻘﻪ ).(Speed vs. Area
137 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﺗﻌﺘﱪ ﻫﺬﻩ اﳌﺮﺣﻠﺔ اﳋﻄﻮة اﻷوﱃ ﰲ ﻣﺮﺣﻠﺔ ﺑﻨﺎء اﻟﺘﺼﻤﻴﻢ ) .(Design Implementationﰲ ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﻳﺘﻢ ﻓﺼﻞ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ
اﳌﻮﺻﻮﻓﺔ ﰲ ﻣﻠﻒ اﻟـ netlistإﱃ ﳎﻤﻮﻋﺎت ﻛﺘﻞ ﻣﻨﻄﻘﻴﺔ ) (LBsﲝﻴﺚ ﺗﻨﺎﺳﺐ ﺑﻨﻴﺔ وﻣﻮارد ﺷﺮﳛﺔ اﻟـ FPGAاﻟﻨﻬﺎﺋﻴﺔ .ﻓﻤﺜﻼً :ﻣﻦ أﺟﻞ ﻣﻠﻒ
netlistﻳﺼﻒ ﺳﻠﻮك دارة ﻣﻨﻄﻘﻴﺔ ﻣﺆﻟﻔﺔ ﻣﻦ ﺑﻮاﺑﺘﲔ XORوﺑﻮاﺑﺘﲔ ،NANDﻓﺈن ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﺳﺘﻘﻮم ﻋﻠﻰ ﲢﺪﻳﺪ اﻟﻄﺮﻳﻘﺔ اﻷﻣﺜﻞ
ﻟﺘﺸﻜﻴﻞ ﳎﻤﻮﻋﺔ ﺗﻀﻢ ﻫﺬﻩ اﻟﺒﻮاﺑﺎت اﻷرﺑﻌﺔ وﻓﻘﺎً ﻟﻨﻮع وﻣﻮارد اﻟﺸﺮﳛﺔ اﶈﺪدة .ﺑﺎﳋﻼﺻﺔ :ﻓﺈن ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﻣﺮﺗﺒﻄﺔ ﲤﺎﻣﺎً ﺑﻨﻮع ﺷﺮﳛﺔ
اﻟـ FPGAاﶈﺪدة ،وذﻟﻚ ﲞﻼف ﻣﺮﺣﻠﺔ اﻟﱰﲨﺔ واﻟﱵ ﻫﻲ ﻣﺮﺣﻠﺔ ﻣﺴﺘﻘﻠﺔ ﻻ ﺗﺮﺗﺒﻂ ﺑﺄي ﺗﻘﻨﻴﺔ أو ﺷﺮﳛﺔ ﻛﻴﺎن ﺻﻠﺐ.
ﺗﻌﺘﱪ ﻫﺬﻩ اﳌﺮﺣﻠﺔ اﳋﻄﻮة اﻟﺜﺎﻧﻴﺔ ﰲ ﻣﺮﺣﻠﺔ ﺑﻨﺎء اﻟﺘﺼﻤﻴﻢ ﺣﻴﺚ ﻳﺘﻢ ﺗﻌﻴﲔ ﻣﺎ اﺠﻤﻟﻤﻮﻋﺎت اﳌﻨﻄﻘﻴﺔ )اﻟﱵ ﰎ ﲢﺪﻳﺪﻫﺎ ﰲ ﻣﺮﺣﻠﺔ
اﻟـ (Mappingإﱃ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﶈﺪدة واﳌﺘﻮﻓﺮة إﱃ اﻟﺸﺮﳛﺔ.
ﲢﺪ أﻛﱪ ﰲ ﻣﺴﺎﺋﻞ وأﲝﺎث ﺑﺮﳎﺔ ﺷﺮاﺋﺢ اﻟـ ،FPGAوذﻟﻚ ﻟﻠﻌﺪد اﻷﺳﻲ ﺗﻌﺘﱪ ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﻣﻦ اﳌﺮاﺣﻞ اﻟﱵ ﳍﺎ اﻋﺘﺒﺎر ﻛﺒﲑ ،وﺗﺸﻜﻞ ٍ
ٍ
ﻋﻨﺪﺋﺬ ﻟﺪﻳﻨﺎ nاﺣﺘﻤﺎل ﻻﺣﺘﻤﺎﻻت اﻟﺘﻮﺿﻊ ﻟﻠﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ] ،[371,372وﺑﺎﻟﺘﺎﱄ إذا ﻛﺎﻧﺖ ﺷﺮﳛﺔ اﻟـ FPGAﲤﻠﻚ " "nﻛﺘﻠﺔ ﻣﻨﻄﻘﻴﺔ ،ﻓﺈﻧﻪ
ﻟﺘﻮﺿﻊ اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ ﰲ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻟﺸﺮﳛﺔ اﻟـ.FPGA
):(Routing 5-7-3-4-8-2
ﺗﻌﺘﱪ ﻫﺬﻩ اﳌﺮﺣﻠﺔ اﳋﻄﻮة اﻟﺜﺎﻟﺜﺔ ﰲ ﻣﺮﺣﻠﺔ ﺑﻨﺎء اﻟﺘﺼﻤﻴﻢ ﺣﻴﺚ ﻳﺘﻢ ﲢﺪﻳﺪ اﻟﻮﺻﻼت اﻷﻣﺜﻠﻴﺔ ﺑﲔ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ،FPGA
ﺗﻌﺘﱪ ﺧﻮارزﻣﻴﺔ " [373]"Simulated Annealingوﺧﻮارزﻣﻴﺔ " [374]"Partitioningﻣﻦ ﺧﻮارزﻣﻴﺎت اﻟﺘﻮﺻﻴﻞ اﻷﺷﻬﺮ واﻟﱵ ﺗﺴﺘﺨﺪم ﻣﻦ
ﻗﺒﻞ ﺷﺮﻛﺔ Alteraوﺷﺮﻛﺔ .Xilinx
ﻣﺼﻔﻮﻓﺔ اﻷﻏﺮاض اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً :(Field Programmable Object Array) FPOA 4-4-8-2
وﻫﻲ ﺗﺸﺒﻪ إﱃ ﺣﺪ ﻛﺒﲑ ﺗﻘﻨﻴﺔ اﻟـ FPGAإﻻ أﻧﻪ ﰎ اﺳﺘﺒﺪال وﺣﺪات اﻟـCLB ][376
ﰎ ﺗﻄﻮﻳﺮ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ Mathstar
ﺑﻮﺣﺪات .ALUﺗﺘﻤﻴﺰ ﺷﺮاﺋﺢ اﻟـ FPOAﺑﺄداء ٍ
ﻋﺎل وﺗﻌﻤﻞ ﻋﻨﺪ ﺗﺮددات ﻋﺎﻟﻴﺔ ﺗﺼﻞ إﱃ 1GHzوﻳﺘﻢ ﺑﺮﳎﺘﻬﺎ ﻋﻠﻰ ﻣﺴﺘﻮى اﻟـ.Objects
ﺗﻌﺘﱪ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ اﳊﻞ اﻷﻣﺜﻞ ﻟﺘﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻟﺼﻮر ﻋﺎﻟﻴﺔ اﻟﺪﻗﺔ وﻓﺎﺋﻘﺔ اﻟﺴﺮﻋﺔ.
ﺗﺘﻜﻮن ﺷﺮاﺋﺢ اﻟـ FPOAﻣﻦ ﻣﺼﻔﻮﻓﺔ ﺛﻨﺎﺋﻴﺔ ﻣﻦ (SOs) Silicon Objectsواﻟﱵ ﻫﻲ ﻋﺒﺎرة ﻋﻦ وﺣﺪات ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺑﻌﺮض 16-bit
وﺗﺘﻜﻮن ﻣﻦ،ALUs (Arithmetic Logic Units) | MACs (Multiply-Accumulators) RFs (Register Files) :
إﺿﺎﻓﺔً إﱃ اﻟﻮﺻﻼت اﻟﱵ ﺗﺮﺑﻂ ﻫﺬﻩ اﻟﻮﺣﺪات ،ﺎ أن ﻛﻼً ﻣﻦ ﻫﺬﻩ اﻟﻮﺻﻼت واﺠﻤﻟﻤﻮﻋﺎت ) (SOsﻫﻮ ﻗﺎﺑﻞ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً .ﺗﺘﻢ ﺑﺮﳎﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 138
2 Chapter 2 | اﻟﻔﺼﻞ اﻟﺜﺎﱐ
ﻳﺒﲔ9-2 اﳉﺪول. ﻣﻜﻮﻧﺎﻬﺗﺎFPOA ﻳﺒﲔ ﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻟﺸﺮاﺋﺢ اﻟـ75-2 اﻟﺸﻜﻞ.SystemC ﺑﺎﺳﺘﺨﺪام ﻟﻐﺔFPOAﺷﺮاﺋﺢ اﻟـ
.[377] ﻣﻮاﺻﻔﺎﻬﺗﺎArrix اﳌﻮارد اﻷﺳﺎﺳﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ
High Speed Transmit 2 ports 18-500MHz DDR 16 + 1 bit LVDS 32Gbps output
High Speed Receive 2 ports 18-500MHz DDR 16 + 1 bit LVDS 32Gbps output
139 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
ﻫﺬا اﻟﻨﻮع ﻣﻦ اﻟﺘﻘﻨﻴﺔ ﰎ ﺗﻄﻮﻳﺮﻩ ﻟﻴﻀﻢ ﻋﺪد ﻛﺒﲑ ﺟﺪاً ﻣﻦ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ واﺣﺪة .إن ﺷﺮاﺋﺢ اﻟـ MPGAاﻟﻘﻴﺎﺳﻴﺔ ﺗﺘﻜﻮن ﻣﻦ
ﺻﻔﻮف ﻣﻦ اﻟﱰاﻧﺰﺳﺘﻮرات )ﻋﻠﻰ ﺷﻜﻞ ﻣﺼﻔﻮﻓﺔ( اﻟﱵ ﳝﻜﻦ أن ﺗﻮﺻﻞ ﻟﺘﺸﻜﻴﻞ اﻟﺪارة اﳌﻨﻄﻘﻴﺔ اﳌﻄﻠﻮﺑﺔ .إن ﻫﺬﻩ اﻟﺸﺮاﺋﺢ ﻟﻴﺴﺖ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ
ﻣﺒﺎﺷﺮة ﻣﻦ ﻗﺒﻞ اﳌﺴﺘﺨﺪم ﻛﻤﺎ ﻫﻮ اﳊﺎل ﰲ ﺷﺮاﺋﺢ اﻟـ ،FPGAوإﳕﺎ ﻳﺘﻢ اﻟﺘﺼﻤﻴﻢ ﻣﻦ ﻗﺒﻞ اﳌﺴﺘﺨﺪم وﺗﺘﻢ اﻟﱪﳎﺔ ﻣﻦ ﻗﺒﻞ اﻟﺸﺮﻛﺔ اﳌﺼﻨﻌﺔ،
وﺑﺎﻟﺘﺎﱄ ﻳﻮﺟﺪ ﻛﻠﻔﺔ ﺗﺄﺳﻴﺴﻴﺔ وزﻣﻦ ﺗﺼﻨﻴﻊ .اﻟﺸﻜﻞ 76-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺴﻴﻠﻴﻜﻮﱐ اﻟﺪاﺧﻠﻲ ﻟﺸﺮاﺋﺢ اﻟـ.MPGA
ﲤﺘﻠﻚ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳍﺠﻴﻨﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﻧﻔﺲ ﺑﻨﻴﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﻟﺮﻗﻤﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ،إﻻ أ�ﺎ ﺗﺘﻤﻴﺰ ﺑﻮﺟﻮد
ﻄﺎﻋﺎت ﺗﺸﺎﻬﺑﻴﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ واﻟﺘﻌﺪﻳﻞ ،وﻫﺬا ﻫﻮ ﺳﺒﺐ ﺗﺴﻤﻴﺘﻬﺎ ﺑﺎﳍﺠﻴﻨﻴﺔ .ﻣﻦ أﺷﻬﺮ اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ ﻟﺸﺮاﺋﺢ اﻟـ Mixed-signal
FPGAsﺷﺮﻛﺔ ،[378]Actelﺣﻴﺚ ﺗﺰود ﻫﺬﻩ اﻟﺸﺮﻛﺔ ﺷﺮاﺋﺢ ﲡﻤﻊ ﺑﲔ ﺑﻨﻴﺔ اﻟـ FPGAاﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ Antifuseإﺿﺎﻓﺔً إﱃ ﻣﻌﺎﰿ
ﻣﺼﻐﺮ ذو ﻧﻮاة ARMﻗﻄﺎﻋﺎت ﺗﺸﺎﻬﺑﻴﺔ ﻗﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ.
ﻮي اﻟﻘﻄﺎﻋﺎت اﻟﺘﺸﺎﻬﺑﻴﺔ ﻋﻠﻰ ﻛﺘﻞ (Signal Conditioning Blocks) SCBsﺗﻘﻮم ﻋﻠﻰ ﻣﻼﺋﻤﺔ اﻹﺷﺎة اﻟﺘﺸﺎﻬﺑﻴﺔ ﻋﻠﻰ اﳌﺪاﺧﻞ
واﳌﺨﺎرج ﻟﺘﺸﺎﻬﺑﻴﺔ ﻟﻠﺸﺮﳛﺔ .ﻛﻤﺎ ﲢﻮي ﻋﻠﻰ وﺣﺪات ﻣﺮاﻗﺒﺔ ﻟﻠﺠﻬﻮد واﻟﺘﻴﺎرات واﳊﺮارة ،إﺿﺎﻓﺔ إﱃ وﺣﺪة Analog Compute ) ACE
(Engineواﻟﱵ ﺗﻮم ﻋﻠﻰ ﻬﺗﻴﺌﺔ وﻣﻌﺎﳉﺔ وﺣﺪات اﻟـ DAC, ADC, SCBsﺑﺪف ﲣﻔﻴﻒ اﳊﻤﻞ ﻋﻦ اﳌﻌﺎﰿ .أﻳﻀﺎً ﲢﻮي ﻫﺬﻩ
ﻟﻘﻄﺎﻋﺎت ﻋﻠﻰ ﻣﺒﺪﻻت إﺷﺎرة وﻣﻘﺎرﻧﺎت ﺗﺸﺎﻬﺑﻴﺔ .اﻟﺸﻜﻞ 77-2ﻳﺒﲔ ﳐﻄﻂ ﺗﻮﺿﻊ اﻟﻮﺣﺪات واﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟﺘﺸﺎﻬﺑﻴﺔ ﻋﻠﻰ اﳌﺴﺘﻮى
اﻟﺴﻴﻠﻴﻜﻮﱐ ﻟﻠﺸﺮاﺋﺢ .[379]SmartFusionاﻟﺸﻜﻞ 78-2ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻌﻨﺎﺻﺮ اﻟﺸﺮاﺋﺢ اﳍﺠﻴﻨﺔ Actel SmartFusion
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 140
2 اﻟﻔﺼﻞ اﻟﺜﺎﱐ | Chapter 2
اﻟﺸﻜﻞ 77-2ﻄﻂ ﺗﻮﺿﻊ اﻟﻮﺣﺪات واﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ واﻟﺘﺸﺎﻬﺑﻴﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ Actel SmartFusion
141 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﳌﺼﻔﻮﻓﺎت اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً | Field Programmable Gate Arrays
إن ﺗﻘﻨﻴﺔ ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ) (FPGAﺗﻌﺘﱪ اﻟﺘﻘﻨﻴﺔ اﻟﱵ ﲢﺘﻞ أﻋﻠﻰ اﻷوﻟﻮﻳﺎت ﻛﺤﻞ ﺗﻜﻨﻮﻟﻮﺟﻲ ﻣﺮﺣﻠﻲ
وﻣﺴﺘﻘﺒﻠﻲ ﳌﻌﻈﻢ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﻜﺎﻓﺔ ﻓﺮوﻋﻬﺎ ،ﻣﻦ ﺗﻄﺒﻴﻘﺎت ﺻﻨﺎﻋﻴﺔ وﻃﺒﻴﺔ وﻋﺴﻜﺮﻳﺔ وﺧﺪﻣﻴﺔ وﻏﲑﻫﺎ ،وذﻟﻚ ﻧﻈﺮاً ﻟﻠﻤﺮوﻧﺔ
اﻟﻜﺒﲑة ﰲ إﻋﺎدة ﺗﺸﻜﻴﻞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ،إﺿﺎﻓﺔً إﱃ ﺳﻌﺔ اﳌﻌﺎﳉﺔ اﻟﻌﺎﻟﻴﺔ ،وﻗﺎﺑﻠﻴﺔ إﻋﺎدة اﺳﺘﺨﺪام اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ "،"IPs
وﻏﲑﻫﺎ ﻣﻦ اﳌﻴﺰات اﻟﱵ ﻛﺎﻧﺖ ﺳﺒﺒﺎً ﰲ ﺟﻌﻞ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ اﳊﻞ اﻟﺒﺪﻳﻞ ﻟﺘﻘﻨﻴﺎت ﻋﺪﻳﺪة ﺳﺎدت ﻟﻌﻘﻮد ﻣﻦ اﻟﺰﻣﻦ ﻣﺜﻞ :اﻟـ DSPs, ASICs,
.SoCs, ASSPs
وﻋﻠﻴﻪ ،وﻧﻈﺮاً ﻟﻠﺘﻮﺟﻪ اﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﻟﻜﺒﲑ اﻟﺬي ﲢﺘﻠﻪ ﺗﻘﻨﻴﺔ اﻟـ FPGAواﻟﺘﻄﻮر اﳌﺘﺴﺎرع ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ،ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث ﺗﻮﻛﺪ ﻋﻠﻰ أﻧﻪ
ﻣﻦ اﻟﻀﺮوري أن ﺗﻨﻌﻜﺲ ﻫﺬﻩ اﻻﲡﺎﻫﺎت اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳉﺪﻳﺪة ﺣﻘﻴﻘﺔ ﻋﻤﻠﻴﺔ ﰲ اﻷﻧﺸﻄﺔ اﻟﱰﺑﻮﻳﺔ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ
ﺗﻮاﻓﻖ ﻣﺘﻄﻠﺒﺎت اﻟﺘﻘﺪم اﻟﺘﻜﻨﻮﻟﻮﺟﻲ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري وﺟﻮد ﻣﺮاﺟﻌﺔ وﺗﻄﻮﻳﺮ ﻣﺴﺘﻤﺮ ﻟﻠﻤﻨﺎﻫﺞ اﻟﺪراﺳﻴﺔ اﳉﺎﻣﻌﻴﺔ ،ﺗﺪف إﱃ دﻣﺞ
اﻟﺘﻄﻮرات اﳉﺪﻳﺪة ﻟﺘﻘﻨﻴﺔ اﻟـ FPGAsأدواﻬﺗﺎ.
ﺗﺴﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﻛﻤﻨﺼﺎت ﺗﺼﻤﻴﻢ أوﻟﻴﺔ ﻟﺘﻘﻨﻴﺔ اﻟـﺪارات اﳌﺘﻜﺎﻣﻠﺔ ﻣﺘﺨﺼﺼﺔ اﻟﺘﻄﺒﻴﻘﺎت ،واﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ ،واﻟﻌﺪﻳﺪ
ﻣﻦ اﻷﻧﻈﻤﺔ اﻷﺧﺮى ،ﻛﺬﻟﻚ ﺗﺴﺘﺨﺪم ﻛﻮﺳﻴﻠﺔ ﺗﻌﻠﻴﻤﻴﺔ أﺳﺎﺳﻴﺔ ﰲ اﳌﺨﺘﱪات اﳉﺎﻣﻌﻴﺔ ﻟﺘﻌﻠﻴﻢ اﻟﻄﻼب ﻣﺒﺎدئ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ،
ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ ،ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ،ﺑﺮﳎﺔ اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ،ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ .إن اﺳﺘﺨﺪام
اﻟـ FPGAﻛﻮﺳﻴﻠﺔ ﺗﻌﻠﻴﻤﺔ ﻳﺰود اﻟﻄﻼب ﺑﺎﻟﻔﺮﺻﺔ اﳌﺜﻠﻰ ﻟﻠﻌﻤﻞ ﻋﻠﻰ ﻣﺸﺎرﻳﻊ ﻫﺎدﻓﺔ ذات ﺗﻄﺒﻴﻖ واﻗﻌﻲ وذو أﺛﺮ ﻣﻠﻤﻮس ،ﺑﺪﻻً ﻣﻦ اﺳﺘﺨﺪام
ﺑﺮاﻣﺞ اﶈﺎﻛﺎة.
ﺑﺎﻟﻨﺘﻴﺠﺔ ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﻣﺘﺠﺪدة ﻋﻤﻠﻴﺔ ،ات ﺻﻠﺔ ﻣﺒﺎﺷﺮة ﲝﺎﺟﺔ اﻟﺼﻨﺎﻋﺔ ،ﻬﺗﺪف إﱃ زﻳﺎدة ﻓﺎﻋﻠﻴﺔ وﻓﺎﺋﺪة اﳋﱪة اﻟﻌﻤﻠﻴﺔ ﰲ
اﶈﺎﺿﺮات اﻟﻨﻈﺮﻳﺔ ،وذﻟﻚ ﻣﻦ ﺧﻼل اﻋﺘﻤﺎد اﺳﱰاﺗﻴﺠﻴﺔ إﺿﺎﻓﺔ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ ،FPGAﲝﻴﺚ
ﺗﺮﺗﻜﺰ ﻫﺬﻩ اﻻﺳﱰاﺗﻴﺠﻴﺔ ﻋﻠﻰ ﻃﺮاﺋﻖ اﻟﺘﺼﻤﻴﻢ وأدواﺗﻪ اﻟﻌﻤﻠﻴﺔ ﺑﺪﻻً ﻣﻦ اﻟﺪﻗﺎﺋﻖ اﻟﻨﻈﺮﻳﺔ ،ﲝﻴﺚ ﻳﻜﻮن اﻟﻄﻼب أﻗﺮب ﻣﺎ ﳝﻜﻦ إﱃ اﻷدوات
واﻻﺳﱰاﺗﻴﺠﻴﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﰲ اﻟﺼﻨﺎﻋﺔ ،ذﻟﻚ ﻬﺑﺪف ﺗﻘﻠﻴﺺ اﻟﻔﺠﻮة ﺑﲔ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ واﻟﺼﻨﺎﻋﺔ ،ﻓﻼ ﻳﺒﻘﻰ اﻟﺘﺴﺎؤل اﶈﲑ ﻳﻄﺮق
ﻣﺴﺎﻣﻌﻨﺎ ﻋﻠﻰ اﻟﺪوام ﺑـﺨﻄﺎب" :ﻛﻢ ﻣﻦ اﻟﻄﻼب واﳌﻬﻨﺪﺳﲔ ﰲ ﻓﺮوع اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ ﰲ ﺟﺎﻣﻌﺎﺗﻨﺎ ﻗﺎدرﻳﻦ ﻋﻠﻰ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ
اﻟـ!FPGA؟"
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 142
اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ Chapter 3
@k‹ó€a@ÊbÓÿ€a@Ú™ãi@pbÀ
ﻳﺘﺤﺪث ﻫﺬا اﻟﻔﺼﻞ ﻋﻦ ﻟﻐﺎت ﺑﺮﳎـﺔ اﻟﻜﻴـﺎن اﻟﺼـﻠﺐ ،وﺑﺸـﻜﻞ ﺧـﺎص ﻋـﻦ ﻟﻐـﺎت ﺑﺮﳎـﺔ اﳌﺼـﻔﻮﻓﺎت اﳌﻨﻄﻘﻴـﺔ اﻟﻘﺎﺑﻠـﺔ ﻟﻠﱪﳎـﺔ ﺣﻘﻠﻴـﺎً ،ﺣﻴـﺚ ﻳﻘـﺪم
ﶈﺔ ﻋﺎﻣﺔ ﻋﻨﻬﺎ ،وﻋﻦ ﺼﻨﻴﻔﺎﻬﺗﺎ ،وﻋﻦ دواﻬﺗﺎ ،وﻳﺪ ﱢﻋﻤﻬﺎ ﺑﺄﻣﺜﻠﺔ .ﰒ ﻳﺒﺤﺚ ﰲ أﳘﻴﺔ ﻟﻐـﺎت ﺑﺮﳎـﺔ اﻟﻜﻴـﺎن اﻟﺼـﻠﺐ اﻟﺮﺳـﻮﻣﻴﺔ ﻛـﺄداة اﻟﻌﺼـﺮ ﰲ ﺑﺮﳎـﺔ
اﻷﻧﻈﻤــﺔ اﳌﺪﳎ ــﺔ ،وﻳﺒــﲔ دورﻫ ــﺎ ﰲ ﺗﻌﺰﻳــﺰ اﳌﻨ ــﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴــﺔ ،ﰒ ﻳﺴ ــﺘﻌﺮض اﻟﺒﻴﺌــﺔ اﻟﱪﳎﻴ ــﺔ LabVIEWوﻓﻮاﺋــﺪ ﻟﻐ ــﺔ اﻟﱪﳎــﺔ اﻟﺮﺳ ــﻮﻣﻴﺔ “ ”Gاﻟ ــﱵ
اﻋﺘﻤــﺪت ﰲ اﻟﺪراﺳــﺔ ،وﻳﻘــﺪم ﻣﻘﺎرﻧــﺔ ﺑــﲔ اﻟﻠﻐــﺎت اﻟﺮﺳــﻮﻣﻴﺔ واﻟﻠﻐــﺎت اﻟﻨﺼــﻴﺔ ﻣــﱪزاً دور اﻟﻠﻐــﺎت اﻟﺮﺳــﻮﻣﻴﺔ ﰲ ﺗﺴـﺮﻳﻊ ﻣﺮاﺣــﻞ اﻟﺘﺼــﻤﻴﻢ واﻟﺘﻄــﻮﻳﺮ .ﰒ
ﻳﺘﻄﺮق ﺑﺸﻜﻞ ﺧﺎص إﱃ اﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ LabVIEWﰲ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ.
إن ﻃﺮق ﺗﺼﻤﻴﻢ ﺧﻮارزﻣﻴﺎت ﺑﻨﺎء اﻟﺪارات ﺿﻤﻦ اﻟﺸﺮاﺋﺢ اﻹﻟﻜﱰوﻧﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (FPGAﻣﺘﻤﺎﺛﻠﺔ ﰲ �ﺎﻳﺔ اﳌﻄﺎف ،وﻳﻜﻮن اﻟﻔﺮق ﺑﲔ
ﳐﺘﻠﻒ ﻫﺬﻩ اﻟﻄﺮق ﻫﻲ ﺗﻌﻘﻴﺪات ﺑﻌﺾ اﻟﻄﺮق ﰲ وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻦ ﺟﻬﺔ واﻟﺘﺴﻬﻴﻼت اﻟﱵ ﺗﻘﺪﻣﻬﺎ اﻟﻄﺮق اﻷﺧﺮى ﲟﺎ ﲢﻮﻳﻬﺎ ﻣﻦ
أدوات ﻣﺴﺎﻋﺪة ﻣﻦ ﺟﻬﺔ أﺧﺮى؛ ﻓﻔﻲ اﳌﺎﺿﻲ ﻛﺎﻧﺖ ﻛﺘﺎﺑﺔ ﺧﻮارزﻣﻴﺎت ﺑﻨﺎء اﻟﺪارات ﺗﺘﻢ ﺑﺎﺳﺘﺨﺪام اﻟﻮرﻗﺔ واﻟﻘﻠﻢ )،(Hand-crafted
ﺣﻴﺚ ﻳﻘﻮم ﻓﺮﻳﻖ اﻟﻌﻤﻞ ﺑﺮﺳﻢ ﳐﻄﻂ اﳋﻮارزﻣﻴﺔ اﻟﱵ ﺳﻴﺘﻢ ﺗﻨﻔﻴﺬﻫﺎ ﻋﻠﻰ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ ،وﺑﺎﻟﺘﺎﱄ ﺗﺰداد إﻣﻜﺎﻧﻴﺔ ﺣﺼﻮل اﳋﻄﺄ وﺧﺎﺻﺔ
ﻋﻨﺪﻣﺎ ﺗﺘﻌﻘﺪ اﳋﻮارزﻣﻴﺔ ،أﻣﺎ ﰲ اﻟﻮﻗﺖ اﳊﺎﱄ وﺑﺎﻋﺘﻤﺎد اﻟﺘﺼﻤﻴﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ) (CADﻛﻮﺳﻴﻠﺔ ﻟﺒﻨﺎء اﳋﻮارزﻣﻴﺎت أﺻﺒﺢ اﳌﺮدود أﻋﻠﻰ
وإﻣﻜﺎﻧﻴﺔ اﳋﻄﺄ أﻗﻞ .اﻟﺸﻜﻞ 1-3ﻳﺒﲔ اﻟﺘﻄﻮر اﻟﺰﻣﲏ ﻟﺘﻘﻨﻴﺎت ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
2010's
2000's
1990's ?!?
1980's System.Level
1970's HDL
Schematic
Hand.Craft
ﳑﺎ ﻻ ﺷﻚ ﻓﻴﻪ أن ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) ،(HDLsﻣﺜﻞ ،VHDL, Verilog :ﻫﻲ أﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ ﻣﻦ ﻏﲑﻫﺎ ﻣﻦ اﻟﻠﻐﺎت ﻋﻨﺪﻣﺎ
ﻳﺘﻌﻠﻖ اﻷﻣﺮ ﺑﻮﺻﻒ وﻇﺎﺋﻒ وﺳﻠﻮك اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،وﻟﻜﻦ ﻫﺬا ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈﻧﻪ ﳛﺘﺎج إﱃ ﻣﺴﺘﻮى ٍ
ﻋﺎل ﻣﻦ اﳋﱪة ﰲ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﻟﺘﻮﻇﻴﻒ ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺑﺸﻜﻞ ﻓﻌﺎل؛ اﻟﺴﺒﺐ اﻟﺬي ﳚﻌﻞ ﻣﻄﻮري اﻟﱪاﻣﺞ اﳊﺎﺳﻮﺑﻴﺔ ﻳﻌﺎﻧﻮن ﻣﻦ ﻧﻘﺺ اﳋﱪة ﺣﻮل ﺗﻔﺎﺻﻴﻞ
وﺗﻌﻘﻴﺪات ﺗﻄﻮﻳﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ؛ ﺎ ﳛﺪ ﻣﻦ ﻣﻘﺪرﻬﺗﻢ ﻋﻠﻰ ﺗﺼﻤﻴﻢ وﺗﻄﻮﻳﺮ ﺗﻄﺒﻴﻘﺎت اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﺜﻞ ﺗﻘﻨﻴﺔ اﻟـ.[380,381] FPGA
ﻟﻼﺳﺘﻔﺎدة ﻣﻦ ﻣﻬﺎرات ﻣﻄﻮري اﻟﱪﳎﻴﺎت اﳊﺎﺳﻮﺑﻴﺔ ،واﳊﺪ ﻣﻦ ﻃﺎﺑﻊ ﺗﻌﻘﻴﺪات اﻟﻜﻴﺎن اﻟﺼﻠﺐ وﻟﻐﺎﺗﻪ ،ﻗﺎﻣﺖ ﺷﺮﻛﺎت اﻟ ـ""EDA
) (Electronic Design Automationﺑﺘﻄﻮﻳﺮ ﺑﻴﺌﺎت وأدوات ﺑﺮﳎﻴﺔ ﺗﺴﺘﺨﺪم ﻟﻐﺔ اﻟـ C/C++اﻟﻘﻴﺎﺳﻴﺔ أو ﻟﻐﺔ اﻟـ Javaﻟﺘﻄﻮﻳﺮ وﺑﺮﳎﺔ
أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ .ﻫﺬﻩ اﻷدوات اﻟﱪﳎﻴﺔ اﳉﺪﻳﺪة ﺗﻘﻮم ﻋﻠﻰ ﲢﻮﻳﻞ اﻟﱪﻧﺎﻣﺞ ﻣﻦ ﻟﻐﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ) (high-level C++إﱃ ﺑﺮﻧﺎﻣﺞ
وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻨﺨﻔﺾ اﳌﺴﺘﻮى ) (low-level HDLوﺗﺪﻋﻰ ﰲ أﻏﻠﺐ اﻷﺣﻴﺎن ﺑـ .Mappersﲤﺘﻠﻚ ﻫﺬﻩ اﻷدوات اﳌﻘﺪرة
ﻋﻠﻰ ﺗﻄﻮﻳﺮ وﻓﺺ وﺗﺘﺒﻊ أﺧﻄﺎء اﻟﱪاﻣﺞ اﻟﱵ ﻫﻲ ﻣﺸﺎﻬﺑﺔ ﺟﺪا ﻟﺒﻴﺌﺎت ﺗﻄﻮﻳﺮ اﻟﱪﳎﻴﺎت.
ﻋﻠﻰ ﺧﻼف اﻟﻠﻐﺎت ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ،ﻓﺈن ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺗﺸﲑ ﺑﻮﺿﻮح إﱃ اﻟﺴﻠﻮك واﻻرﺗﺒﺎط اﳌﺘﺰاﻣﻦ ﺑﲔ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ
اﻟﺸﺮﳛﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻫﺬا اﻟﺸﻜﻞ ﻣﻦ أﺷﻜﺎل اﻟﺘﻮﺻﻴﻒ اﻟﱪﳎﻲ ﳝﺜﻞ درﺟﺔ أﺧﻔﺾ وأﻋﻘﺪ ﰲ اﳌﺴﺘﻮى اﻟﱪﳎﻲ ) low-level
(abstractionﻣﻘﺎرﻧﺔ ﻣﻊ ﻟﻐﺎت ﺗﻄﻮﻳﺮ اﻟﱪﳎﻴﺎت اﳊﺎﺳﻮﺑﻴﺔ .اﻟﺸﻜﻞ 2-3ﻳﺒﲔ ﻫﻴﻜﻠﻴﺔ ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ .اﻟﺸﻜﻞ 3-3ﻳﺒﲔ
ﻫﻴﻜﻠﻴﺔ ﺑﻨﺎء اﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ اﳊﺎﺳﻮﺑﻴﺔ.
Design Design
Edit Synthesis
Simulation
Compile Debug
Translate
Mapping + Placement + Routing
Link On-Chip
Debugging
اﻟﺸﻜﻞ 3-3ﻫﻴﻜﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ ﻟﻸﻧﻈﻤﺔ اﻟﱪﳎﻴﺔ اﻟﺸﻜﻞ 2-3ﻫﻴﻜﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ
اﻟﺴﻌﻲ ﻧﺤﻮ ﺑﺮﻣﺠﺔ ﻋﺎﻟﻴﺔ اﻟﻤﺴﺘﻮى ،ﻟﻤﺤﺔ ﺗﺎرﻳﺨﻴﺔ ):(Toward HLL, Historical Overview 2-3
اﳉﺬور اﻷوﱃ ﻟﻨﺸﺄة ﻟﻐﺎت اﻟﱪﳎﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ،ﺣﻴﺚ أﻧﻪ ﻣﻊ إﺷﺮاﻗﺔ ﻓﺠﺮ ﻋﺼﺮ اﳊﻮﺳﺒﺔ اﳊﺪﻳﺜﺔ ﰲ ﻣﻨﺘﺼﻒ اﳋﻤﺴﻴﻨﻴﺎت ﻣﻦ اﻟﻘﺮن
اﳌﺎﺿﻲ ،ﻛﺎن ﻓﺮﻳﻖ ﺻﻐﲑ ﻣﻦ اﻟﺒﺎﺣﺜﲔ ﰲ ﺷﺮﻛﺔ IBMﻗﺪ ﻗﺮر إﳚﺎد ﺑﺪﻳﻞ آﺧﺮ ﻻﺳﺘﺨﺪام ﻟﻐﺔ اﻟﺘﺠﻤﻴﻊ ﻣﻨﺨﻔﻀﺔ اﳌﺴﺘﻮى )(Assembly
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 144
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﺑﻌﺾ اﻟﺸﻜﻮك ﰲ ﻛﻮن ﻫﺬﻩ اﻟﻄﺮﻳﻘﺔ اﳉﺪﻳﺪة ﻗﺎدرة ﻋﻠﻰ اﻟﺘﻔﻮق ﻋﻠﻰ اﻟﱪاﻣﺞ اﳌﻜﺘﻮﺑﺔ ﻳﺪوﻳﺎً ﺑﻠﻐﺔ ﺪ اﻧﺘﺎب اﺠﻤﻟﺘﻤﻊ اﳍﻨﺪﺳﻲ ﰲ اﻟﺒﺪء ُ
اﻟﺘﺠﻤﻴﻊ ،وﻟﻜﻦ ﺳﺮﻋﺎن ﻣﺎ ﺛﺒﺖ أن اﻟﱪاﻣﺞ اﳌﻜﺘﻮﺑﺔ ﺑﻠﻐﺔ Fortranﻗﺎدرةٌ ﻋﻠﻰ اﻟﻌﻤﻞ ﺗﻘﺮﻳﺒﺎً ﺑﻨﻔﺲ ﻓﻌﺎﻟﻴﺔ ﺗﻠﻚ اﳌﻜﺘﻮﺑﺔ ﺑﻠﻐﺔ اﻟﺘﺠﻤﻴﻊ؛ وﰲ
ﻧﻔﺲ اﻟﻮﻗﺖ ،اﺳﺘﻄﺎﻋﺖ ﻟﻐﺔ Fortranﺗﻘﻠﻴﺺ ﻋﺪد اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ ﻟﺒﻨﺎء ﺑﺮﻧﺎﻣﺞ ﻣﺎ ﲝﻮاﱄ ﻋﺸﺮﻳﻦ ﻣﺮة ،وﻫﺬا ﻣﺎ ﺟﻌﻠﻬﺎ
ﺗﻌﺘﱪ أوﱃ ﻟﻐﺎت اﻟﱪﳎﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ،وﱂ ﻳﻜﻦ ﻣﻦ اﳌﻔﺎﺟﺊ أن ﻟﻐﺔ Fortranﻗﺪ ﺣﺼﻠﺖ ﺑﺴﺮﻋﺔ ﻛﺒﲑﻋﻠﻰ رﺿﻰ وﻗﺒﻮل اﺠﻤﻟﺘﻤﻊ اﻟﻌﻠﻤﻲ
ﰲ ذاك اﻟﻮﻗﺖ وﺣﱴ وﻗﺖ ﻣﺘﺄﺧﺮ.
ﺑﻌﺪ ﻧﺼﻒ ﻗﺮن ،ﻣﺎ زﻟﻨﺎ ﻧﺴﺘﻄﻴﻊ اﺳﺘﺨﻼص اﻟﻜﺜﲑ ﻣﻦ اﻟﻌَِﱪ اﳍﺎﻣﺔ ﻣﻦ ﻫﺬﻩ اﻟﻘﺼﺔ وﻫﻲ:
ﻷﻛﺜﺮ ﻣﻦ ﲬﺴﲔ ﻋﺎﻣﺎً ،ﺣﺎول اﳌﻬﻨﺪﺳﻮن اﺑﺘﻜﺎر ﻃﺮق أﺳﻬﻞ وأﺳﺮع ﳊﻞ اﳌﺸﻜﻼت ﺑﺎﺳﺘﺨﺪام اﻟﱪﳎﺔ اﳊﺎﺳﻮﺑﻴﺔ.
ﻟﻐﺎت اﻟﱪﳎﺔ ﻟﱵ اﺧﺘﺎرﻫﺎ اﳌﻬﻨﺪﺳﻮن ﻟﺘﻠﺒﻴﺔ ﻣﺘﻄﻠﺒﺎﻬﺗﻢ اﲡﻬﺖ ﳓﻮ ﻣﺴﺘﻮﻳﺎت أﻋﻠﻰ ).(High-level of Abstraction
ﺗﺼﻨﻴﻒ ﻟﻐﺎت ﺑﺮﻣﺠﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ):(Hardware Programming Languages Sorts 3-3
ﺗﺼﻨﻒ ﻟﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ وﻓﻖ أرﺑﻊ ﳎﻤﻮﻋﺎت رﺋﻴﺴﻴﺔ ،وﻫﻲ:
اﻟﺸﻜﻞ 4-3اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ Schematicﻟﺪارة ﻋﺪاد ﺳﺒﺎق ) (Stopwatchﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ Xilinx ISE
145 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﺗﺘﻤﻴﺰ ﻫﺬﻩ اﻟﻠﻐﺎت ﺑﺎﻟﺴﻬﻮﻟﺔ واﳌﺮوﻧﺔ ،ﻛﻤﺎ أ�ﺎ ﺗﻌﻄﻲ ﺗﻔﺎﻋﻠﻴﺔ ﻛﺒﲑة ﺑﲔ اﳊﺎﺳﺐ واﳌﺴﺘﺨﺪم ،إﻻ أ�ﺎ ﺗﺴﺘﺨﺪم ﻣﻦ أﺟﻞ اﻟﺘﻄﺒﻴﻘﺎت اﳌﻨﻄﻘﻴﺔ
اﻟﺒﺴﻴﻄﺔ ،واﻟﱵ ﻻ ﲢﻮي ﻋﻠﻰ ﺗﻌﻘﻴﺪات ﻛﺒﲑة ﰲ اﻟﺘﺼﻤﻴﻢ .ﻛﻤﺎ أ�ﺎ ﺗﺴﺘﺨﺪم ﻛﺄﺳﺎس ﰲ ﺗﻌﻠﻴﻢ اﻟﻄﻼب ﻣﻨﺎﻫﺞ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ
ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺗﻘﻨﻴﺔ اﻟـ .[287,288]FPGAاﻟﺸﻜﻞ 4-3ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ Schematicﻟﺪارة ﻋﺪاد ﺳﺒﺎق )(Stopwatch
ﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ .Xilinx ISE Schematic Editor
ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﺨﻄﻴﻄﻴﺔ ﻳﺘﻢ ﺑﻨﺎء اﻟﺘﺼﻤﻴﻢ ﺑﺸﻜﻞ ﻫﺮﻣﻲ ) – (Hierarchicalأي أن اﳌﺴﺘﻮى اﻷﻋﻠﻰ ﻟﻠﺘﺼﻤﻴﻢ ) (top-levelﻫﻮ
اﻟﻮاﺟﻬﺔ ” “Schematicاﻟﱵ ﺗﺮﺗﺒﻂ ﻣﺒﺎﺷﺮة ﲟﺴﺘﻮى أﺧﻔﺾ واﻟﺬي ﻫﻮ ﻋﺒﺎرة ﻋﻦ وﺣﺪات ” ،“Macrosﻫﺬﻩ اﻟﻮﺣﺪات ﺗﺘﺸﻜﻞ ﻣﻦ
أﻧﻮاع ﳐﺘﻠﻔﺔ ﻣﻦ اﻟﻨﻤﺎذج اﻟﺘﺼﻤﻴﻤﻴﺔ ﻣﺜﻞ.Schematic, HDL, IPs :
(Declarativeﻟﻮﺻﻒ ﺳﻠﻮك أو ﻋﻤﻞ اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﻟﺮﻗﻤﻴﺔ ) (Digital Logicﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ ،وﻣﻦ أﺷﻬﺮ
ﻫﺬﻩ اﻟﻠﻐﺎت اﳌﺴﺘﺨﺪﻣﺔ ﻫﻲ ﻟﻐﺔ اﻟـ [382] VHDLوﻟﻐﺔ اﻟـ.[383] Verilog
ﲤﺘﺎز ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺑﺄ�ﺎ ﻟﻐﺎت وﺻﻔﻴﺔ ﺗﺘﻌﻠﻖ ﻣﺒﺎﺷﺮة ﺑﺒﻨﺎء اﻟﻜﻴﺎن اﻟﺼﻠﺐ وﺳﻠﻮﻛﻪ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ،ﻛﻤﺎ أ�ﺎ ﲣﺘﻠﻒ ﻋﻦ اﻟﻠﻐﺎت
اﻟﱪﳎﻴﺔ اﳌﺨﺼﺼﺔ ﻟﺘﻄﺒﻴﻘﺎت اﳊﺎﺳﺐ ﺑﺸﻜﻞ ﺟﺬري].[384
وﻫﻲ ﻟﻐﺔ ﺗﻮﺻﻴﻒ ﻋﻤﻞ أو ﺳﻠﻮك اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ اﻟﺮﻗﻤﻴﺔ ﻓﺎﺋﻘﺔ اﻟﺴﺮﻋﺔ ) .(VHSICHDLﻇﻬﺮت ﻟﻐﺔ
اﻟـ VHDLﻷول ﻣﺮة ﰲ اﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة اﻷﻣﺮﻳﻜﻴﺔ ﻋﺎم 1980وﻗﺪ ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﻣﻦ ﻗﺒﻞ وزارة اﻟﺪﻓﺎع اﻷﻣﺮﻳﻜﻴﺔ وﻗﺪ ﻋﺮﻓﺖ ﰲ ذاك اﻟﻮﻗﺖ
ﺑـ (Very-high-speed Integrate Circuit) VHSICﻗﺒﻞ ﻇﻬﻮرﻫﺎ وﺗﻄﻮرﻫﺎ إﱃ ﻟﻐﺔ اﻟـ ،VHDLﰒ ﺑﺪأت ﺑﺎﻻﻧﺘﺸﺎر ﺑﺸﻜﻞ ﻛﺒﲑ
وواﺳﻊ؛ وذﻟﻚ ﻟﺘﻤﺘﻌﻬﺎ ﲟﺰاﻳﺎ ﻋﺪﻳﺪة ﻛﺈﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء اﻟﻨﻈﺎم اﳌﻄﻠﻮب ﲟﺴﺎﺣﺔ أﺻﻐﺮ ﻣﻦ اﻟﻘﻄﺎﻋﺎت اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،واﺳﺘﻬﻼك أﻗﻞ ﻟﻠﻄﺎﻗﺔ،
وﺳﺮﻋﺔ ﻋﻤﻞ أﻛﱪ.
اﻟﻨﺴﺨﺔ اﻷوﱃ ﻇﻬﺮت رﲰﻴﺎً ﻋﺎم 1987وﻋﺮﻓﺖ ﺑﺎﺳﻢ ،VHDL-87ﰒ ﰲ ﻋﺎم 1993ﰎ ﺗﺮﻗﻴﺘﻬﺎ إﱃ VHDL-93واﻟﱵ ﻛﺎﻧﺖ ﻟﻐﺔ
ﺗﻮﺻﻴﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﻌﻴﺎرﻳﺔ اﻷوﱃ اﳌﻌﺘﻤﺪة ﻣﻦ ﻗﺒﻞ ﻫﻴﺌﺔ اﻟـ IEEEﰲ اﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة ،وﻋﺮف اﳌﻌﻴﺎر ﺑـ ،IEEE1076ﰒ ﺑﻌﺪ ذﻟﻚ
ﰎ إﺿﺎﻓﺔ ﻣﻌﻴﺎر آﺧﺮ ﳍﺬﻩ اﻟﻠﻐﺔ وﻫﻮ اﳌﻌﻴﺎر .[385]IEEE1164
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 146
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﺑﺸﻜﻞ رﺋﻴﺴﻲ ﺻﻤﻤﺖ ﻟﻐﺔ اﻟـ VHDLﻟﺘﻠﺒﻴﺔ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻮﻇﺎﺋﻒ ﰲ ﻋﻤﻠﻴﺎت اﻟﺘﺼﻤﻴﻢ ) development, verification, synthesis
-وﺻﻒ ﺑﻨﻴﺔ اﻟﻨﻈﺎم ﻣﻮﺿﺤﺔ أن اﻟﺘﺼﻤﻴﻢ اﻟﻜﺎﻣﻞ ﻟﻠﻨﻈﺎم ﳝﻜﻦ أن ﻳﺘﺤﻘﻖ ﻋﱪ ﻋﺪة وﺣﺪات ﺗﺼﻤﻴﻤﻴﺔ ﻣﺴﺘﻘﻠﺔ ،ﻣﻊ ﺑﻴﺎن ﻛﻴﻔﻴﺔ
ﺗﻮﺻﻴﻞ ﻫﺬﻩ اﻟﻮﺣﺪات ﻟﻠﺤﺼﻮل ﻋﻠﻰ اﻟﻨﻈﺎم اﳌﺮﻏﻮب.
-ﲤﺜﻴﻞ وﻇﺎﺋﻒ اﻟﺪارات ﺑﺎﺳﺘﺨﺪام ﺻﻴﻎ ﺑﺮﳎﻴﺔ ﻣﺄﻟﻮﻓﺔ.
-ﳏﺎﻛﺎة اﻟﺘﺼﻤﻴﻢ ﻗﺒﻞ ﺗﺸﻜﻴﻠﻪ ﻓﻴﺰﻳﺎﺋﻴﺎً وﻫﺬا ﻳﻮﻓﺮ اﻟﻜﺜﲑ ﻣﻦ اﻟﻮﻗﺖ وﻣﻦ ﻛﻠﻔﺔ اﻟﻨﻤﺎذج اﻷوﻟﻴﺔ.
-ﺗﻌﺘﱪ ﻟﻐﺔ اﻟـ VHDLﻟﻐﺔ ﰲ ﻏﺎﻳﺔ اﻟﺘﻌﻘﻴﺪ ﻛﻤﺎ أ�ﺎ ﲤﻠﻚ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﱰاﻛﻴﺐ اﻟﻠﻐﻮﻳﺔ واﻟﺬي ﳚﻌﻠﻬﺎ ﻟﻐﺔ ﻗﻮﻳﺔ ﺟﺪاً إﻻ أ�ﺎ ﻋﺴﲑة
ﰲ ﺗﻌﻠﻤﻬﺎ واﺳﺘﺨﺪاﻣﻬﺎ.
ﻋﻠﻰ ﺧﻼف ﻟﻐﺎت اﻟﱪﳎﺔ اﻻﻋﺘﻴﺎدﻳﺔ ﻣﺜﻞ BASIC, C, assemblyاﻟﱵ ﺗﻨﻔﺬ ﺑﺸﻜﻞ ﺗﺘﺎﺑﻌﻲ ) ،(Sequentiallyﻓﺈن اﳍﻴﻜﻠﻴﺔ اﻟﱪﳎﻴﺔ
ﻟﻠﻐﺔ اﻟـ VHDLﺗﻨﻔﺬ ﺑﺸﻜﻞ ﺗﻔﺮﻋﻲ ﻣﺘﺰاﻣﻦ ،ﻟﺬﻟﻚ ﻓﻬﻲ ﺗﻌﺘﱪ ﺷﻴﻔﺮة وﻟﻴﺴﺖ ﺑﺮﻧﺎﳎﺎً ،ﻛﻤﺎ أن ﻟﻐﺔ اﻟـ VHDLﻟﻴﺴﺖ ﺧﺎﺻﺔ ﺑﻨﻈﺎم أو
ﻛﻴﺎن ﳏﺪد أو ﺷﺮﻛﺔ ﻣﻌﻴﻨﺔ ،وإﳕﺎ ﻫﻲ ﻧﻈﺎم ﻗﻴﺎﺳﻲ ﺗﻌﺘﻤﺪﻩ اﻟﺸﺮﻛﺎت اﳌﺼﻨﻌﺔ واﳌﱪﳎﺔ ،ﻓﻴﻤﻜﻦ ﲢﻮﻳﻞ أي ﺷﻴﻔﺮة ﻣﻜﺘﻮﺑﺔ ﺑﻠﻐﺔ اﻟـ
VHDLواﺳﺘﺨﺪاﻣﻬﺎ ﻟﺘﻨﻔﻴﺬ أي ﻧﻈﺎم ﺑﱰﲨﺘﻬﺎ ) (Synthesisوﺑﺮﳎﺘﻬﺎ إﱃ اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ .إن اﳋﺮج اﻟﻨﻬﺎﺋﻲ ﻟﺸﻴﻔﺮة
ﻣﻜﺘﻮﺑﺔ ﺑﻠﻐﺔ اﻟـ VHDLﻣﻠﻒ اﻟﺘﻮﺻﻴﻼت "."Netlist
إن اﳍﻴﻜﻠﻴﺔ اﻟﻌﺎﻣﺔ واﻷﺳﺎﺳﻴﺔ ﻟﻜﺘﺎﺑﺔ أي ﺑﺮﻧﺎﻣﺞ ﺑﻠﻐﺔ اﻟـ VHDLﻣﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ ،5-3ﺣﻴﺚ ﻳﺘﻢ ﰲ ﺑﺪاﻳﺔ اﻟﱪﻧﺎﻣﺞ إﺳﻨﺎد اﳌﻜﺎﺗﺐ
اﳌﺴﺘﺨﺪﻣﺔ ،ﰒ ﻛﺘﺎﺑﺔ ﻛﻴﺎن اﻟﱪﻧﺎﻣﺞ ) ،(Entityﰒ اﻟﺒﻨﻴﺔ اﻟﻮﺻﻔﻴﺔ وﻓﻴﻬﺎ اﻟﱪﻧﺎﻣﺞ اﻟﺮﺋﻴﺴﻲ واﻟﱪاﻣﺞ اﻟﻔﺮﻋﻴﺔ.
Libraries
Entity
Architecture
Sub-routines
147 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﺗﻀﻢ اﳌﻜﺘﺒﺎت ﳎﻤﻮﻋﺎت اﻟﻨﻤﺎذج اﻟﺘﺼﻤﻴﻤﻴﺔ واﻟﺘﻮاﺑﻊ واﻟﻮﺣﺪات ﻟﻠﻐﺔ اﻟـ ،VHDLوﳝﻜﻦ ان ﻳﻀﻢ اﻟﱪﻧﺎﻣﺞ ﻣﻜﺘﺒﺔ أو أﻛﺜﺮ ﺗﺒﻌﺎً ﻟﻠﻮﻇﺎﺋﻒ
اﳌﻄﻠﻮﺑﺔ ﻣﻦ اﻟﱪﻧﺎﻣﺞ .اﻟﺸﻜﻞ 6-3ﻳﺒﲔ ﺑﻌﺾ اﳌﻜﺘﺒﺎت اﻟﻘﻴﺎﺳﻴﺔ ﻟﻠﻐﺔ اﻟـ.VHDL
;Library IEEE
;use IEEE.numeric_std.all
;use IEEE.std_logic_1164.all
;use IEEE.std_logic_arith.all
;use IEEE.std_logic_signed.all
;use IEEE.std_logic_unsigned.all
ﺗﻀﻢ اﳍﻴﻜﻠﻴﺔ " "Architectureاﻟﻮﻇﺎﺋﻒ اﻟﱵ ﺗﺼﻒ ﺳﻠﻮك اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﺮﺗﺒﻂ ﺑﺎﻟﻮﻇﻴﻔﺔ اﻷﺳﺎﺳﻴﺔ اﳌﻌﺮﻓﺔ ﺑﺎﻟﻜﻴﺎن " ."Entityﳝﻜﻦ
أن ﻳﻀﻢ اﻟﻜﻴﺎن ﻫﻴﻜﻠﻴﺔ أو اﻛﺜﺮ وﻛﻞ واﺣﺪة ﻣﻨﻬﻤﺎ ﳝﻜﻦ ان ﺗﻜﻮن وﺻﻔﺎً ﺳﻠﻮﻛﻴﺎً ﻟﻠﻨﻈﺎم او وﺻﻔﺎً ﺑﻨﻴﻮﻳﺎً ،وﻳﺘﻢ اﻟﺘﺼﺮﻳﺢ ﻋﻦ اﳍﻴﻜﻠﻴﺔ ﻛﻤﺎ
ﻫﻮ ﻣﺒﲔ ﺑﺎﻟﺸﻜﻞ.8-3
ﻇﻬﺮت ﻟﻐﺔ اﻟـ Verilogﻋﺎم ،1984وﺗﻌﺘﱪ أﺣﺪ ﻟﻐﺎت ﺗﻮﺻﻴﻒ ﻋﻤﻞ أو ﺳﻠﻮك اﻟﻜﻴﺎن اﻟﺼﻠﺐ .ﺗﺴﺘﺨﺪم ﻟﻐﺔ اﻟـ Verilogﻟﻨﻤﺬﺟﺔ
اﻷﻧﻈﻤﺔ اﻹﻟﻜﱰوﻧﻴﺔ ﰲ ﻣﻌﻤﻞ ﺗﺼﻤﻴﻢ اﻟﺸﺮاﺋﺢ اﻹﻟﻜﱰوﻧﻴﺔ وأﻧﺼﺎف اﻟﻨﻮاﻗﻞ ،ﻛﻤﺎ أ�ﺎ اﻷﻛﺜﺮ اﺳﺘﺨﺪاﻣﺎً ﰲ اﻟﺘﺼﺎﻣﻴﻢ ﰲ ﻣﺮﺣﻠﺔ اﻻﺧﺘﺒﺎر
واﻟﺘﻤﺜﻴﻞ ﻟﻠﺸﺮاﺋﺢ اﻟﺮﻗﻤﻴﺔ اﳌﻨﻄﻘﻴﺔ ﻋﻨﺪ اﳌﺴﺘﻮى اﳌﻨﺨﻔﺾ ،RTLوﻛﺬﻟﻚ ﰲاﺧﺘﺒﺎر اﻟﺪارات اﻟﺘﺸﺎﻬﺑﻴﺔ ودارات اﻹﺷﺎرات اﳍﺠﻴﻨﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 148
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﲣﺘﻠﻒ ﻟﻐﺔ اﻟـ Verilogﻋﻦ ﺑﻘﻴﺔ ﻟﻐﺎت اﻟﱪﳎﺔ ﻣﻦ ﺣﻴﺚ أ�ﺎ ﺗﺄﺧﺬ ﺑﻌﲔ اﻻﻋﺘﺒﺎر اﻟﺘﻐﲑات اﻟﺰﻣﻨﻴﺔ اﳊﺎﺻﻠﺔ ﺑﺸﻜﻞ ﺷﺪﻳﺪ اﳊﺴﺎﺳﻴﺔ واﻟﺪﻗﺔ،
ﻛﻤﺎ أ�ﺎ ﲤﻠﻚ ﻧﻮﻋﲔ ﻣﻦ ﻣﻌﺎﻣﻼت اﻟﺘﺤﺪﻳﺪ اﻟﱵ ﺗﺴﻤﺢ ﻟﻠﻤﺼﻤﻢ ﺑﻮﺻﻒ ﲢﺪﻳﺜﺎت اﳊﺎﻻت ﺑﺪون اﳊﺎﺟﺔ إﱃ ﺗﺼﺮﻳﺢ اﺳﺘﺨﺪام ﳌﺘﺤﻮﻻت
ﲣﺰﻳﻦ ﻣﺆﻗﺘﺔ ،وﻃﺎﳌﺎ أن ﻫﺬﻩ اﳌﻔﺎﻫﻴﻢ ﻫﻲ ﺟﺰء ﻣﻦ دﻻﻻت ﻟﻐﺔ اﻟـ ،Verilogﻓﺈن اﳌﺼﻤﻢ ﻳﺴﺘﻄﻴﻊ ﻛﺘﺎﺑﺔ وﺻﻒ ﻟﺪارات ﻛﺒﲑة ﺑﺸﻜﻞ
ﻣﻀﻐﻮط ودﻗﻴﻖ ﺟﺪاً.
إن ﻣﺼﻤﻤﻲ ﻟﻐﺔ اﻟـ Verilogأرادوا إﳚﺎد ﻟﻐﺔ ذات ﺗﺮﻛﻴﺐ ﺷﺒﻴﻪ ﺑﻠﻐﺔ اﻟﱪﳎﺔ ،Cواﻟﱵ ﺗﺴﺘﺨﺪم ﺣﺎﻟﻴﺎً ﺑﺸﻜﻞ واﺳﻊ ﰲ ﺗﻄﻮﻳﺮ اﻟﱪاﻣﺞ
اﳍﻨﺪﺳﻴﺔ .إن ﻟﻐﺔ اﻟـ Verilogﺣﺴﺎﺳﺔ ﳊﺎﻻت اﳊﺮوف ،وﻫﻲ ﲤﺘﻠﻚ ﻣﻌﺎﳉﺎً أوﻟﻴﺎً ذو ﺗﻌﻘﻴﺪ أﻗﻞ ﻣﻦ ، ANSI C/C++وﻛﺬﻟﻚ ﺗﻌﻠﻴﻤﺎت
ﺷﺮﻃﻴﺔ )… ،(if/else, for, while, caseوﻣﻌﺎﻣﻞ أوﻟﻮﻳﺔ.
إن ﺗﺼﻤﻴﻢ ﻟﻐﺔ اﻟـ Verilogﻳﺘﻜﻮن ﻣﻦ وﺣﺪات ﻫﺮﻣﻴﺔ ﻣﱰاﺑﻄﺔ ﻣﻊ وﺣﺪات أﺧﺮى ﺑﺎﺳﺘﺨﺪام ﻣﺪاﺧﻞ وﳐﺎرج ،وﲢﺘﻮي اﻟﻮﺣﺪة ﻋﻠﻰ اﻟﻌﺪﻳﺪ
ﻣﻦ اﻟﺘﺼﺮﳛﺎت ﻋﻦ اﳌﺘﺤﻮﻻت ،ﺑﺎﻹﺿﺎﻓﺔ إﱃ ﻋﺒﺎرات ﺗﺘﺎﺑﻌﻴﺔ وﻣﺘﺰاﻣﻨﺔ ،اﻷﻣﺮ اﻟﺬي ﳚﻌﻞ ﻟﻐﺔ اﻟـ Verilogﺷﺒﻴﻬﺔ ﺑﻠﻐﺎت ﳐﻄﻂ ﺗﺪﻓﻖ
اﳌﻌﻄﻴﺎت .اﻟﺸﻜﻞ 9-3ﻳﺒﲔ ﲤﺜﻴﻼً ﻟﺒﻮاﺑﺔ ANDﺑﻠﻐﺔ اﻟـ.Verilog
;)module andgate(a,b,c
;input a, b
;output c
;reg c
ﺑﻌﺪ اﻟﻨﺠﺎح اﳌﺘﺰاﻳﺪ ﻟﻠﻐﺔ اﻟـ VHDLﰲ ذاك اﻟﻮﻗﺖ ،ﻗﺮرت ﺷﺮﻛﺔ Cadenceأن ﺗﺼﻨﻊ ﻟﻐﺔ ذات ﻣﻌﺎﻳﲑ ﻣﻔﺘﻮﺣﺔ ،ﻓﻘﺎﻣﺖ ﺑﻮﺿﻊ ﻟﻐﺔ
اﻟـ Verilogﰲ اﳌﻴﺪان ﲢﺖ اﺳﻢ ) ،Open Verilog International (OVIواﻋﱰف ﺑﻌﺪ ذﻟﻚ ﺑﻠﻐﺔ اﻟـ Verilogﰲ ﻫﻴﺌﺔ IEEE
ﻫﺬا اﻹﺻﺪار وﺟﺪ ﰲ ﻋﺎم 2001وﻛﺎن اﻣﺘﺪاداً ﻟﻺﺻﺪار Verilog-95ﺣﻴﺚ ﰎ إﻋﺎدة ﺗﻮﺛﻴﻘﻪ ﻣﻦ ﻗﺒﻞ ﻫﻴﺌﺔ IEEEﻟﻴﻜﻤﻞ اﻟﻨﻮاﻗﺺ ﰲ
ﻣﻌﺎﻳﲑ ﻟﻐﺔ اﻟـ Verilogاﻷﺳﺎﺳﻴﺔ وﻓﻖ اﳌﻌﻴﺎر IEEE-1364وﻗﺪ ﺗﻀﻤﻦ ﲢﺪﻳﺜﺎت ﻛﺒﲑة ﰲ اﻟﻠﻐﺔ.
149 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﺗﻀﻤﻦ ﻫﺬا اﻹﺻﺪار ﺗﻌﺪﻳﻼت ﺛﺎﻧﻮﻳﺔ ﰲ .Verilog 2001ﻛﻤﺎ ﰎ إﺿﺎﻓﺔ ﻗﺴﻢ ﻣﻨﻔﺼﻞ ﻣﻦ ﻣﻌﻴﺎر Verilogﻫﻮ Verilog-AMSﰲ
ﳏﺎوﻟﺔ ﻟﻤﺬﺟﺔ اﻷﻧﻈﻤﺔ اﻟﺘﺸﺎﻬﺑﻴﺔ واﳌﺨﺘﻠﻄﺔ ﺿﻤﻦ ﻟﻐﺔ Verilogاﻷﺳﺎﺳﻴﺔ.
ﻫﻮ ﺗﻮﻇﻴﻒ ﲡﺎري ﻟﻺﺻﺪار Verilog 2005ﻣﻊ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات ).(design verification & modeling
وﻫﻲ ﳕﻮذج ﻣﺒﺴﻂ ﻣﻦ ﻟﻐﺔ اﻟـ VHDLﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻦ ﺧﻼل ﺗﻌﻴﲔ اﻻرﺗﺒﺎط ﺑﲔ اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﶈﺪدة
اﻟﻮﻇﻴﻔﺔ].[388
وﻫﻲ ﻟﻐﺔ وﺻﻒ ﻛﻴﺎن ﺻﻠﺐ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻟﻐﺔ اﻟﱪﳎﻴﺔ اﻟﻮﻇﻴﻔﻴﺔ SML؛ ﰎ ﺗﺼﻤﻴﻢ ﻟﻐﺔ اﻟـ HMLﻟﺘﺠﻤﻊ ﻣﻴﺰات وﺧﺼﺎﺋﺺ ﻟﻐﺎت اﻟـSTPL
) (Strongly Typed Programming Languagesﻣﻊ ﻣﻴﺰات وﺧﺼﺎﺋﺺ ﻟﻐﺎت اﻟـun-Typed Programming ) u-TPL
.[389](Languages
وﻫﻲ ﻟﻐﺔ وﻇﻴﻔﻴﺔ ﺑﻨﻴﻮﻳﺔ ) (Functional, Structuralﻟﻮﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﻟﻐﺔ اﻟﱪﳎﺔ اﻟﻮﻇﻴﻔﻴﺔ " ."Haskellﺗﺮﻛﺰ ﻟﻐﺔ
اﻟـ Lavaﻋﻠﻰ اﻟﻮﺻﻒ اﻟﺴﻠﻮﻛﻲ )ﻣﻦ ﺧﻼل وﺻﻞ اﳋﺮج ﻟﻜﺘﻠﺔ ﳌﻨﻄﻘﻴﺔ إﱃ اﻟﺪﺧﻞ ﻟﻠﺘﻜﻠﺔ ﻤﻟﺎورة( وﻋﻠﻰ اﻟﺘﻮﺿﻊ اﻟﻨﺴﱯ )ﻣﻦ ﺧﻼل ﺗﻌﻴﲔ
اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﲜﺎﻧﺐ ﺑﻌﻀﻬﺎ( ﻟﻠﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ ].[390
3-3-3ﻟﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ):(High-level Hardware Programming Language
إن اﻻزدﻳﺎد ﰲ ﺗﻌﻘﻴﺪ ﺷﺮاﺋﺢ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ وﻓﻘﺎً ﻟﻘﺎﻧﻮن ” [80] “Mooreﻳﺆدي إﱃ ﻧﺸﻮء ﻓﺠﻮة ﻛﺒﲑة ﺑﲔ ﻋﺪد اﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻟﱵ ﳝﻜﻦ
إﻧﺸﺎؤﻫﺎ ﻋﻠﻰ ﻣﺴﺎﺣﺔ ﳏﺪدة ﻣﻦ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ وﺑﲔ إﻣﻜﺎﻧﻴﺔ ﻣﻬﻨﺪﺳﻲ اﻟﺘﺼﻤﻴﻢ ﻋﻠﻰ إﻧﺸﺎء ﻫﺬﻩ اﻟﺸﺮﳛﺔ اﳌﺘﻜﺎﻣﻠﺔ ،وﻫﺬا ﻣﺎ ﻳﺪﻋﻰ اﻵن
ﺑ ـ” .”design gapاﻟﺸﻜﻞ 10-3ﻳﺒﲔ اﻟﻌﻼﻗﺔ ﺑﲔ اﻹﻧﺘﺎﺟﻴﺔ اﻟﺘﺼﻤﻴﻤﻴﺔ ﳌﻬﻨﺪﺳﻲ ﺗﺼﻤﻴﻢ اﻟﺸﺮاﺋﺢ اﳌﺘﻜﺎﻣﻠﺔ وﺑﲔ ﻋﺪد اﻟﱰاﻧﺰﺳﺘﻮرات اﻟﱵ
ﳝﻜﻦ أن ﺗﻮﺿﻊ ﻋﻠﻰ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ.
اﻟﺸﻜﻞ 10-3اﻟﻌﻼﻗﺔ ﺑﲔ ازدﻳﺎد ﺗﻌﻘﻴﺪ اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ وﻣﻘﺪرة اﳌﺼﻤﻤﲔ ﻋﻠﻰ ﺗﻄﻮﻳﺮﻫﺎ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 150
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
إن ﻧﺸﻮء ﻫﺬﻩ اﻟﻔﺠﻮة ﻳﻘﻮد إﱃ اﳊﺎﺟﺔ ﰲ اﻟﺒﺤﺚ ﻋﻦ ﻃﺮاﺋﻖ ووﺳﺎﺋﻞ ﻟﻮﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﲟﺴﺘﻮﻳﺎت ﺑﺮﳎﻴﺔ أﻋﻠﻰ وﻫﻮ ﻣﺎ ﻳﻌﺮف
اﺻﻄﻼﺣﺎً ﺑـ” .”high-level abstractionﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن اﻟﺘﺼﻤﻴﻢ اﻟﺬي ﳝﻜﻦ إﻧﺸﺎؤﻩ ﻣﻦ ﻣﺴﺘﻮى ﺑﺮﳎﻲ أﻋﻠﻰ ﻗﺪ ﻳﻜﻮن أﻗﻞ ﻛﻔﺎءة
ﻣﻘﺎرﻧﺔً ﻣﻊ اﻟﺘﺼﻤﻴﻢ اﻟﺬي ﻳﺘﻢ إﻧﺸﺎؤﻩ ﻣﺒﺎﺷﺮة ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،إﻻ أن ﻫﺬا اﻷﻣﺮ أﻗﻞ أﳘﻴﺔ ﺑﻜﺜﲑ ﻣﻦ ﻣﺴﺎﺋﻞ اﻟﺘﻌﻘﻴﺪ
اﻟﱪﳎﻲ واﳉﻬﻮد اﻟﻜﺒﲑة واﻟﺰﻣﻦ اﳌﺼﺮوف ﻟﻠﱪﳎﺔ ﺑﻠﻐﺎت اﻟﻜﻴﺎن اﻟﺼﻠﺐ .ﻋﻠﻰ ﻛﻞ ﺣﺎل ﻓﺈﻧﻪ ﻳﺘ ﱡﻢ ﺑﺬل اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﻬﻮد ﻹﳚﺎد أدوات ﺑﺮﳎﻴﺔ
ﻠﻐﺎت ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﻬﺗﺪف إﱃ اﻟﻮﺻﻮل ﻟﺘﺼﻤﻴﻢ أﻣﺜﻠﻲ.
ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ ﻟﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ) (HLLsﻣﺜﻞ ،Ada, Prolog, C, C++ and Java :ﻫﺬﻩ اﻟﻠﻐﺎت ﻳﺘﻢ
ﺗﻀﻤﻴﻨﻬﺎ ﰲ ﻣﱰﲨﺎت C-to-HDLﳐﺘﻠﻔﺔ] .[391ﻓﻴﻤﺎ ﻳﻠﻲ ﻧﺴﺘﻌﺮض ﺑﺈﳚﺎز ﺑﻌﺾ أﻗﻮى وأﺷﻬﺮ ﻫﺬﻩ اﳌﱰﲨﺎت.
وﻫﻲ ﻟﻐﺔ ﺗﻮﺻﻴﻒ ﻛﻴﺎن ﺻﻠﺐ ذات ﻣﺴﺘﻮى ﺑﻨﻴﻮي ﻣﻨﺨﻔﺾ ،ﺗﺮﻛﺰ ﺑﺸﻜﻞ رﺋﻴﺴﻲ ﻋﻠﻰ ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ وﻓﻖ ﻣﻨﻬﺞ اﻟﱪﳎﺔ ﻏﺮﺿﻴﺔ
اﻟﺘﻮﺟﻪ ) (Object Orientedﻣﻦ ﺧﻼل ﺣﺰم ﳎﻤﻮﻋﺎت اﻟﺒﻮاﺑﺎت داﺧﻞ ﻋﻨﺎﺻﺮ ﻟﻐﺔ اﻟـ.[392]JAVA
ﰎ ﺗﻄﻮﻳﺮ ﻫﺬﻩ اﻟﻠﻐﺔ ﰲ ﻋﺎم 1997ﰲ ﳐﺘﱪات أﲝﺎث اﳊﻮاﺳﺐ اﻟﻘﺎﺑﻠﺔ ﻟﻠﺘﻌﺪﻳﻞ ﰲ ﺟﺎﻣﻌﺔ .[393]Brigham Young
ﺗﺄﰐ ﻫﺬﻩ اﻟﻠﻐﺔ ﻗﻤﺔ ﻟﻐﺎت اﻟﱪﳎﺔ ﻣﻦ ﻧﺎﺣﻴﺔ ﺗﺼﻨﻴﻔﺎﻬﺗﺎ ﻟﻠﻤﻜﺘﺒﺎت اﻟﱵ ﺗﻌﻤﻞ ﺑﺎﺳﺘﺨﺪام اﳉﺎﻓﺎ ،وﻫﻲ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﺗﺴﺘﺨﺪم ﰲ ﺗﺼﻤﻴﻢ
اﻟﺪارات اﻟﺮﻗﻤﻴﺔ اﻟﱵ ﻳﺘﻢ ﺑﺮﳎﺘﻬﺎ ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟ ـ ،FPGAوﺗﺪﻋﻢ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﺷﺮاﺋﺢ ﺷﺮﻛﺔ .Xilinx
ﰲ ﻋﺎم 2004أﻋﻠﻨﺖ ﺷﺮﻛﺔ Mentor Graphicsرﲰﻴﺎً ﻋﻦ ﻣﻨﺘﺠﻬﺎ Catapult-Cﻛﻠﻐﺔ ﺑﺮﳎﻴﺔ ذات ﻣﺴﺘﻮى ٍ
ﻋﺎل ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ،
ﺗﺪﻋﻢ اﻟﺘﺼﻤﻴﻢ اﳍﺮﻣﻲ إﺿﺎﻓﺔً إﱃ ﺧﺎﺻﻴﺔ اﻟـ Pipelineواﻷﻧﻈﻤﺔ اﻟﻔﺮﻋﻴﺔ ﻣﺘﻌﺪدة اﻟﻜﺘﻞ ﻣﻦ ﺗﻮﺻﻴﻔﺎت .ANSI C/C++وﻇﻴﻔﺔ اﻟﱪﻧﺎﻣﺞ
Catapult-Cاﻷﺳﺎﺳﻴﺔ ﺗﻮﻟﻴﺪ ﻣﻠﻒ RTLﺑﺼﻴﻐﺔ VHDLأو Verilogﺗﺴﺘﺨﺪم ﻻﺣﻘﺎً ﻟﱪﳎﺔ ﺷﺮاﺋﺢ اﻟـ.ASICs / FPGAs
اﻟﺸﻜﻞ 12-3اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ﻟﻠﺒﻴﺌﺔ Catapult-C اﻟﺸﻜﻞ 11-3اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﻟـnetlist
151 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﺗﻮﻓﺮ اﻟﺒﻴﺌﺔ Catapult-Cإﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء أﻧﻈﻤﺔ ﻣﻌﻘﺪة ﺟﺪاً ﺑﺄﻗﻞ ﺟﻬﺪ وزﻣﻦ ﳑﻜﻦ ،ﻛﻤﺎ أ�ﺎ ﺗﺘﻀﻤﻦ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻮﻇﺎﺋﻒ اﳌﺘﻘﺪﻣﺔ اﳌﺘﻌﻠﻘﺔ
ﺑﺘﺤﻠﻴﻞ ﺳﻠﻮك اﻟﱪﻧﺎﻣﺞ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،ﻛﻤﺎ أن ﲨﻴﻊ ﻋﻤﻠﻴﺎت اﻟﺘﺤﻠﻴﻞ واﻟﺘﺤﻘﻖ ﺗﺘﻢ ﺑﺸﻜﻞ ﻣﺆﲤﺖ .اﻟﺸﻜﻞ 11-3ﻳﺒﲔ اﳌﺨﻄﻂ
اﻟﺘﺪﻓﻘﻲ ﻟﻮﻇﺎﺋﻒ اﻟﱪﻧﺎﻣﺞ اﳌﺆﲤﺘﺔ ،ﺣﻴﺚ ان اﻟﺪﺧﻞ ﻫﻮ اﻟﱪﻧﺎﻣﺞ اﳌﻜﺘﻮب ﺑﻠﻐﺔ C/C++واﳋﺮج ﻫﻮ ﻣﻠﻒ .RTLاﻟﺸﻜﻞ 12-3ﻳﺒﲔ
اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ﻟﻠﱪﻧﺎﻣﺞ .[394]Catapult-C
ﻇﻬﺮت ﺑﻴﺌﺔ Impulse-Cﰲ ﻋﺎم 2003وﻗﺪ ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ Impulse Accelerated Technologiesوﻫﻲ ﻋﺒﺎرة ﻋﻦ
ﺑﻴﺌﺔ ﺑﺮﳎﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﺗﺘﻀﻤﻦ ﻣﱰﺟﻢ Impulse-Cﻣﺘﻮاﻓﻖ ﻣﻊ اﳌﻌﻴﺎر ANSI-Cاﻟﺘﻘﻠﻴﺪي ،وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ اﺳﺘﺨﺪام أدوات ﻟﻐﺔ اﻟـC
ﺗﺘﻤﻴﺰ ﺑﻴﺌﺔ Impulse-Cﻋﻦ ﻟﻐﺔ Cاﻟﺘﻘﻠﻴﺪﻳﺔ ﺑﺄ�ﺎ ﲤﻠﻚ ﳕﺎذج ﺑﺮﳎﻴﺔ ﻟﻠﱪﳎﺔ اﻟﺘﻔﺮﻋﻴﺔ ﻟﻠﻤﻌﺎﳉﺎت اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟـ ،FPGAوﳍﺬا
اﻟﻐﺮض ﺗﺘﻀﻤﻦ ﺑﻴﺌﺔ Impulse-Cﺗﻮﺳﻴﻌﺎً ﻟﻠﻐﺔ اﻟـ Cﰲ ﻃﺮﻳﻘﺔ ﺻﻴﺎﻏﺔ اﻟﺘﻮاﺑﻊ وأﻧﻮاع اﻟﺒﻴﺎﻧﺎت ،ﳑﺎ ﻳﺴﻤﺢ ﻟﻠﺘﻄﺒﻴﻘﺎت اﳌﻜﺘﻮﺑﺔ ﺑﻠﻐﺔ C
اﻟﺘﻘﻠﻴﺪﻳﺔ أن ﺗﺘﻢ ﻋﻤﻠﻴﺔ دﳎﻬﺎ ﰲ اﻟﺒﻨﻴﺔ اﻟﺘﻔﺮﻋﻴﺔ اﳌﻌﻘﺪة اﳌﻤﻜﻦ ﺗﻮاﺟﺪﻫﺎ ﰲ اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة اﳌﺪﳎﺔ ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟـ.FPGA
إن ﺗﻄﺒﻴﻘﺎت Impulse-Cواﺳﻌﺔ ﺟﺪاً ،وﺗﺮﻛﺰ ﻋﻠﻰ اﻟﺘﻄﺒﻴﻘﺎت ﻋﺎﻟﻴﺔ اﻷداء وذات اﻷداء اﳊﺮج .ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ﻳﺘﻢ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ
ﺗﻄﺒﻴﻘﺎت ﻣﻌﺎﳉﺔ اﻟﺼﻮر ،وﻣﻌﺎﳉﺔ اﻻﺷﺎرات اﻟﺮﻗﻤﻴﺔ ﰲ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ،وﻛﺬﻟﻚ ﻟﺘﺤﻘﻴﻖ أداء ﻋﺎﱄ ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﳊﺎﺳﻮﺑﻴﺔ ﻛﺎﻟﺘﺤﻠﻴﻞ اﳌﺎﱄ
واﳌﻌﻠﻮﻣﺎت اﻟﺒﻴﻮﻟﻮﺟﻴﺔ واﳊﻮاﺳﻴﺐ اﻟﻌﻠﻤﻴﺔ.
ﺗﺘﻀﻤﻦ اﻟﺒﻴﺌﺔ Impulse-C CoDeveloperأدوات ﳏﺎﻛﺎة ﻟﻠﱪاﻣﺞ وﻟﻠﻤﻜﻮﻧﺎت اﻟﺼﻠﺒﺔ ﲤﺎﻣﺎً ،إﺿﺎﻓﺔً إﱃ اﻷدوات اﻟﱪﳎﻴﺔ .ﺗﺘﻢ ﻋﻤﻠﻴﺔ
ﲢﻮﻳﻞ اﻟﱪﻧﺎﻣﺞ اﳌﻜﺘﻮب ﺑﻠﻐﺔ اﻟـ) Cﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ (Impulse-Cإﱃ ﻣﻠﻒ ﺑﺮﳎﻲ ﻳﺘﻢ ﺑﺮﳎﺘﻪ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ FPGAﻣﻦ ﺧﻼل ﺳﺘﺔ
ﻣﺮاﺣﻞ ﻣﻮﺿﺤﺔ ﻋﻠﻰ اﻟﺸﻜﻞ .13-3ﻛﻤﺎ ﻳﺒﲔ اﻟﺸﻜﻞ 14-3واﺟﻬﺔ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ .[395] Impulse-C CoDeveloper
اﻟﺸﻜﻞ 14-3واﺟﻬﺔ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ Impulse-C CoDeveloper اﻟﺸﻜﻞ 13-3اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 152
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﰎ ﺗﻄﻮﻳﺮ ﻫﺬﻩ اﻟﻠﻐﺔ ﰲ ﻋﺎم 1996وﻛﺎﻧﺖ ﺗﻌﻮد إﱃ ﺳﻠﺴﻠﺔ ﻣﻦ ﻟﻐﺎت ﺗﻮﺻﻴﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) (Handel-HDLاﳌﻄﻮرة ﻣﻦ ﻗﺒﻞ ﳐﺘﱪ
اﳊﺎﺳﺒﺎت ﰲ ﺟﺎﻣﻌﺔ أﻛﺴﻔﻮرد وﺗﺴﻮﻳﻘﻬﺎ ﲡﺎرﻳﺎً ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ .[396,397]Celoxicaاﻟﺒﻴﺌﺔ Handel-Cﻋﺒﺎرة ﻋﻦ ﻟﻐﺔ ﺑﺮﳎﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى
ﻣﺘﻮاﻓﻘﺔ ﻣﻊ اﳌﻌﻴﺎر ANSI-Cوﳐﺼﺼﺔ ﻟﱪﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،وﺗﻌﺘﱪ أول اﻟﻠﻐﺎت ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺗﺼﻤﻴﻢ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
وﺑﺮﳎﺔ اﳌﻌﺎﳉﺎت ،إﺿﺎﻓﺔً إﱃ أ�ﺎ ﺗﺮﻛﺰ ﻣﺆﺧﺮاً ﻋﻠﻰ ﺑﺮﳎﺔ اﻟـ .(high performance reconfigurable computing) HPRCﻋﻠﻰ
ﺧﻼف ﲨﻴﻊ ﻟﻐﺎت اﻟﱪﳎﺔ اﻷﺧﺮى واﻟﱵ ﺗﺴﺘﻬﺪف ﺑﻨﻴﺔ ﺧﺎﺻﺔ ،ﻓﺈن اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ Handel-Cﺗﺴﺘﻄﻴﻊ أن ﺗﱰﺟﻢ اﻟﱪﻧﺎﻣﺞ ﻋﺎﱄ اﳌﺴﺘﻮى
إﱃ اﻟﻌﺪﻳﺪ ﻣﻦ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﺼﻤﻴﻤﻴﺔ ،وﺑﻌﺪ ذﻟﻚ ﳝﻜﻨﻬﺎ أن ﺗﱰﲨﻪ وﻓﻘﺎً ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ اﶈﺪد ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﳌﱪﻣﺞ ﻳﺴﺘﻄﻴﻊ أن ﻳﺮﻛﺰ ﻋﻠﻰ
اﳌﻬﺎم اﻟﱪﳎﻴﺔ ﺑﺪﻻً ﻣﻦ اﻟﱰﻛﻴﺰ ﻋﻠﻰ ﻃﺎﺑﻊ ﻟﻐﺔ ﺗﺼﻤﻴﻢ ذات ﺑﻨﻴﺔ ﳏﺪدة .ﺗﺘﻀﻤﻦ ﻫﺬﻩ اﻟﻠﻐﺔ ﺗﻌﻠﻴﻤﺎت ﺗﺼﺮﳛﺎت ﳏﺪدة ﻟﻌﺮض اﻟﺒﻴﺎﻧﺎت
وﻣﻌﺎﳉﺔ ﻣﺘﻮازﻳﺔ واﺗﺼﺎل ﺑﲔ اﻟﻮﺣﺪات اﳌﺘﻮازﻳﺔ اﳌﺘﺰاﻣﻨﺔ] .[398اﻟﺸﻜﻞ 15-3ﻳﺒﲔ أوﺟﻪ اﻟﺘﺸﺎﺑﻪ ﺑﲔ ﻟﻐﺔ اﻟـ Handel-Cواﻟﻠﻐﺔ اﻟﻘﻴﺎﺳﻴﺔ
ANSI-C؛ ﺗﻀﻴﻒ ﻟﻐﺔ اﻟـ Handel-Cﺑﻌﺾ اﻟﺒﲎ اﻟﱪﳎﻴﺔ اﻟﺒﺴﻴﻄﺔ ﻟـ ANSI-Cﻟﺪﻋﻤﻬﺎ ﰲ وﺻﻒ ﺧﺼﺎﺋﺺ اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
اﻟﺸﻜﻞ 17-3واﺟﻬﺔ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ Handle-C اﻟﺸﻜﻞ 16-3اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ
ﻣﺆﺧﺮاً ،اﻣﺘﻠﻜﺖ ﺷﺮﻛﺔ Mentor Graphicsاﳊﻘﻮق اﻟﻜﺎﻣﻠﺔ ﻟـ ،Handel-Cوﻗﺎﻣﺖ أﻳﻀﺎً ﻋﻠﻰ ﺗﻄﻮﻳﺮﻫﺎ ،وأوﺟﺪت ﺑﻴﺌﺔ ﺑﺮﳎﻴﺔ ﻣﺘﻄﻮرة
ﳐﺼﺼﺔ ﳍﺬﻩ اﻟﻠﻐﺔ .اﻟﺸﻜﻞ 16-3ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ ﻟﺘﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ ﰲ اﻟﺒﻴﺌﺔ .Handel-C
153 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
اﻟﺸﻜﻞ 17-3ﻳﺒﲔ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ﻟﻠﱪﻧﺎﻣﺞ DK Design Suiteاﳌﻄﻮر ﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ Mentor Graphicsﻛﻮاﺟﻬﺔ ﺑﺮﳎﻴﺔ
ﻟﻠـ.[399]Handel-C
ﺗﻌﺮف اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ Handel-Cﺑﺎﻟﺼﻌﻮﺑﺔ واﻟﺜﻤﻦ اﻟﺒﺎﻫﻆ ،إﻻ أ�ﺎ ﲤﻠﻚ ﻣﻘﻮﻣﺎت ﻋﺪﻳﺪة ﲡﻌﻠﻬﺎ ﻣﺘﻮﻓﻘﺔ ﻋﻠﻰ ﻧﻈﺎﺋﺮﻫﺎ ﻣﻦ اﻟﻠﻐﺎت
اﻷﺧﺮى ،ﺣﻴﺚ أ�ﺎ ﲤﻠﻚ ﻣﺮوﻧﺔ ﻛﺒﲑة ﺟﺪاً ﻟﻌﻤﻠﻴﺎت اﻷﻣﺜﻠﻴﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﳌﻨﺨﻔﺾ ) ،(low-level optimizationإﺿﺎﻓﺔً إﱃ أ�ﺎ
ﺗﺪﻋﻢ ﻃﻴﻔﺎً واﺳﻌﺎً ﺟﺪاً ﻣﻦ اﻟﺸﺮاﺋﺢ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ،ﻛﺬﻟﻚ ﻓﺈ�ﺎ أﻗﺮب إﱃ ﻛﻮ�ﺎ ﻟﻐﺔ (C-like) Cﻣﻦ ﺑﺎﻗﻲ اﻟﻠﻐﺎت اﻷﺧﺮى ،وأﺧﲑاً ﻓﺈن
ﻫﺬﻩ اﻟﺒﻴﺌﺔ وﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﻛﻮ�ﺎ ﺑﺎﻫﻈﺔ اﻟﺜﻤﻦ إﻻ أ�ﺎ ﺗﺘﻮﻓﺮ ﻟﻠﻬﻴﺌﺎت اﻷﻛﺎدﳝﻴﺔ ﺑﺄﺳﻌﺎر ﳐﻔﻀﺔ ﺟﺪاً ﳑﺎ ﻳﺘﻴﺢ ﻟﻠﻄﻼب اﺳﺘﺜﻤﺎر اﳌﻴﺰات
اﳍﺎﺋﻠﺔ ﺟﺪاً .اﻟﺸﻜﻞ 18-3ﻳﺒﲔ ﺑﺮﻧﺎﳎﺎً ﻟﺘﺸﻐﻴﻞ ﻣﺘﺘﺎﻟﻴﺔ ﻓﻴﺒﻮﻧﺎﺗﺸﻲ اﳊﺴﺎﺑﻴﺔ ﻋﻠﻰ ﺷﺮﳛﺔ FPGAﺑﺎﺳﺘﺨﺪام ﻟﻐﺔ اﻟـ.Handel-C
)(void main
{
;unsigned int 100 prev, fib
;unsigned int 7 n
} ;par { fib = 1; prev = 0; n = 0
do
{ par
;fib += prev
;prev = fib
;n++
}
;)while (n != 30
}
ﻋﺒﺎرة ﻋﻦ ﻟﻐﺔ ﺑﺮﳎﺔ وﻇﻴﻔﻴﺔ ) (Functional Programmingﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﳐﺼﺼﺔ ﻟﱪﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ .إن ﻟﻐﺎت ﻫﺬﻩ اﻟﻠﻐﺔ
][400
اﻟﱪﳎﻴﺔ اﻟﻮﻇﻴﻔﻴﺔ ﺗﻌﺎﻣﻞ اﳊﺴﺎب ﻋﻠﻰ أﺳﺎس ﺗﻘﻴﻴﻢ اﻟﻮﻇﺎﺋﻒ اﻟﺮﻳﺎﺿﻴﺔ ﻣﻊ اﻟﱰﻛﻴﺰ ﻋﻠﻰ ﺗﻘﻴﻴﻢ اﻟﺘﻌﺎﺑﲑ ﺑﺪﻻً ﻣﻦ ﺗﻨﻔﻴﺬ اﻷواﻣﺮ .إن اﻟﻠﻐﺎت
اﻟﻮﻇﻴﻔﻴﺔ اﻟﺒﺤﺘﺔ ﲢﺚ وﺗﺸﺠﻊ ﻋﻠﻰ اﺳﺘﺨﺪام اﻻﺳﺘﺪﻻل اﳌﻨﻄﻘﻲ اﻷﺳﺎﺳﻲ ﺣﻮل اﻟﱪاﻣﺞ ،ﻛﻤﺎ ﺗﺘﻤﻴﺰ أﻳﻀﺎً ﺑﺎﺳﺘﺨﺪام وﻇﺎﺋﻒ ﻣﻦ درﺟﺔ
أﻋﻠﻰ ) – (higher-order functionsاﻟﻮﻇﺎﺋﻒ اﻟﱵ ﺗﺴﺘﺨﺪم وﻇﺎﺋﻒ أﺧﺮى ﻛﻮﺳﺎﺋﻂ ،وﺗﻌﺘﱪ ﻫﺬﻩ اﻟﻠﻐﺎت ﰲ اﻟﻐﺎﻟﺐ ذات ﻣﻴﺰات
أﻋﻠﻰ ﺑﻜﺜﲑ ﻣﻦ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﱵ ﺗﻨﻔﺬ ﻋﻦ ﻃﺮﻳﻖ أواﻣﺮ ).[401](Imperative Languages
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 154
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﰎ ﺗﻄﻮﻳﺮ ﺑﻴﺌﺔ اﻟﱪﳎﺔ DIME-Cﻣﻦ ﻗﺒﻞ ﺷﺮﻛﺔ [402] Nallatechوﺗﻌﺘﱪ ﻣﻦ اﻟﻠﻐﺎت اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﳎﻤﻮﻋﺔ ﺟﺰﺋﻴﺔ ﻣﻦ اﳌﻌﻴﺎر ANSI-
Cﻓﻘﻂ ،واﻟﺬي ﺑﺪورﻩ ﻳﻌﻄﻴﻬﺎ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻴﺰات اﻟﻮاﺿﺤﺔ ﻋﻠﻰ ﺑﻌﺾ اﻟﻠﻐﺎت اﳌﺘﻘﺪﻣﺔ اﻷﺧﺮى ﻣﺜﻞHandel-C and Mitrion-C :؛
ﻣﻦ ﻫﺬﻩ اﳌﻴﺰات أن اﳌﱪﻣﺞ ﻟﻦ ﳛﺘﺎج إﱃ ﺗﻌﻠﻢ ﺗﻌﻠﻴﻤﺎت وﻗﻮاﻋﺪ ﻟﻐﻮﻳﺔ ﺟﺪﻳﺪة ﺧﺎﺻﺔ ﺑﺎﻟﻠﻐﺔ ﻋﻨﺪ اﺳﺘﺨﺪام ﻟﻐﺔ ،DIME-Cوإﳕﺎ ﻳﻜﻔﻲ أن
ﻳﻌﺮف اﳌﱪﻣﺞ أي ﺟﺰء ﻣﻦ ANSI-Cﻻ ﳝﻜﻦ اﺳﺘﺨﺪاﻣﻪ .أﻳﻀﺎً ﻣﻦ اﳌﻴﺰات اﳍﺎﻣﺔ ﻫﻮ أن اﻟﱪاﻣﺞ اﳌﻜﺘﻮﺑﺔ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺔ اﻟﱪﳎﺔ
DIME-Cﳝﻜﻦ أن ﺗﱰﺟﻢ ) (compiledوﻳﺘﻢ ﺗﺘﺒﻊ أﺧﻄﺎﺋﻬﺎ ) (debuggedﺑﺎﺳﺘﺨﺪام ﻣﱰﲨﺎت ﻟﻐﺔ اﻟـ Cاﻟﻘﻴﺎﺳﻴﺔ] .[403اﻟﺸﻜﻞ20-
ﲤﻠﻚ ﻟﻐﺔ اﻟﱪﳎﺔ DIME-Cﺑﻴﺌﺔ ﺗﻄﻮﻳﺮ ﺗﺘﻀﻤﻦ ﻣﱰﺟﻢ ﻟﻐﻮي وﺑﺮﻧﺎﻣﺞ ﺗﺘﺒﻊ أﺧﻄﺎء ،إﻻ أن اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ ﲤﻠﻚ ﺑﻌﺾ اﳌﺸﺎﻛﻞ اﳌﺘﻌﻠﻘﺔ
ﺑﺘﻔﺴﲑ اﻷﺧﻄﺎء اﻟﱪﳎﻴﺔ ،إﺿﺎﻓﺔ إﱃ ﳏﺪودﻳﺔ ﰲ إﻣﻜﺎﻧﻴﺎت ووﻇﺎﺋﻒ ﲢﺮﻳﺮ اﻟﱪاﻣﺞ اﳌﻜﺘﻮﺑﺔ .ﻋﻤﻮﻣﺎً وﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﻫﺬﻩ اﻟﻨﻘﺎط ﻓﺈن ﺑﻴﺌﺔ
ﳐﺼﺺ اﻟﱪﳎﺔ DIME-Cﺗﻌﺘﱪ ﺑﻴﺌﺔ ﺟﻴﺪة ﻟﺘﻄﻮﻳﺮ ﺑﺮاﻣﺞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ .ﲤﻠﻚ اﻟﻠﻐﺔ أﻳﻀﺎً ﻣﻌﺎﰿ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت DIMETalk
][404
ﻹﻧﺸﺎء ﺷﺒﻜﺎت ﻣﻦ ﻋﻨﺎﺻﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﻠﻰ ﺷﺮاﺋﺢ اﻟـ ،FPGAﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﳝﻜﻦ أن ﺗﺘﻀﻤﻦ ﻛﺘﻞ ذاﻛﺮة أو ﻣﻨﺎﻓﺬ اﺗﺼﺎل ﻋﺎﻟﻴﺔ
اﻟﺴﺮﻋﺔ واﻟﱵ ﳝﻜﻦ أن ﺗﺮﺑﻂ ﲨﻴﻌﻬﺎ رﺳﻮﻣﻴﺎً ﺑﺎﺳﺘﺨﺪام اﻷداة DIMETalkﻟﻴﺘﻢ ﺑﻌﻬﺪﻫﺎ ﺗﻮﻟﻴﺪ ﻣﻠﻒ اﻟﺒﻴﺎﻧﺎت اﻟﱪﳎﻲ ﻟﺸﺮﳛﺔ اﻟـ.FPGA
اﻟﺸﻜﻞ 21-3ﻳﺒﲔ اﻷداة .DIMETalk
155 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
وﻫﻲ ﻋﺒﺎرة ﻋﻢ ﻟﻐﺔ وﺻﻒ ﻧﻈﺎم ) (System Description Languageأو وﺻﻒ ﻣﺴﺘﻮى ﻧﻈﺎم ،ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻟﻐﺔ اﻟـ Cاﻟﻘﻴﺎﺳﻴﺔ ﻋﺎﻟﻴﺔ
اﳌﺴﺘﻮى ﻟﱪﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ .ﰎ ﺗﻄﻮﻳﺮﻫﺎ ﺑﺪاﻳﺔً ﰲ ﺟﺎﻣﻌﺔ ﻛﺎﻟﻴﻔﻮرﻧﻴﺎ ﻋﺎم 1997ﻟﺘﻜﻮن ﻋﺒﺎرة ﻋﻦ ﳎﻤﻮﻋﺎت ﺟﺰﺋﻴﺔ ﻣﻦ ﻣﻜﺘﺒﺎت ﻟﻐﺔ اﻟـC
اﻟﻘﻴﺎﺳﻴﺔ ﻳﺘﻢ ﺗﻀﻤﻴﻨﻬﺎ ﺿﻤﻦ ﻣﱰﺟﻢ ﻟﻐﻮي ﺧﺎص] .[405-407اﻟﺸﻜﻞ 22-3ﻳﺒﲔ ﻣﻘﺎرﻧﺔ اﻟﺘﻌﻠﻴﻤﺎت ﺑﲔ ﺑﺮﻧﺎﻣﺞ ﻣﻜﺘﻮب ﺑﻠﻐﺔ اﻟـ Cاﻟﻘﻴﺎﺳﻴﺔ ﻣﻊ
ﺑﺮﻧﺎﻣﺞ ﺑﻠﻐﺔ اﻟـ.Spec-C
ANSI-C Spec-C
>#include <stdio.h
>#include <stdio.h behavior Main
)void main(void {
{ )void main(void
;)”printf(“Hello World!\n {
} ;)”printf(“Hello World!\n
;}}
اﻟﺸﻜﻞ 22-3ﻣﻘﺎرﻧﺔ ﺑﺮﻧﺎﻣﺞ ﻣﻜﺘﻮب ﺑﻠﻐﺔ اﻟـ Cاﻟﻘﻴﺎﺳﻴﺔ ﻣﻊ ﺑﺮﻧﺎﻣﺞ ﺑﻠﻐﺔ اﻟـSpec-C
ﺗﺪﻋﻢ ﻟﻐﺔ اﻟـ Spec-Cاﻟﻮﻇﺎﺋﻒ اﻟﺘﻔﺮﻋﻴﺔ ،اﻟﺒﻨﻴﺔ اﳍﻴﻜﻠﻴﺔ ،وﻇﺎﺋﻒ اﻟـ" ،"Exception Handlingاﻟﻘﻴﻮد اﻟﺰﻣﻨﻴﺔ ،اﳌﻌﺎﻳﲑ اﻟﺘﺰاﻣﻨﻴﺔ ،وﻇﺎﺋﻒ
اﻻﺗﺼﺎﻻت ،ﻣﺴﺎر ﺗﺪﻓﻖ اﻟﺒﻴﺎﻧﺎت ،وﻏﲑﻫﺎ ﻣﻦ اﻟﻮﻇﺎﺋﻒ اﻟﱵ ﺗﺪﻋﻤﻬﺎ ﻣﻌﻈﻢ اﳌﱰﲨﺎت.
ﺗﺘﻀﻤﻦ ﻟﻐﺔ اﻟـ Spec-Cﻣﻜﺘﺒﺎت ﻗﺎﺳﻴﺔ إﺿﺎﻓﺔً إﱃ ﻣﻜﺘﺒﺎت ﺗﺘﻌﻠﻖ ﺑﻮﻇﺎﺋﻒ اﻻﺗﺼﺎل وﻣﻜﺘﺒﺎت ﻟﻠﻤﺤﺎﻛﺎة ،ﻛﻤﺎ ﺗﺪﻋﻢ ﳕﺎذج ﺑﺮﳎﻴﺔ ﻋﻨﺪ
ﻣﺴﺘﻮﻳﺎت ﻣﺘﻌﺪدة ﻣﻦ اﻟﺘﺠﺮﻳﺪ ) (levels of Abstractionﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ.23-3
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 156
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
) .Event-drivenإن ﻫﺬﻩ اﳌﻜﺘﺒﺎت ﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ ﺗﺮﲨﺔ اﻟﱪاﻣﺞ وﳏﺎﻛﺎﻬﺗﺎ ﺑﺎﺳﺘﺨﺪام أي ﻣﱰﺟﻢ ،C++ﻛﻤﺎ ﺗﺘﻴﺢ ﻟﻐﺔ اﻟـSystemC
ﲤﻠﻚ ﻟﻐﺔ اﻟـ SystemCﺗﻌﻠﻴﻤﺎت ﺗﺸﺎﺑﻪ اﻟﺘﻌﻠﻴﻤﺎت ﰲ ﻟﻐﺔ اﻟـ VHDLإﻻ أن اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﻫﻲ ،C++ﻛﻤﺎ أ�ﺎ ﲤﻠﻚ ﳎﺎل ﻛﺒﲑ ﺟﺪاً ﻣﻦ
اﻟﺘﻌﺎﺑﲑ واﻷﺻﻨﺎف -ﳌﺸﺎﻬﺑﺔ ﳌﻌﻈﻢ اﻟﻠﻐﺎت ﻏﺮﺿﻴﺔ اﻟﺘﻮﺟﻪ -اﳌﺨﺼﺼﺔ ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ .اﻟﺸﻜﻞ 24-3ﻳﺒﲔ ﻣﺜﺎﻻً ﺑﺮﳎﻴﺎً ﻟﺪارة ﺟﺎﻣﻊ
) (Adderﺑﻠﻐﺔ اﻟـSystemC
"#include "systemc.h
ﲟﺎ أن ﻟﻐﺔ اﻟـ C++ﻫﻲ ﻣﻦ اﻟﻠﻐﺎت اﻟﻘﻮﻳﺔ ﺟﺪاً وﺗﺘﻴﺢ ﻟﻠﻤﱪﻣﺞ إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء ﺑﺮاﻣﺞ ﺳﻠﻮﻛﻴﺔ ﻗﻮﻳﺔ ،ﻓﺈن ﻫﺬﻩ اﻟﱪاﻣﺞ ﺳﻴﻜﻮن ﻣﻦ اﻟﺼﻌﺐ ﺟﺪاً
ﲢﻮﻳﻠﻬﺎ إﱃ ﻟﻐﺔ وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻘﺎرﻧﺔ ﻣﻊ اﳌﻮارد اﶈﺪودة ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ ﻟﺸﺮاﺋﺢ اﻟـ ،FPGAﻟﺬا ﻓﺈن ﻟﻐﺔ اﻟـ SystemCﲤﻠﻚ
ﳎﻤﻮﻋﺔ ﺟﺰﺋﻴﺔ وﺳﻴﻄﺔ ﻣﻦ اﻷواﻣﺮ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱰﲨﺔ )ﺗﻌﺮف ﺑـ (SystemC-RTLﺷﺒﻴﻬﺔ إﱃ ﺣﺪ ﻣﺎ ﺑﻠﻐﺔ وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻦ أﺟﻞ
ﺗﺮﲨﺔ اﻟﱪﻧﺎﻣﺞ.
أدوات وﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ أﺧﺮى ):(Other Hardware Programming Languages & Tools 9-3-3-3
ﻳﺴﺘﻌﺮض اﳉﺪول 1-3اﻟﺒﻴﺌﺎت اﻟﱪﳎﻴﺔ واﻷدوات ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى واﳌﺨﺼﺼﺔ ﻟﱪﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
157 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages | ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
Mitrion-C[421] Mitrionics
[422]
Starbridge VIVA
AccelChip[423] MATLAB DSP Synthesis
[424]
A|RT Builder Adelante
Trident compiler[425,426] Los Alamos National Laboratory
[427]
RC Toolbox DSPlogic
اﻟﺘﻌﻠﻴﻤﺎت.ANSI-C ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﻟﱪﻧﺎﻣﺞ وﻇﻴﻔﻲ ﺑﲔ اﻟﻠﻐﺎت اﻟﱪﳎﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى اﻷﻛﺜﺮ ﺷﻬﺮة واﻟﱵ ﺗﻌﺘﻤﺪ اﳌﻌﻴﺎر25-3اﻟﺸﻜﻞ
.ﺑﺎﻟﻠﻮن اﻷﺧﻀﺮ ﲤﺜﻞ اﻟﻌﻤﻠﻴﺎت واﳌﻌﺎﳉﺔ اﳊﺴﺎﺑﻴﺔ – اﻟﺘﻌﻠﻴﻤﺎت ﺑﺎﻟﻠﻮن اﻷزرق ﲤﺜﻞ اﻻﺗﺼﺎل ﻣﻊ اﳌﻨﺎﻓﺬ
void Kern el(int a[50], int b[50], int answer) void Kerne11(co_stream a1, co_stream b1, co_stream z1){ int 32 Kernel1(int 32 a[50], int 32 b[50])
{ int a[50], b[50], answer=0; {
int i, temp = 0; co_stream_open(a1,O_RDONLY,INT_TYPE(32)); /*etc*/ static int 32 i, temp[i], answer;
for(i=0;i<50;i++) for(i=0;i<50;i++) par(i=0;i<50;i++)
{ { {
temp += a[i] * b[i]; co_stream_read(a1, &a[i], sizeof(int32)); temp[i] = a[i] * b[i];
} co_stream_read(b1, &b[i], sizeof(int32)); }
answer = temp; } for(i=0;i<50;i++)
} for(i=0;i<50;i++) {
void dot_product(int a1[50], int b1[50], { answer += temp[i];
int a2[50], int b2[50], int answer) #pragma CO UNROLL }
{ answer += a[i] * b[i]; return answer;
int answer1, answer2; } }
#pragma genusc instance Kernel1 co_stream_write(z1, &answer, sizeof(int32)); int 32 Kernel2(int 32 a[50], int 32 b[50])
Kernel(a1,b1,answer1); co_stream_close(a1); /*etc*/ /* SAME AS IN Kernel1 */
#pragma genusc instance Kernel2 } }
Kernel(a2,b2,answer2); void Kernel2(co_stream a2, co_stream b2, co_stream z2){ void main() //dot_product
answer = answer1 + answer2 /* SAME AS IN Kernel1 */ {
} } int 32 a1[50]; int 32 b1[50];
void dot_product(co_stream z1, co_stream z2, co_stream ans){ int 32 a2[50]; int 32 b2[50];
int i, answer1, answer2, answer; int 32 temp1, temp2;
co_stream_open(z1,O_RDONLY,INT_TYPE(32)); /*etc*/ int 32 answer;
co_stream_read(z1, &answer1, INT_TYPE(32)); interface bus_out() OutputResult(answer);
co_stream_read(z2, &answer2, INT_TYPE(32)); par
answer = answer1 + answer2; {
co_stream_write(ans, &answer, INT_TYPE(32)); ans1 = Kernel1(int 32 a1[50],int 32 b[50]);
co_stream_close(z1); /*etc*/ ans2 = Kernel2(int 32 a2[50],int 32 b[50]);
} }
answer = ans1 + ans2; }
27-3 اﻟﺸﻜﻞ. ﻳﺒﲔ اﻟﻌﻼﻗﺔ ﺑﲔ اﳉﻬﺪ اﳌﺒﺬول ﻟﻜﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ ﻧﺴﺒﺔً إﱃ ﻓﻌﺎﻟﻴﺔ اﻟﱪﻧﺎﻣﺞ ﻟﻠﻐﺎت وﺻﻒ وﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ26-3اﻟﺸﻜﻞ
. وﻓﻘﺎً ﻟﻠﺘﻄﺒﻴﻖ اﻟﻮﻇﻴﻔﻲ ﻟﻠﻤﱰﺟﻢANSI-C ﻳﺒﲔ ﺗﺼﻨﻴﻒ ﻟﻐﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 158
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
High
VHDL
System Verilog
Verilog
Handel-C SystemC
Efficiency
CatapultC
DIME-C
ImpulsC
ANSI-C
Low
اﻟﺸﻜﻞ 26-3اﻟﻌﻼﻗﺔ ﺑﲔ اﳉﻬﺪ اﳌﺒﺬول ﻟﻜﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ وﻓﻌﺎﻟﻴﺔ اﻟﱪﻧﺎﻣﺞ ﻟﻠﻐﺎت وﺻﻒ وﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
C-based HLLs
Cataput-C Carte
SystemC DIME-C NAPA-C
Impulse-C SA-C
Handel-C
Mitrion-C Stream-C
159 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﺗﻌﺘﱪ اﻟﺒﻴﺌﺔ " "Agilent VEEﻣﻦ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ اﻟﺘﺪﻓﻘﻴﺔ اﻟﱵ ﺗﺴﺘﺨﺪم ﰲ ﺗﺼﻤﻴﻢ ﺗﻄﺒﻴﻘﺎت اﻟﻘﻴﺎﺳﺎت واﻻﺧﺘﺒﺎرات اﳌﺆﲤﺘﺔ وﲢﻠﻴﻞ
اﻟﺒﻴﺎﻧﺎت ،وﻫﻲ ﺗﻌﺘﱪ ﻟﻐﺔ ﺑﺮﳎﻴﺔ رﺳﻮﻣﻴﺔ ﻣﻮﺟﻬﺔ ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﺼﻨﺎﻋﻴﺔ .اﻟﺸﻜﻞ 28-3ﻳﺒﲔ أﺣﺪ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ ﺑﺎﺳﺘﺨﺪام Agilent
اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ " "Microsoft Visual Programming Languageﺗﻌﺘﱪ أﻳﻀﺎً ﻣﻦ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ اﻟﺘﺪﻓﻘﻴﺔ ،إﻻ أ�ﺎ ﻣﻮﺟﻬﺔ
ﺑﺸﻜﻞ ﺧﺎص ﻟﱪﳎﺔ ﺗﻄﺒﻴﻘﺎت اﻟﺮوﺑﻮت .اﻟﺸﻜﻞ 29-3ﻳﺒﲔ أﺣﺪ ﺗﻄﺒﻴﻘﺎت اﻷواﻣﺮ اﻟﺼﻮﺗﻴﺔ ﰲ اﻟﺒﻴﺌﺔ .MVPL
اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ LabVIEWﺗﻌﺘﱪ ﻣﻦ أﻗﻮى وأﻛﺜﺮ اﻟﺒﻴﺌﺎت اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ اﺳﺘﺨﺪاﻣﺎً واﻧﺘﺸﺎراً وﺗﻄﺒﻴﻘﺎً ،وﻫﻲ ﻧﻘﻄﺔ ﳏﻮرﻳﺔ ﰲ ﻫﺬا اﻟﺒﺤﺚ
ﺳﻨﻔﺼﻞ ﻓﻴﻬﺎ ﰲ ﻣﺎ ﻳﺄﰐ ﰲ ﻫﺬا اﻟﻔﺼﻞ.
ﻟﻜﻲ ﺗﻜﻮن ﻧﺎﺟﺤﺎً ﰲ اﻻﻗﺘﺼﺎد اﻟﻌﺎﳌﻲ اﻟﻴﻮم ،ﻓﺈن ﻣﺴﺄﻟﺔ وﺻﻮل اﳌﻨﺘﺞ إﱃ اﻟﺴﻮق ﳚﺐ أن ﺗﺘﻢ ﺑﺸﻜﻞ أﺳﺮع ﻣﻦ اﻟﺴﺎﺑﻖ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن دورة
ﺗﺼﻤﻴﻢ اﳌﻨﺘﺞ ﳚﺐ أن ﺗﻜﻮن أﻗﺼﺮ ﻣﺎ ﳝﻜﻦ .ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈﻧﻪ ﰲ اﻟﻮﻗﺖ اﻟﺬي ﺗﺰداد ﻓﻴﻪ ﻛﺜﺎﻓﺔ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ
وﺣﻴﺪة – وﻓﻘﺎً ﻟﻘﺎﻧﻮن ،Moorﻓﺈن ﻛﻠﻔﺔ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﺴﻴﻠﻴﻜﻮﱐ ﺑﺎﳓﺪار ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﻟﻌﻨﺎﺻﺮ اﳌﺘﻜﺎﻣﻠﺔ اﳌﻌﻘﺪة اﻟﺒﻨﻴﺔ
) (FPGAs, Multi-core MPUs, SoCsأﺻﺒﺤﺖ أﻛﺜﺮ اﺳﺘﺨﺪاﻣﺎً وﺷﻴﻮﻋﺎً ﰲ اﻟﺘﻄﺒﻴﻘﺎت ،وﻫﺬا ﺑﺪورﻩ أدى إﱃ ﺣﺠﻢ ﺗﻌﻘﻴﺪ ﺑﺮﳎﻲ
أﻛﱪ ﺑﻜﺜﲑ ودورة ﺗﺼﻤﻴﻢ أﻃﻮل ﺑﻜﺜﲑ .اﻟﺸﻜﻞ 30-3ﻳﺒﲔ ﻣﻨﺤﲏ ﺗﻄﻮر اﻟﻌﻨﺎﺻﺮ اﳌﺘﻜﺎﻣﻠﺔ ودرﺟﺔ ﺗﻌﻘﻴﺪ اﻟﻨﻈﺎم.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 160
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
اﻟﺸﻜﻞ 30-3درﺟﺔ اﻟﺘﻌﻘﻴﺪ ﻟﻠﻨﻈﺎم وﻣﺴﺘﻮى اﻟﺘﺠﺮﻳﺪ ﻟﺘﻄﻮر ﺗﻘﻨﻴﺎت اﻟﺪارات اﳌﺘﻜﺎﻣﻠﺔ
ﻋﻨﺪ اﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﻨﺼﻴﺔ وﺑﻌﺪ اﻻﻧﺘﻬﺎء ﻣﻦ ﻛﺘﺎﺑﺔ اﻟﱪﻧﺎﻣﺞ ،ﻓﺈن ﻋﻠﻰ اﳌﻬﻨﺪﺳﲔ اﳌﺼﻤﻤﲔ أو اﻟﺪارﺳﲔ )اﻟﻄﻼب( اﳌﺮور
ﺑﺎﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺮاﺣﻞ اﳌﺮﻫﻘﺔ ﻗﺒﻞ ﺗﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ اﻟﻨﻬﺎﺋﻲ اﻟﺬي ﻳﺘﻢ ﺑﺮﳎﺘﻪ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ ،FPAGﻓﻴﺠﺐ إﻋﺎدة ﻛﺘﺎﺑﺔ أو ﺗﻌﺪﻳﻞ اﻟﱪﻧﺎﻣﺞ
ﲝﻴﺚ ﻳﻜﻮن ﻗﺎﺑﻼً ﻟﻠﱰﲨﺔ ) (Synthesizableﻣﻦ ﻗﺒﻞ اﳌﱰﺟﻢ اﶈﺪد .أﺿﻒ إﱃ ذﻟﻚ أن ﻟﻜﻞ ﻣﱰﺟﻢ ﻣﺘﻄﻠﺒﺎت ﺧﺎﺻﺔ ﲣﺘﻠﻒ ﻋﻦ ﻏﲑﻩ
ﻣﻦ اﳌﱰﲨﺎت اﻷﺧﺮى ﺗﺒﻌﺎً ﻟﻠﺸﺮﻛﺔ اﳌﻄﻮرة ﻟﻠﻤﱰﺟﻢ ،ﳍﺬا اﻟﺴﺒﺐ ﻓﺈن اﳌﺼﻤﻤﲔ أو اﻟﺒﺎﺣﺜﲔ ﻳﺼﺮﻓﻮن وﻗﺘﺎً ﻛﺒﲑاً ﰲ دراﺳﺔ اﳌﺘﻄﻠﺒﺎت اﳋﺎﺻﺔ
ﻟﻸدوات اﻟﱪﳎﻴﺔ اﻟﱵ ﺳﻴﻌﻤﻠﻮن ﻋﻠﻴﻬﺎ ﺑﺪﻻً ﻣﻦ ﺻﺮف اﻟﻮﻗﺖ ﻋﻠﻰ ﻣﺘﻄﻠﺒﺎت اﻟﺘﺼﻤﻴﻢ ﻧﻔﺴﻪ.
ﺗﺆﻛﺪ اﻷﲝﺎث ﻋﻠﻰ ﺿﺮورة ﺗﻄﻮﻳﺮ وﺗﺒﲏ ﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ ﺟﺪﻳﺪة ﻋﻠﻰ ﻣﺴﺘﻮى ﺟﺪﻳﺪ ،وذﻟﻚ ﺑﻌﻴﺪاً ﻋﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻷن ﺣﺠﻢ اﻟﱪﻧﺎﻣﺞ ﻳﺰداد
ﻃﻮﻻً وﺗﻌﻘﻴﺪاً – ﻣﺜﻞ :اﻟﺒﻴﺌﺎت اﻟﺮﺳﻮﻣﻴﺔ – إﺿﺎﻓﺔً إﱃ اﻟﺒﻴﺌﺔ اﻷﺳﺎﺳﻴﺔ ﺑﻠﻐﺔ اﻟـ Cﲝﻴﺚ ﳝﻜﻦ اﻟﱪﳎﺔ ﺑﻜﻼ اﳌﻨﺤﻴﲔ ﺑﻨﻔﺲ اﻟﻮﻗﺖ وﺿﻤﻦ ﺑﻴﺌﺔ
ﺑﺮﳎﻴﺔ واﺣﺪة ،ﲟﺎ ﰲ ذﻟﻚ ﻣﺮاﺣﻞ اﻟﺘﺤﻠﻴﻞ واﻟﻔﺤﺺ واﻟﺘﻨﻔﻴﺬ].[431,432
اﻟﺒﺤﺚ] [433ﻳﺸﲑ إﱃ أﻧﻪ ﻣﻦ أﺟﻞ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻤﻮﻣﺎً ،وﺗﻘﻨﻴﺔ اﻟـ FPGAﻋﻠﻰ ﳓﻮ ﺧﺎص ،ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري ﺟﺪاً وﺟﻮد ﲢﻮل أو
اﻧﺘﻘﺎل ﺟﺬري ﰲ اﳌﻨﻬﺠﻴﺔ اﻟﱪﳎﻴﺔ اﳌﺘﺒﻌﺔ .ﻛﻤﺎ ﺗﺆﻛﺪ اﻷﲝﺎث] [434-436ﻋﻠﻰ أن ﻟﻐﺎت اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ ﻣﻨﺎﺳﺒﺔ ﺑﺸﻜﻞ ﻛﺒﲑ ﻟﺘﺼﻤﻴﻢ وﺑﺮﳎﺔ
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ؛ ﻧﻈﺮاً ﻻرﺗﻜﺎزﻫﺎ ﻋﻠﻰ ﻣﻨﻬﺠﻴﺔ ﺗﺪﻓﻴﻖ اﻟﺒﻴﺎﻧﺎت ) .(Dataflowاﻟﺸﻜﻞ 31-3ﻳﺒﲔ ﻣﻨﺤﲎ ﺗﻄﻮر اﻷﻧﻈﻤﺔ اﻟﱪﳎﻴﺔ ﻋﻠﻰ
اﳌﺴﺘﻮى اﻟﺒﻨﻴﻮي .اﻟﺸﻜﻞ 32-3ﻳﺒﲔ ﳐﻄﻂ ﺗﻄﻮر اﻟﻠﻐﺎت اﻟﱪﳎﻴﺔ اﳌﺨﺼﺼﺔ ﻟﱪﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ.
اﻟﺸﻜﻞ 32-3ﳐﻄﻂ ﺗﻄﻮر اﻟﻠﻐﺎت اﻟﱪﳎﻴﺔ اﳌﻮاﻓﻖ ﻟﺪرﺟﺔ ﺗﻌﻘﻴﺪ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
161 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﻟﻘﺪ أﺛﺒﺘﺖ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﻓﻌﺎﻟﻴﺘﻬﺎ ﻋﻠﻰ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ ،ﻛﻤﺎ أ�ﺎ أﺳﺮع ﲞﻤﺲ ﻣﺮات ﻣﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﰲ ﺗﻄﻮﻳﺮ
اﻟﺘﻄﺒﻴﻘﺎت] .[437ﻋﻼوةً ﻋﻠﻰ ذﻟﻚ ﻓﺈن ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﺗﻌﺰز اﻹﻧﺘﺎﺟﻴﺔ ﻟﺪى اﻟﺒﺎﺣﺜﲔ وﻣﻄﻮري اﻟﺘﻄﺒﻴﻘﺎت ﺑﻐﺾ اﻟﻨﻈﺮ ﻋﻦ ﻣﺴﺘﻮى
ﱪﻬﺗﻢ اﻟﱪﳎﻴﺔ] ،[438وذﻟﻚ ﻷن اﻟﻠﻐﺎت اﻟﺮﺳﻮﻣﻴﺔ ﺗﻌﻄﻲ ﺗﻨﻈﻴﻤﺎً ﺑﺪﻫﻴﺎً ،وﲡﻌﻞ اﳌﻌﻠﻮﻣﺎت واﺿﺤﺔ وﻣﺮﺋﻴﺔ] ،[439اﻷﻣﺮ اﻟﺬي ﳚﻌﻞ ﻋﻤﻠﻴﺔ
ﻛﺘﺎﺑﺔ أو ﲢﻮﻳﻞ اﳋﻮارزﻣﻴﺔ اﻟﱪﳎﻴﺔ ﻣﻦ ﳐﻄﻂ ﺗﺪﻓﻘﻲ ) (Flowchartإﱃ ﺑﺮﻧﺎﻣﺞ أﻣﺮاً ﺑﺪﻫﻴﺎً.
إن ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ أﺻﺒﺢ ﰲ ﻫﺬا اﻟﻮﻗﺖ أﻣﺮاً أﺳﺎﺳﻴﺎً ﰲ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ اﳍﻨﺪﺳﻴﺔ ،وإن اﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﻨﺼﻴﺔ
ﻳﻌﻴﻖ اﻟﻄﻼب واﻟﺒﺎﺣﺜﲔ ﻣﻦ اﻻﺳﺘﻔﺎدة ﻣﻦ اﻟﻌﺪﻳﺪ ﺣﻠﻮل اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﺘﻮﻓﺮة )ﻣﺜﻞ :اﻟـ (FPGAsواﻟﱵ ﳝﻜﻦ أن ﲡﻌﻞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ
أﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ وواﻗﻌﻴﺔ .إن ﻫﺬا اﻷﻣﺮ ﻳﺒﺪو ﺟﻠﻴﺎً وواﺿﺤﺎً ﰲ اﻟﻔﺮوع اﳍﻨﺪﺳﻴﺔ اﻟﱵ ﻻ ﺗﺮﻛﺰ ﻋﻠﻰ اﻟﱪﳎﻴﺎت )ﻣﺜﻞ :اﻟﻔﺮوع اﳍﻨﺪﺳﻴﺔ ﻛﺎﻓﺔً ﻋﺪا
اﳍﻨﺪﺳﺔ اﳌﻌﻠﻮﻣﺎﺗﻴﺔ وﻫﻨﺪﺳﺔ اﳊﻮاﺳﻴﺐ( ،ﺣﻴﺚ أن اﻟﻄﻼب ﻻ ﳝﻠﻜﻮن اﳋﱪة اﻟﻮاﻓﻴﺔ واﻟﻜﺎﻓﻴﺔ ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ.
ﻣﻊ ﺗﻄﻮر ﻟﻐﺎت وأدوات اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ أﺻﺒﺢ ﻣﻦ اﳌﻤﻜﻦ ﺗﻌﻠﻴﻢ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﺧﺎﺻﺔً اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﻜﺎﻓﺔ اﻟﺘﺨﺼﺼﺎت ﺑﻐﺾ
اﻟﻨﻈﺮ ﻋﻦ اﳋﱪة اﻟﱪﳎﻴﺔ اﻟﺴﺎﺑﻘﺔ] .[440وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ وﻣﻦ ﺧﻼل اﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ،ﻓﺈن اﻟﻄﻼب ﻟﺪﻳﻬﻢ اﻟﻔﺮﺻﺔ ﻟﻠﱰﻛﻴﺰ ﻋﻠﻰ
ﻣﺴﺎﺋﻞ اﻟﺘﺼﻤﻴﻢ واﻟﻨﻤﺬﺟﺔ ﻟﻠﺨﻮارزﻣﻴﺎت اﻟﱪﳎﻴﺔ ،ﺣﻴﺚ أن ﺗﻔﺎﺻﻴﻞ ﺗﺮﲨﺔ اﻟﺘﺼﻤﻴﻢ وﻣﺘﻄﻠﺒﺎﺗﻪ ﻳﺘﻢ إﳒﺎزﻫﺎ ﺑﺸﻜﻞ ﻣﺆﲤﺖ ﻣﻦ ﻗﺒﻞ اﻟﺒﻴﺌﺔ
اﻟﱪﳎﻴﺔ ،اﻷﻣﺮ اﻟﺬي ﻳﻌﻄﻲ إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء اﻟﻨﻤﻮذج اﻟﺘﺼﻤﻴﻤﻲ اﻷوﱄ ﺑﺄﻗﺼﺮ زﻣﻦ وأﻋﻠﻰ ﻛﻔﺎءة ،وﻫﺬا اﻟﺴﺒﺐ ﻳﺸﻜﻞ ﻣﺆﺧﺮاً داﻓﻌﺎً ﻛﺒﲑاً
ﻟﺘﺪرﻳﺲ ﻣﻘﺮرات ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ FPGAﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ.
اﻟﻨﺘﺎﺋﺞ ﰲ اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ] [441ﺗﺸﲑ إﱃ أﻧﻪ ﰲ اﳌﻘﺮرات اﻟﺘﺄﺳﻴﺴﻴﺔ اﳍﻨﺪﺳﻴﺔ ﳝﻴﻞ اﻟﻄﻼب ﺑﺸﻜﻞ أﻛﱪ إﱃ اﺳﺘﺨﺪام اﻟﻠﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ،
وذﻟﻚ ﻷ�ﺎ ﺗﻌﻄﻴﻬﻢ دﻓﻌﺎً وﺛﻘﺔً ﺑﺎﻟﻨﻔﺲ ﺑﺸﻜﻞ أﻛﱪ ﺑﻜﺜﲑ ﻣﻦ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ.
ﲤﻜﻦ ﻫﺬﻩ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ اﻟﻄﻼب واﳌﻬﻨﺪﺳﲔ واﻟﺒﺎﺣﺜﲔ ﰲ ﳐﺘﻠﻒ اﻟﻔﺮوع اﳍﻨﺪﺳﻴﺔ واﳌﺨﺘﺼﲔ ﰲ ﻓﺮوع اﻟﻌﻠﻮم ،ﻣﻦ اﻟﺘﺼﻤﻴﻢ اﻟﺘﻔﺎﻋﻠﻲ
) (Designوﺑﻨﺎء اﻟﻨﻤﺎذج اﻷوﻟﻴﺔ ) (Prototypeواﻟﺘﻄﺒﻴﻖ اﻟﻌﻤﻠﻲ )Deployﻟﻸﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲟﺨﺘﻠﻒ ﺗﻘﻨﻴﺎﻬﺗﺎ وﺗﻄﺒﻴﻘﺎﻬﺗﺎ ) MCUs,
PLC, ) Vision, … MPUs, Multi-core, FPGAs, DSPs,واﻷﻧﻈﻤﺔ اﻟﺼﻨﺎﻋﻴﺔ واﻟﻘﻴﺎﺳﺎت وﺗﻄﺒﻴﻘﺎﻬﺗﺎ
(Communications, Control, Measurements, Mechatronicsﺧﻼل زﻣﻦ ﻗﺼﲑ ،وذﻟﻚ ﺑﺎﺳﺘﺨﺪام ﻣﻜﺘﺒﺎت رﺳﻮﻣﻴﺔ
ﳕﻮذﺟﻴﺔ إﺿﺎﻓﺔً إﱃ ﺗﻀﻤﲔ ﻣﻜﺘﺒﺎت أو ﺑﺮاﻣﺞ ﺧﺎرﺟﻴﺔ ﺟﺎﻫﺰة ) (C, HDL, .m fileﻟﺒﻨﺎء ﺗﻄﺒﻴﻖ ﻣﻮﺛﻮق ﻳﺘﻢ ﺑﺮﳎﺘﻪ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ
ﻣﺒﺎﺷﺮًة دون أي ﻣﺮاﺣﻞ ﺗﺼﻤﻴﻢ ﻛﻴﺎن ﺻﻠﺐ ﻣﺴﺒﻘﺔ .اﻟﺸﻜﻞ 33-3ﻳﺒﲔ ﺑﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت اﻷﺳﺎﺳﻴﺔ ﻟﻠﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ .LabVIEW
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 162
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﻛﻤﺎ ﻫﻮ واﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ 33-3ﻓﺈن ﻫﻨﺎك ﻃﻴﻔﺎً واﺳﻌﺎً ﺟﺪاً ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﳝﻜﻦ ﻟﻠﺒﻴﺌﺔ LabVIEWاﻟﺘﻌﺎﻣﻞ ﻣﻌﻬﺎ ،وﻫﻮ اﻟﺴﺒﺐ
ﰲ ﻛﻮن اﻟﺒﻴﺌﺔ LabVIEWﻣﺴﺘﺨﺪﻣﺔ ﰲ أﻛﺜﺮ ﻣﻦ 30,000ﺷﺮﻛﺔ ﻋﺎﳌﻴﺔ ﺣﻮل اﻟﻌﺎﱂ.
163 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
اﻟﺸﻜﻞ 35-3ﳎﻤﻮﻋﺔ ﻣﻨﺘﻘﺎة ﻣﻦ أﻛﱪ اﻟﺸﺮﻛﺎت اﻟﻌﺎﳌﻴﺔ اﻟﱵ ﺗﺴﺘﺨﺪم اﻟﺒﻴﺌﺔ LabVIEW
وﻫﻲ واﺟﻬﺔ ﲢﻜﻢ ﺗﻔﺎﻋﻠﻴﺔ ﻣﺮﺋﻴﺔ ﻟﻠﻤﺴﺘﺨﺪم ) ،(GUIﺗﻀﻢ ﳎﻤﻮﻋﺔ ﻋﻨﺎﺻﺮ ﲢﻜﻢ وإﻇﻬﺎر وﻇﻴﻔﻴﺔ ﺗﺪﻋﻰ ﺑـ") "Controlsﻋﻨﺎﺻﺮ دﺧﻞ
وﺧﺮج وإﻇﻬﺎر ﻣﺮﺋﻴﺔ( ﻳﺘﻢ إﺿﺎﻓﺘﻬﺎ ﻣﻦ ﻟﻮﺣﺔ ﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ ) .(Controls Paletteاﻟﺸﻜﻞ 36-3ﻳﺒﲔ ﻣﺜﺎﻻً ﻟﻮاﺟﻬﺔ اﳌﺴﺘﺨﺪم
ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ ﰲ ﺑﻴﺌﺔ .LabVIEW
إن ﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ ﰲ واﺟﻬﺔ اﳌﺴﺘﺨﺪم ﻣﺮﺗﺒﻄﺔ ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ ﺑﺎﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ ﰲ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ،ﺣﻴﺚ أﻧﻪ ﺑﺈﺿﺎﻓﺔ أي ﻋﻨﺼﺮ ﰲ
واﺟﻬﺔ اﻟﺘﺤﻜﻢ ،ﺳﻴﺘﻢ إﺿﺎﻓﺔ اﻟﻌﻨﺼﺮ اﻟﻮﻇﻴﻔﻲ ﻟﻪ ﰲ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ آﻧﻴﺎً ،وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ ﺑﻨﺎء واﺟﻬﺔ اﳌﺴﺘﺨﺪم ﺑﺎﻟﻜﺎﻣﻞ ﰒ ﺗﻮﺻﻴﻞ ﻋﻨﺎﺻﺮ
اﻟﺘﺤﻜﻢ اﻟﻮﻇﻴﻔﻴﺔ ﰲ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ .اﻟﺸﻜﻞ 37-3ﻳﺒﲔ ﻟﻮﺣﺔ "."Controls Palette
اﻟﺸﻜﻞ 37-3ﻟﻮﺣﺔ ﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ " "Controls Paletteﰲ واﺟﻬﺔ اﳌﺴﺘﺨﺪم ﰲ اﻟﺒﻴﺌﺔ LabVIEW
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 164
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﻫﻲ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ )ﻣﺸﺎﻬﺑﺔ ﻟﻠﻤﺤﺮر اﻟﱪﳎﻲ اﻟﻨﺼﻲ ﰲ ﻟﻐﺎت اﻟﱪﳎﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ،(C, C++, Javeﺗﻀﻢ اﻟﻌﻨﺎﺻﺮ واﳌﻜﺘﺒﺎت
اﻟﱪﳎﻴﺔ اﻟﻮﻇﻴﻔﻴﺔ اﻟﱵ ﻳﺘﻢ إﺿﺎﻓﺘﻬﺎ ﻣﻦ ﻟﻮﺣﺔ اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ ) ،(Functions Paletteﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ واﳌﻜﺘﺒﺎت ﰎ ﺑﻨﺎؤﻫﺎ ﺑﺎﺳﺘﺨﺪام
اﻟﻌﻨﺎﺻﺮ اﻟﺮﺳﻮﻣﻴﺔ وﻟﻜﻦ ﻋﻨﺪ ﻣﺴﺘﻮى ﺑﺮﳎﻲ أﺧﻔﺾ .اﻟﺸﻜﻞ 38-3ﻳﺒﲔ ﻣﺜﺎﻻً ﻟﻮاﺟﻬﺔ اﻟﱪﻧﺎﻣﺞ ﻟﻠﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ ﰲ ﺑﻴﺌﺔ .LabVIEW
اﻟﺸﻜﻞ 39-3ﻳﺒﲔ ﻟﻮﺣﺔ اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ "."Functions Palette
اﻟﺸﻜﻞ 39-3ﻟﻮﺣﺔ اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ " "Functions Paletteﰲ اﻟﻮاﺟﻬﺔ اﻟﱪﳎﻴﺔ ﰲ اﻟﺒﻴﺌﺔ LabVIEW
ﺑﺸﻜﻞ ﻣﺸﺎﺑﻪ ﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻓﺈن اﻟﺒﻴﺌﺔ LabVIEWﺗﺴﺘﺨﺪم اﳊﻠﻘﺎت )ﻣﺜﻞ (For..Next, Do..While :ﺑﺸﻜﻞ رﺳﻮﻣﻲ ﻟﻠﺘﺤﻜﻢ
ﺑﺎﻟﻌﻤﻠﻴﺎت اﻟﺘﻜﺮارﻳﺔ ،ﻛﻤﺎ ﺗﺴﺘﺨﺪم اﻟﺘﻮاﺑﻊ اﻟﺸﺮﻃﻴﺔ )ﻣﺜﻞ (If…Then :ﳌﻘﺎرﻧﺔ اﻟﺸﺮوط ،إﺿﺎﻓﺔً إﱃ اﻟﻌﺪﻳﺪ ﻣﻦ اﳊﻠﻘﺎت اﳌﺘﺰاﻣﻨﺔ وﻋﻨﺎﺻﺮ
ﺗﻨﻔﻴﺬ ﻣﺘﺴﻠﺴﻞ واﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻌﻨﺎﺻﺮ اﻷﺧﺮى .اﻟﺸﻜﻞ 40-3ﻳﺒﲔ أﻛﺜﺮ اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ اﻟﺸﺮﻃﻴﺔ واﳊﻠﻘﺎت اﺳﺘﺨﺪاﻣﺎً ﰲ اﻟﺒﻴﺌﺔ
.LabVIEW
165 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
إن اﻟﺘﺼﻤﻴﻢ واﻟﱪﳎﺔ ﰲ اﻟﺒﻴﺌﺔ LabVIEWﻻ ﻳﻘﺘﺼﺮ ﻓﻘﻂ ﻋﻠﻰ اﺳﺘﺨﺪام ﻟﻐﺔ اﻟـ Gاﻟﺮﺳﻮﻣﻴﺔ ،وإﳕﺎ ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻄﺮق ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى
ﻟﺒﻨﺎء اﻟﺘﺼﻤﻴﻢ ) ،(High-level Design Modelsﺣﻴﺚ ﳝﻜﻦ ﺑﻨﺎء اﻟﺘﻄﺒﻴﻖ ﺑﺎﺳﺘﺨﺪام Simulation-Moduleاﳌﺸﺎﺑﻪ ﻟﺒﻴﺌﺔ
اﻟﱪﻧﺎﻣﺞ ،Matlab-Simulinkﻛﻤﺎ ﳝﻜﻦ اﺳﺘﺨﺪام ،StateChart Moduleأو ﺗﻀﻤﲔ ﻣﻠﻔﺎت ﺑﺮﳎﻴﺔ ﻧﺼﻴﺔ ﺧﺎرﺟﻴﺔ ) HDL,
(C/C++, .m fileﺑﺎﺳﺘﺨﺪام اﻟﻌﻘﺪ اﳌﺨﺼﺼﺔ ﻟﺬﻟﻚ .اﻟﺸﻜﻞ 41-3ﻳﺒﲔ ﻃﻴﻔﺎً واﺳﻌﺎً ﻣﻦ اﻟﻄﺮق اﻟﱪﳎﻴﺔ اﻟﱵ ﳝﻜﻦ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ
ﺗﺼﻤﻴﻢ وﺑﺮﳎﺔ ﺣﻠﻮل اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 166
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
اﻟﺼﻔﺔ اﳌﻬﻤﺔ اﻷﺧﺮى اﻟﱵ ﲤﻴﱢﺰ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ LabVIEWﻋﻦ ﻏﲑﻫﺎ ﻣﻦ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ،ﻫﻲ ﻛﻮن ﻟﻐﺔ اﻟـ Gاﳌﻄ ﱠﻮرة ﻓﻴﻬﺎ ﺗُﻨ ﱠﻔﺬ وﻓﻘﺎً
ﻋﺪد ﻣﻦ اﻷواﻣﺮ )اﻹﺟﺮاءات( اﳌﺘﺴﻠﺴﻠﺔ ﻟﻘﻮاﻋﺪ ﺗﺪﻓﱡﻖ اﳌﻌﻄﻴﺎت ) (Dataflowﻋﻮﺿﺎً ﻋﻦ اﻟﻄﺮﻳﻘﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻹﺟﺮاﺋﻴﺔ اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﺗﻨﻔﻴﺬ ٍ
ﺗﻌﺘﻤﺪ ﻟﻐﺔ اﻟﱪﳎﺔ Gﻋﻠﻰ ﻣﻨﻬﺠﻴﺔ اﻟﱪﳎﺔ اﻟﺘﺪﻓﻘﻴﺔ ) (Dataflowاﻟﱵ ﻓﻴﻬﺎ ﻳﻜﻮن ﺧﺮج ﻛﻞ ﻋﻘﺪة ﺑﺮﳎﻴﺔ ﺣﺴﺎﺑﻴﺔ ﳏﺴﻮب ﻋﻨﺪﻣﺎ ﺗﻜﻮن
ﲨﻴﻊ اﻟﻘﻴﻢ ﳏﺪدة ﻋﻠﻰ ﻣﺪاﺧﻞ اﻟﻌﻘﺪة؛ ﺣﻴﺚ أن ﺗﺪﻓﱡﻖ اﻟﺒﻴﺎﻧﺎت ﺑﲔ ﻋُﻘﺪ اﻟﱪﻧﺎﻣﺞ -وﻟﻴﺲ أﺳﻄﺮ اﻟﺘﻌﻠﻴﻤﺎت اﳌﺘﺴﻠﺴﻠﺔ -ﻫﻮ ﻣﺎ ﳛﺪﱢد أوﻟﻮﻳﺔ
اﻟﺘﻨﻔﻴﺬ ،ﻛﻤﺎ أن اﻟﻌﻤﻠﻴﺎت اﳊﺴﺎﺑﻴﺔ ﳝﻜﻦ أن ﺗﻜﻮن ﻣﺰاﻣﻨﺔ ﻟﻠﻌﻘﺪ اﻟﱵ ﻻ ﺗﻜﻮن ﻣﺪاﺧﻠﻬﺎ ﻣﺘﻌﻠﻘﺔ ﲟﺨﺎرج ﻋﻘﺪ أﺧﺮى؛ رﲟﺎ ﺗﺒﺪو ﻫﺬﻩ اﻟﺼﻔﺔ
ﺿﺌﻴﻠﺔ اﻷﺛﺮ ﻟﻠﻮﻫﻠﺔ اﻷوﱃ ،وﻟﻜﻨﱠﻬﺎ ﰲ اﳊﻘﻴﻘﺔ ذات ﺗﺄﺛﲑ اﺳﺘﺜﻨﺎﺋﻲ؛ ﻷ�ﺎ ﲡﻌﻞ ﻣﻦ اﳌﺴﺎرات اﻟﱵ ﺗﺴﻠﻜﻬﺎ اﻟﺒﻴﺎﻧﺎت ﺑﲔ أﺟﺰاء اﻟﱪﻧﺎﻣﺞ
اﳌﺨﺘﻠﻔﺔ ﻣﻮﺿﻊ اﻻﻫﺘﻤﺎم اﻷول ﻟﻠﻤﱪﻣﺞ.
ﲤﺘﻠﻚ اﻟﻌﻘﺪ )اﻟﺘﻮاﺑﻊ ،اﻟﺒُﲎ ﻛﺎﳊﻠﻘﺎت ،اﻟﱪاﻣﺞ اﻟﻔﺮﻋﻴﺔ ،وﻏﲑﻫﺎ( ﰲ ﺑﻴﺌﺔ LabVIEWﻣﺪاﺧﻼً ﻟﻘﺮاءة اﻟﺒﻴﺎﻧﺎت ،وﺣﺎﳌﺎ ﲢﺘﻮي ﲨﻴﻊ ﻣﺪاﺧﻞ
ٍ
ﺑﻴﺎﻧﺎت ﻣﻨﺎﺒﺔ ،ﺗﻘﻮم ﻫﺬﻩ اﻟﻌﻘﺪة ﺑﺘﻨﻔﻴﺬ اﻟﻌﻤﻠﻴﺎت اﳌﻨﻄﻘﻴﺔ اﳌﻨﺎﻃﺔ ﻬﺑﺎ ،ﰒﱠ ﺗﻮﻟﱢﺪ اﻟﺒﻴﺎﻧﺎت اﳌﻨﺎﺳﺒﺔ ﻋﻠﻰ ﳐﺎرﺟﻬﺎ ،وﲤﱢﺮر ﻫﺬﻩ ﻋﻘﺪةٍ ﻣﺎ ﻋﻠﻰ
ﻟﺒﻴﺎﻧﺎت إﱃ اﻟﻌﻘﺪة اﻟﺘﺎﻟﻴﺔ ﰲ ﻣﺴﺎر ﺗﺪﻓﱡﻖ اﻟﺒﻴﺎﻧﺎت؛ إنﱠ اﻟﻌﻘﺪة اﻟﱵ ﺗﺴﺘﻘﺒﻞ ﺑﻴﺎﻧﺎت ﻣﺎ ﻣﻦ ﻋﻘﺪة أﺧﺮى ،ﺗﺴﺘﻄﻴﻊ ﺗﻨﻔﻴﺬ ﺗﻌﻠﻴﻤﺎﻬﺗﺎ ﻓﻘﻂ ﺑﻌﺪ
ٍ
ﺑﺸﻜﻞ ﻛﺎﻣﻞ. أن ﺗُﻨﻬﻲ ﺗﻠﻚ اﻟﻌﻘﺪة ﻨﻔﻴﺬ ﺗﻌﻠﻴﻤﺎﻬﺗﺎ
إن اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺔ Gﺗﺘﻴﺢ ﻟﻠﻤﱪﻣﺞ ﲡﺮﺑﺔ أﻛﺜﺮ ﺑﺪﻳﻬﻴﺔ وأﻛﺜﺮ اﻧﺴﺠﺎﻣﺎً ﻣﻊ ﺗﻔﻜﲑﻩ اﻟﻔﻄﺮي ،ذﻟﻚ ﻷ�ﺎ ﺗﻌﺘﱪ أﻛﺜﺮ ﺳﻬﻮﻟﺔً
ٍ
ﻣﻨﻬﺠﻴﺔ أو ﺗﺪﻓﻘﻴﺔ )واﻟﱵ ﺗﺘﱠﺒﻊ ﻟﻠﻔﻬﻢ واﻻﺳﺘﻴﻌﺎب ﻋﻠﻰ اﻋﺘﺒﺎر أﻧﻪ ﻣﺘﺂﻟﻒ ﻛﻠﻴﺎً ﻣﻊ اﻟﺘﻤﺜﻴﻞ اﻟﺮﺳﻮﻣﻲ وﳕﺬﺟﺔ اﻟﻌﻤﻠﻴﺎت ﺑﺸﻜﻞ ﳐﻄﻄﺎت
ﻗﻮاﻋﺪ ﺗﺪﻓﱡﻖ اﻟﺒﻴﺎﻧﺎت( ،ﺑﺎﻹﺿﺎﻓﺔ إﱃ ذﻟﻚ ،وﲟﺎ أ ﱠن ﻟﻐﺎت اﻟﱪﳎﺔ اﳌﻘﺎدة ﺑﺎﻟﺒﻴﺎﻧﺎت ﺗﺘﻄﻠﺐ ﻣﻦ اﳌﱪﻣﺞ أن ﳚﻌﻞ ﺗﺪﻓﱡﻖ ﻫﺬﻩ اﻟﺒﻴﺎﻧﺎت اﶈﻮر
اﻟﺮﺋﻴﺲ ﰲ اﻟﱪﻧﺎﻣﺞ ،ﻓﺈ ﱠن ﻫﺬا ﻳﺸ ﱢﺠﻊ اﳌﱪﻣﺞ ﺑﺎﻟﺘﻔﻜﲑ ﰲ اﳌﺴﺎﻟﺔ اﻟﱵ ﳛﻠﱡﻬﺎ ﻋﻮﺿﺎً ﻋﻦ اﻟﺘﻔﻜﲑ ﰲ أﺳﻠﻮب ﺑﺮﳎﺘﻬﺎ؛ ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ،ﻗﺪ ﻳﺒﺪأ
ﳕﻮذﺟﻲ ﻣﻜﺘﻮب ﺑﻠﻐﺔ Gﺑﺘﺤﺼﻴﻞ ﻋﺪة ﻗﻨﻮات ﲢﻤﻞ ﺑﻴﺎﻧﺎت ﻋﻦ درﺟﺔ اﳊﺮارة ،ﰒﱠ ﻳﻘﻮم ﺑﺘﻤﺮﻳﺮ ﻫﺬﻩ اﻟﺒﻴﺎﻧﺎت إﱃ ﺗﺎﺑﻊ ﻣﻌﺎﳉﺔ ٌ ﻧﺎﻣﺞ
ﺑﺮ ٌ
وﺣﺴﺎب ،وأﺧﲑاً ﻳﻘﻮم ﺑﺘﺨﺰﻳﻦ اﻟﺒﻴﺎﻧﺎت اﳌﻌﺎﳉﺔ ﻋﻠﻰ اﻟﻘﺮص ،ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ 42-3ﻓﺈن ﺗﺪﻓﱡﻖ اﻟﺒﻴﺎﻧﺎت واﳋﻄﻮات اﻟﱵ ﻳﺘﻀ ﱠﻤﻨﻬﺎ
ﻫﺬا اﻟﱪﻧﺎﻣﺞ ﺗُﻌﺘﱪ ﺳﻬﻠﺔ اﻟﻔﻬﻢ إﲨﺎﻻً ﺿﻤﻦ ﳐﻄﻂ ﺑﻴﺌﺔ .LabVIEW
167 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﲟﺎ أن ﻟﻐﺔ اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ Gﰲ ﺑﻴﺌﺔ LabVIEWﺳﻬﻠﺔ اﻟﻔﻬﻢ ،ﻓﺈ ﱠن ﻫﺬا ﳚﻌﻞ ﻣﻦ اﳌﻬﺎم اﻟﱪﳎﻴﺔ اﻟﺸﺎﺋﻌﺔ ﻛﺘﻨﻘﻴﺢ اﻷﺧﻄﺎء أﻣﺮاً روﺗﻴﻨﻴﺎً
ﺑﺸﻜﻞ ﺗﻔﺎﻋﻠﻲٍ وﺑﺪﻳﻬﻴﺎً أﻳﻀﺎً .ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ،ﺗﻘﺪم ﺑﻴﺌﺔ LabVIEWأدوات ﺗﻨﻘﻴﺢ ﻓﺮﻳﺪة ﻣﻦ ﻧﻮﻋﻬﺎ ﺗﺘﻴﺢ ﻟﻠﻤﱪﻣﺞ ﻣﺸﺎﻫﺪة اﻟﺒﻴﺎﻧﺎت
وﻫﻲ ﺗﻨﺘﻘﻞ ﻋﱪ اﻷﺳﻼك ﻣﻦ ﻋﻘﺪةٍ ﻷﺧﺮى )ـ.(Execution Highlighting
LabVIEW اﻟﺸﻜﻞ 43-3اﺳﺘﺨﺪام ﺧﺎﺻﻴﺔ اﻟﺘﻨﻘﻴﺢ " "Execution Highlightingﳌﺮاﻗﺒﺔ ﺗﺪﻓﻖ اﻟﺒﻴﺎﻧﺎت ﺑﲔ اﻟﻌﻘﺪ ﰲ اﻟﺒﻴﺌﺔ
ﲢﺘﻮي اﻟﺒﻴﺌﺔ LabVIEWأﻳﻀﺎً ﻋﻠﻰ أدوات ﺗﻨﻘﻴﺢ ﻟﻠﻐﺔ Gﳑﺎﺛﻠﺔ ﻟﺘﻠﻚ اﳌﻮﺟﻮدة ﰲ ﺑﻴﺌﺎت اﻟﱪﳎﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻷﺧﺮى ،ﺗﺘﻀ ﱠﻤﻦ اﻷدوات:
ﻧﻘﺎط ﻣﺮاﻗﺒﺔ ) ،(Probesﻧﻘﺎط ﺗﻮﻗﱡﻒ ) ،(Break Pointsﺗﺸﻐﻴﻞ ﺧﻄﻮة ﲞﻄﻮة ).(Step-by-Step
ُﲤ ﱢﻜﻦ أدوات اﻟﺘﻨﻘﻴﺢ اﳋﺎﺻﺔ ﺑﻠﻐﺔ Gاﳌﱪﻣﺞ ﻣﻦ اﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﻣﻦ ﻋﺪﱠة أﺟﺰاء ﰲ اﻟﱪﻧﺎﻣﺞ ﺑﻨﻔﺲ اﻟﻮﻗﺖ ،ﻛﻤﺎ ﺗﻌﻄﻴﻪ إﻣﻜﺎﻧﻴﺔ اﻹﻳﻘﺎف
اﻵﱐ واﻟﺪﺧﻮل إﱃ ﺑﺮﻧﺎﻣﺞ ﻓﺮﻋﻲ ﺑﺪون اﳊﺎﺟﺔ إﱃ ﺗﻌﻠﻴﻤﺎت ﺑﺮﳎﻴﺔ ﻣﻌﻘﱠﺪة؛ ورﻏﻢ أ ﱠن ﻫﺬﻩ اﻹﻣﻜﺎﻧﻴﺎت ﻣﺘﻮﻓﱢﺮة ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻷﺧﺮى ،إﻻﱠ
أ ﱠن ﺑﻴﺌﺔ LabVIEWﲡﻌﻞ ﻣﻦ اﻟﺴﻬﻞ ﺟﺪاً ﺗﺼ ﱡﻮر ﺣﺎﻟﺔ اﻟﱪﻧﺎﻣﺞ واﻟﻌﻼﻗﺎت ﺑﲔ اﻷﺟﺰاء اﻟﺘﻔﺮﻋﻴﺔ ﻓﻴﻪ ﺑﺴﺒﺐ اﻟﻄﺒﻴﻌﺔ اﻟﺮﺳﻮﻣﻴﺔ ،ﻛﻤﺎ ﺗُﻌﺘﱪ
أداة اﳌﱰﺟﻢ اﻵﱐ إﺣﺪى أﺑﺮز أدوات ﺗﻨﻘﻴﺢ اﻷﺧﻄﺎء اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺑﻴﺌﺔ ،LabVIEWﺣﻴﺚ أﻧﻪ أﺛﻨﺎء ﻗﻴﺎم اﳌﱪﻣﺞ ﺑﺘﻄﻮﻳﺮ اﻟﱪﻧﺎﻣﺞ ،ﺗﻘﻮم
ٍ
ﺑﺸﻜﻞ آﱐ ،وﺗﻘﺪﱢم اﻗﱰاﺣﺎت ﻟﻠﻤﱪﻣﺞ ﺣﻮل اﻷﺧﻄﺎء اﻟﱪﳎﻴﺔ وﻃﺮﻳﻘﺔ ﺣﻠﻬﺎ. ﻫﺬﻩ اﻷداة ﺑﺘﻔ ﱡﺤﺺ اﻷﺧﻄﺎء
اﻟﺘﻮزﻳﻊ اﻟﺘﻠﻘﺎﺋﻲ ﳌﻬﺎم اﻟﺘﻨﻔﻴﺬ واﻷداء ):(Automatic Parallelism and Performance 3-3-3-4-3-3
ٍ
ﺑﺸﻜﻞ ﺗﻠﻘﺎﺋﻲ ﻋﻠﻰ ﺗﻔﱡﺮعٍ ﰲ اﻟﺘﻨﻔﻴﺬ .وﺑﻌﻜﺲ ﻟﻐﺎت اﻟﱪﳎﺔ ﺗﺴﻤﺢ ﻟﻐﺎت اﻟﱪﳎﺔ اﳌﻘﺎدة ﺑﺎﻟﺒﻴﺎﻧﺎت ﻛﻤﺎ ﰲ ﺑﻴﺌﺔ LabVIEWﺑﺎﳊﺼﻮل
ُ
ٍ
ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﻋﻠﻰ ﻣﻌﻠﻮﻣﺎت ﻋﻦ أﺟﺰاء اﻟﱪﻧﺎﻣﺞ اﻟﱵ ﲢﺘﺎج إﱃ اﻟﺘﻨﻔﻴﺬ ﻋﻠﻰ اﻟﺘﺴﻠﺴﻠﻴﺔ ﻛﻠﻐﺔ Cوﻟﻐﺔ ،C++ﻓﺈ ﱠن اﻟﱪاﻣﺞ اﻟﺮﺳﻮﻣﻴﺔ ﲢﺘﻮي
اﻟﺘﻮازي ﻣﻊ أﺟﺰاء أﺧﺮى .ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ،ﻳﺘﻌﱪ ﳕﻂ اﳌﻨﺘﺞ/اﳌﺴﺘﻬﻠﻚ ) (Producer/Consumerأﺣﺪ أﺳﺎﻟﻴﺐ اﻟﺘﺼﻤﻴﻢ اﻟﺸﺎﺋﻌﺔ ﰲ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 168
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﻟﻐﺔ اﻟﱪﳎﺔ ،Gﻓﻔﻲ ﻫﺬا اﻷﺳﻠﻮب ﺗﻌﻤﻞ ﺣﻠﻘﺘﺎ Whileﻋﻠﻰ اﻟﺘﻮازي وﺑﺸﻜﻞ ﻣﺴﺘﻘﻞ ،ﲝﻴﺚ ﺗﻜﻮن اﳊﻠﻘﺔ اﻷوﱃ ﻣﺴﺆوﻟﺔً ﻋﻦ ﺗﻮﻟﻴﺪ
اﻟﺒﻴﺎﻧﺎت واﳊﻠﻘﺔ اﻟﺜﺎﻧﻴﺔ ﻣﺴﺆوﻟﺔٌ ﻋﻦ ﻣﻌﺎﳉﺘﻬﺎ ،وﻳﺘﻢ ﺗﺒﺎدل اﻟﺒﻴﺎﻧﺎت ﺑﲔ اﳊﻠﻘﺘﲔ ﺑﺎﺳﺘﺨﺪام أدوات ﺗﺪﻋﻰ ﺑـ ،Queuesوﻫﻲ ﻣﻦ أﳕﺎط
اﻟﺒﻴﺎﻧﺎت اﻟﺘﻘﻠﻴﺪﻳﺔ ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ ﻋﺎﻣﺔ اﻻﺳﺘﺨﺪام .اﻟﺸﻜﻞ 44-3ﻳﻮﺿﺢ اﻟﻨﻤﻂ اﻟﱪﳎﻲ .Producer/Consumer
إن ﺧﺎﺻﻴﺔ اﻟﺘﻔﺮﻋﻴﺔ ﺗُﻌﺘﱪ أﻣﺮاً ﺑﺎﻟﻎ اﻷﳘﻴﺔ ﰲ ﺑﺮاﻣﺞ اﳊﺎﺳﺐ ،وذﻟﻚ ﻟﻜﻮ�ﺎ ﻗﺎدرة ﻋﻠﻰ ﲣﻄﻲ ﺣﺪود اﻷداء اﻟﻨﺎﲡﺔ ﻋﻦ ﺿﻌﻒ اﻟﱪاﻣﺞ
اﻟﺘﺴﻠﺴﻠﻴﺔ ﰲ اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻟﺘﻄﻮرات اﻷﺧﲑة ﰲ ﺗﺼﺎﻣﻴﻢ ﻣﻌﺎﳉﺎت اﳊﻮاﺳﻴﺐ .ﻋﻠﻰ ﻣﺪى أﻛﺜﺮ ﻣﻦ 40ﻋﺎم ،ﻗﺎم ﻣﺼﻨﻌﻮ اﳌﻌﺎﳉﺎت اﳊﺎﺳﻮﺑﻴﺔ
ﺑﺰﻳﺎدة ﺗﺮدد ﻋﻤﻞ اﳌﻌﺎﰿ ﻟﺰﻳﺎدة أداﺋﻪ ،ﰲ أﻳﺎﻣﻨﺎ ﻫﺬﻩ ﱂ ﻳﻌﺪ ﻫﺬا اﻷﻣﺮ ﳑﻜﻨﺎً ﻧﺘﻴﺠﺔً ﻟﻠﻀﻮاﺑﻂ اﻟﱵ ﲢ ﱡﺪ ﻣﻦ اﻻﺳﺘﻄﺎﻋﺔ اﳌﺴﺘﻬﻠﻜﺔ واﻟﻄﺎﻗﺔ
اﳊﺮارﻳﺔ اﳌﺒﺪﱠدة ﰲ ﻫﺬﻩ اﳌﻌﺎﳉﺎت ،وﻧﺘﻴﺠﺔً ﳍﺬا ﻗﺎم ﻣﺼﻨﻌﻮ اﳌﻌﺎﳉﺎت ﺑﺎﻻﻧﺘﻘﺎل إﱃ ﺗﺼﺎﻣﻴﻢٍ ﺟﺪﻳﺪة ﺗﺴﺘﺨﺪم ﻋﺪة ﻧﻮى ﻣﻌﺎﳉﺔ ﻋﻠﻰ ﺷﺮﳛﺔ
واﺣﺪة.
ﺣﱴ ﻳﺴﺘﻔﻴﺪ اﳌﱪﻣﺞ ﻣﻦ اﻷداء اﻟﻜﺒﲑ اﻟﺬي ﺗﻘﺪﻣﻪ اﳌﻌﺎﳉﺎت ﻣﺘﻌﺪدة اﻟﻨﻮى ،ﳚﺐ أن ﻳﻜﻮن ﻗﺎدراً ﻋﻠﻰ اﺳﺘﺨﺪام اﻟﺘﻘﻨﻴﺎت اﻟﱪﳎﻴﺔ اﳌﺘﻘﺪﻣﺔ
) (Pipelining, Task and Data Parallelismوﺗﻮزﻳﻊ اﳌﻬﺎم ) (Multithreadingﰲ ﺑﺮﻧﺎﳎﻪ )أي ﲟﻌﲎ آﺧﺮ ﺗﻘﺴﻴﻢ اﻟﱪﻧﺎﻣﺞ إﱃ
ﻣﺴﺘﻘﻞ ﻋﻦ ﺑﻌﻀﻬﺎ اﻟﺒﻌﺾ( .وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻋﻨﺪ اﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ،ﺳﻴﺼﺒﺢٍ ٍ
ﺑﺸﻜﻞ ﻣﻘﺎﻃﻊ ﻣﻨﻔﺼﻠﺔ ﳝﻜﻦ أن ﺗُﻨﻔﱠﺬ
اﳌﱪﻣﺞ ﻣﺴﺌﻮﻻً ٍ
ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ ﻋﻦ إﻧﺸﺎء اﳌﺴﺎرات إدارﻬﺗﺎ ﻣﻦ أﺟﻞ اﳊﺼﻮل ﻋﻠﻰ ﻣﺰاﻳﺎ اﻟﺘﻔﺮﻋﻴﺔ ،وﻫﻮ ﻣﺎ ﻳُﻌﺘﱪ ﲢﺪﱢﻳﺎً ﻛﺒﲑاً ﻟﻠﻤﱪﳎﲔ اﶈﱰﻓﲔ
وﻏﲑ اﶈﱰﻓﲔ.
ﻋﻠﻰ اﻟﻌﻜﺲ ﲤﺎﻣﺎً ،ﻓﺈن ﺧﻮاص اﻟﺘﻔﺮﻋﻴﺔ اﻟﻄﺒﻴﻌﻴﺔ ﰲ ﻟﻐﺔ اﻟﱪﳎﺔ Gﺗﺒﺴﻂ اﺳﺘﺨﺪام ﺗﻌﺪد اﳌﻬﺎم ) (Multitaskingﰲ اﻟﱪاﻣﺞ ،ﺣﻴﺚ ﺗُﻘ ﱢﻮم
اﻟﺒﻴﺌﺔ LabVIEWآﻧﻴﺎً أﺛﻨﺎء اﻟﺘﻨﻔﻴﺬ اﻷﺟﺰاءَ اﻟﺘﻔﺮﻋﻴﺔ ﻣﻦ اﻟﱪﻧﺎﻣﺞ ،وﻛﻠﻤﺎ ﺻﺎدﻓﺖ ﺗﻔﱡﺮﻋﺎً ﰲ أﺣﺪ اﻷﺳﻼك ،أو ﺗﻮﺿﱡﻌﺎً ﻣﺘﻮازﻳﺎً ﻟﻠﻌﻘﺪ ،ﺗُﻨﻔﺬ
ﻋﺪد ﻣﻦ اﳌﺴﺎرات اﻟﱵ ﺗﺤﻜﻢ ﻬﺑﺎ .ﺗُﺪﻋﻰ ﻫﺬﻩ اﻟﻄﺮﻳﻘﺔ ﰲ اﻻﺻﻄﻼﺣﺎت اﻟﻌﻠﻤﻴﺔ اﳊﺎﺳﻮﺑﻴﺔ ﺑﺎﻟﺘﻮازي ﺑﺸﻜﻞ ﺗﻔﺮﻋﻲ ﻋﱪ اﺳﺘﺨﺪام ٍ ٍ اﻟﱪﻧﺎﻣﺞ
ﺑﺸﻜﻞ ﺗﻔﺮﻋﻲ ،وإﱠﳕﺎ ﺗﻘﻮم ﻟﻐﺔ Gﺑﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻴﺔ
ٍ اﻟﻀﻤﲏ " ،Implicit Parallelismﺣﻴﺚ أنﱠ اﳌﺴﺘﺨﺪم ﻻ ﻳﻜﺘﺐ ﺑﺮﻧﺎﳎﻪ ﻬﺑﺪف ﺗﻨﻔﻴﺬﻩ
ﺗﻠﻘﺎﺋﻴﺎً ﻣﻦ ﺧﻼل ﺗﻘﺴﻴﻢ اﻟﺘﻄﺒﻴﻖ إﱃ ﻣﺴﺎرات ﺗﻨﻔﻴﺬ ﻣﺴﺘﻘﻠﺔ ﻣﻮزﻋﺔ .اﻟﺸﻜﻞ 45-3ﻳﺒﲔ اﻟﺘﻔﺮﻋﻴﺔ اﻟﻄﺒﻴﻌﻴﺔ ﻟﺘﻨﻔﻴﺬ اﳌﻬﺎم ﰲ اﻟﺒﻴﺌﺔ
.LabVIEW
169 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
اﻟﺸﻜﻞ 46-3ﻳﺒﲔ ﻣﺒﺪأ ﺗﻮزﻳﻊ اﻟﺒﻴﺎﻧﺎت اﳌﺘﻮازي ﻋﻠﻰ ﻧﻮى اﳌﻌﺎﳉﺎت وﻫﺬا اﳌﺒﺪأ ﻳﺴﺘﺨﺪم ﻋﻨﺪﻣﺎ ﻳﺮاد ﻣﻌﺎﳉﺔ وﻧﻘﻞ ﻛﻤﻴﺎت ﻛﺒﲑة ﻣﻦ
اﻟﺒﻴﺎﻧﺎت .اﻟﺸﻜﻞ 47-3ﻳﺒﲔ ﺗﻄﺒﻴﻖ " "Data Parallelismﻋﻠﻰ ﻣﻌﺎﰿ Quad-coreﰲ .LabVIEW
اﻟﺸﻜﻞ 48-3ﻳﺒﲔ ﻣﺒﺪأ اﳌﻌﺎﳉﺔ اﳌﺘﺰاﻣﻨﺔ " "Pipeliningﰲ ﺗﻮزﻳﻊ اﳌﻬﺎم اﻟﱪﳎﻴﺔ ﻋﻠﻰ ﻣﻌﺎﰿ ذو ﻧﻮاة وﺣﻴﺪة ﺗﺪﻋﻢ أرﺑﻊ ﻣﺴﺘﻮﻳﺎت ﻣﺘﺰاﻣﻨﺔ.
اﻟﺸﻜﻞ 49-3ﻳﺒﲔ ﺗﻄﺒﻴﻖ ﻣﺒﺪأ " "Pipeliningﺑﺄرﺑﻊ ﻣﺴﺘﻮﻳﺎت ﰲ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ .LabVIEW
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 170
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
Sequential
Pipelining
4-Channels
LabVIEW اﻟﺸﻜﻞ 49-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﳌﻌﺎﳉﺔ اﻟﺘﺴﻠﺴﻠﻴﺔ ) (Sequentialواﳌﻌﺎﳉﺔ اﳌﺘﺰاﻣﻨﺔ ) (4L.Pipeliningﰲ ﺑﻴﺌﺔ اﻟﱪﻧﺎﻣﺞ
اﻟﺸﻜﻞ 50-3ﻳﺒﲔ اﻟﱪﳎﺔ واﻟﺘﻮزﻳﻊ اﳌﺘﻮازي ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ) (Real-timeﻟﻠﻤﻌﺎﳉﺎت ﻣﺘﻌﺪدة اﻟﻨﻮى ﺑﺎﺳﺘﺨﺪام اﳊﻠﻘﺎت اﳌﺘﺰاﻣﻨﺔ ،وﻓﻴﻬﺎ
ﳝﻜﻦ ﲢﺪﻳﺪ ﻧﻮاة اﳌﻌﺎﳉﺔ اﳌﻌﻨﻴﺔ ﺑﺘﻨﻔﻴﺬ اﳊﻠﻘﺔ ﰲ إﻋﺪادات اﳊﻠﻘﺔ ﺑﺈﺳﻨﺎد رﻗﻢ اﻟﻨﻮاة ).(1,2,…n
Core-1
Core-2
اﻟﺸﻜﻞ 50-3اﻟﱪﳎﺔ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﻟﻠﻤﻌﺎﳉﺎت ﻣﺘﻌﺪدة اﻟﻨﻮى ﰲ اﻟﺒﻴﺌﺔ LabVIEW
ﻗﺒﻴﻞ ﺳﻨﻮات ﻛﺎﻧﺖ ﻣﻬﻤﺔ ﺑﺮﳎﺔ ﺷﺮاﺋﺢ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ) (FPGAsﺳﺎﺑﻘﺎً ﻣﻨﻮﻃﺔ ﻓﻘﻂ ﺑﺎﳋﱪاء اﳌﺨﺘ ﱢ
ﺼﲔ اﻟﺬﻳﻦ ﻳﺘﻤﺘﱠﻌﻮن
ٍ
وﺑﺸﻜﻞ ﻣﺘﺰاﻳﺪ ،أﺻﺒﺢ ﻟﺪى اﳌﻬﻨﺪﺳﲔ اﻟﺬﻳﻦ ﻳﻌﻤﻠﻮن ﰲ ﳎﺎل ﺑﺮﳎﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻫﺎﺟﺲ ٍ
ﺑﺈﳌﺎم ﻛﺒ ٍﲑ ﺑﻠﻐﺎت ﺗﺼﻤﻴﻢ اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
171 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﻟﺘﻌﻠﻢ ﺑﺮﳎﺔ ﺗﻘﻨﻴﺔ اﻟـ FPGAﻬﺑﺪف اﺳﺘﺨﺪام ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ ﰲ اﳌﺸﺎرﻳﻊ اﻟﱵ ﺗﺘﻄﻠﱠﺐ إﻣﻜﺎﻧﻴﺎت وﻣﺘﻄﻠﺒﺎت ﺧﺎﺻﺔ ،وﲢﺘﺎج دﻗﺔ ﺣﺘﻤﻴﺔ ﰲ
اﻟﺘﻨﻔﻴﺬ ،ﻏﲑ أن ﺑﺮﳎﺔ اﻟـ FPGAﲣﺘﻠﻒ ﻛﻠﻴﺎً ﻋﻦ ﺑﺮﳎﺔ اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ،ﻓﻤﻦ أﺟﻞ ﺗﺼﻤﻴﻢ ﺧﻮارزﻣﻴﺔ ﻣﻌﻴﻨﺔ ﺑﺎﺳﺘﺨﺪام اﻟـFPGA؛ ﻓﺈﻧﻪ ﻣﻦ
اﻟﻀﺮوري ﻋﻨﺪ ﻛﺘﺎﺑﺔ اﻟﱪﻧﺎﻣﺞ أﺧﺬ اﻟﺘﻨﻔﻴﺬ اﳌﺘﺰاﻣﻦ ﻟﻠﻌﻤﻠﻴﺎت ،واﻟﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻲ ،وﳏﺪودﻳﺔ ﻣﺼﺎدر اﻟﺘﺨﺰﻳﻦ وﻏﲑﻫﺎ ﺑﻌﲔ اﻻﻋﺘﺒﺎر].[433
ﻳﺢ وواﺿﺢ ﻋﻦ ﻣﺒﺪأ اﻟﺘﻔﺮﻋﻴﺔ وﺗﺪﻓﱡﻖ اﻟﺒﻴﺎﻧﺎت ،ﺣﻴﺚ ﺗُﻌﺘﱪ ﻟﻐﺔ Gﻣﻨﺎﺳﺒﺔ ﺑﺸﻜﻞ ﻓﺮﻳﺪ ﻟﱪﳎﺔ ﺷﺮاﺋﺢ اﻟـ ،FPGAوذﻟﻚ ﻷ�ﺎ ﱢ
ﺗﻌﱪ ﺑﺸﻜﻞ ﺻﺮ ٍ
ﺼﺺ ﲤ ﱢﻜﻦ ﻟﻐﺔ Gاﳌﺴﺘﺨﺪم ﻣﻦ اﳊﺼﻮل ﻋﻠﻰ ٍ
ﺗﻨﻔﻴﺬ ﺗﻔﺮﻋﻲ ﺣﻘﻴﻘﻲ ﻏﲑ ﳏﺪود ) ، (Parallelismﺣﻴﺚ ﻳﺘﻢ إﺳﻨﺎد ﻛﻞ ﻣﻬﻤﺔ إﱃ ﻗﺴﻢ ﳐ ﱠ
ﻣﻦ اﻟﺸﺮﳛﺔ اﻟﺴﻴﻠﻴﻜﻮﻧﻴﺔ ،وﻟﻜﻦ ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﻻ ﻳﻮﺟﺪ ﳏﺪودﻳﺔ ﰲ اﻷداء وﻓﻘﺎً ﻟﻌﺪد ﻧﻮى اﳌﻌﺎﳉﺔ اﳌﺘ ﱢﻮﻓﺮة ،وإﳕﺎ ﳝﻜﻦ ﺑﻨﺎء ﻋﺪد ﻛﺒﲑ ﻣﻦ
اﳌﺴﺎرات اﳌﺘﻮازﻳﺔ ﻛﻜﻴﺎن ﺻﻠﺐ ،ﻛﻤﺎ أن اﻷداء ﰲ أﺣﺪ أﺟﺰاء اﻟﱪﻧﺎﻣﺞ ﻻ ﻳﺘﺄﺛﺮ ﺳﻠﺒﺎً ﺑﺈﺿﺎﻓﺔ اﳌﺰﻳﺪ ﻣﻦ ﻣﻬﻤﺎت اﳌﻌﺎﳉﺔ .اﻟﺸﻜﻞ 51-3ﻳﺒﲔ
ﺑﺮﻧﺎﳎﺎً ﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ LabVIEW FPGAﻣﻜﻮن ﻣﻦ ﲬﺲ ﺣﻠﻘﺎت ﺗﻨﻔﻴﺬ ﺗﻌﻤﻞ ﻋﻠﻰ اﻟﺘﻮازي.
ﺗُﻌ ﱡﺪ ﻋﻤﻠﻴﺔ اﻻﺧﺘﺼﺎر واﻟﺘﺠﺮﻳﺪ إﺣﺪى اﳌﺰاﻳﺎ اﻷﺳﺎﺳﻴﺔ ﰲ اﻟﻠﻐﺎت ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ،ﺣﻴﺚ ﱠأ�ﺎ ﱢ
ﺗﻌﱪ ﻋﻦ اﻟﱪاﻣﺞ ﺑﻄﺮق أﺧﺮى أﻛﺜﺮ ﻋﻔﻮﻳﺔ
وأﻗﺮب إﱃ ﻓﻄﺮة اﳌﱪﻣﺞ وﺗﻔﻜﲑﻩ .ﺗﻘﻮم ﻟﻐﺔ اﻟﱪﳎﺔ Gﺗﻠﻘﺎﺋﻴﺎً ﺑﺄداء اﻟﻜﺜﲑ ﻣﻦ اﳌﻬﺎم اﻟﱵ ﻳﺘﻮﺟﺐ ﻋﻰ اﳌﱪﻣﺞ اﻟﻘﻴﺎم ﻬﺑﺎ ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ
اﻟﻨﺼﻴﺔ )ﻛﺎﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻟﺬاﻛﺮة ﻣﺜﻼً( ،ﺣﻴﺚ ﻳﺘﻮﺟﺐ ﻋﻠﻰ اﳌﱪﻣﺞ ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ ﺣﺠﺰ اﳌﻮاﻗﻊ اﻟﺬاﻛﺮﻳﺔ ﻗﺒﻞ اﻟﺘﻌﺎﻣﻞ ﻣﻌﻬﺎ ،ﻛﻤﺎ
ﻳﺘﻮﺟﺐ ﻋﻠﻴﻪ إ�ﺎء ﺣﺠﺰ ﻫﺬﻩ اﳌﻮاﻗﻊ ﻋﻨﺪﻣﺎ ﺗﻨﺘﻬﻲ اﳊﺎﺟﺔ إﻟﻴﻬﺎ .ﻋﻠﻰ اﳌﱪﻣﺞ أﻳﻀﺎً أن ﻳﻜﻮن ﺣﺬراً ﲝﻴﺚ ﻻ ﻳﺘﺠﺎوز اﳌﻮاﻗﻊ اﻟﺬاﻛﺮﻳﺔ اﶈﺠﻮزة
ﻋﻨﺪ اﻟﻜﺘﺎﺑﺔ ﻋﻠﻰ اﻟﺬاﻛﺮة .إن اﻟﻔﺸﻞ ﰲ ﺣﺠﺰ اﳌﻮاﻗﻊ اﳌﻄﻠﻮﺑﺔ ﰲ اﻟﺬاﻛﺮة ،أو ﺣﺠﺰ ﻣﺴﺎﺣﺔ ﻏﲑ ﻛﺎﻓﻴﺔ ،ﻳُﻌ ﱡﺪ ﻣﻦ أﻛﱪ اﻷﺧﻄﺎء اﻟﺸﺎﺋﻌﺔ
واﻟﺼﻌﺒﺔ اﻟﺘﻨﻘﻴﺢ ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ.
ﺗُﻌﺘﱪ ﺧﺎﺻﻴﺔ اﻟﺘﻌﺎﻣﻞ اﻵﱄ ﻣﻊ اﻟﺬاﻛﺮة ﻣﻦ أﻫﻢ ﻣﺰاﻳﺎ اﻟﱪﳎﺔ ﺑﺎﻟﻠﻐﺔ ،Gﺣﻴﺚ ﻻ ﳛﺘﺎج اﳌﱪﻣﺞ إﱃ ﺣﺠﺰ اﳌﺘﺤﻮﻻت أو اﻟﺘﺼﺮﻳﺢ ﻋﻨﻬﺎ ،ﻛﻤﺎ
ﻻ ﳛﺘﺎج إﱃ اﻟﻜﺘﺎﺑﺔ إﱃ ﻫﺬﻩ اﳌﺘﺤﻮﻻت أو اﻟﻘﺮاءة ﻣﻨﻬﺎ ،وإﳕﺎ ﺗﻘﻮم اﻟﻌﻘﺪ اﻟﱵ ﺗﻮﻟﱢﺪ اﻟﺒﻴﺎﻧﺎت ﰲ ﺑﻴﺌﺔ LabVIEWﺗﻠﻘﺎﺋﻴﺎً ﲝﺠﺰ اﻷﻣﺎﻛﻦ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 172
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
اﻟﺬاﻛﺮﻳﺔ ﳍﺬﻩ اﻟﺒﻴﺎﻧﺎت ،وﻋﻨﺪﻣﺎ ﺗﻨﺘﻬﻲ اﳊﺎﺟﺔ إﱃ اﺳﺘﺨﺪاﻣﻬﺎ ﻳﺘﻢ إﻟﻐﺎء ﺣﺠﺰ اﳌﻮاﻗﻊ اﻟﺬاﻛﺮﻳﺔ ﺑﺸﻜﻞ آﱄ .ﻛﺬﻟﻚ ﻋﻨﺪ إﺿﺎﻓﺔ ﻣﻌﻠﻮﻣﺎت
ٍ
ﺑﺸﻜﻞ ﺗﻠﻘﺎﺋﻲ ﻟﻴﺘﺴﻊ ﳍﺬﻩ اﳌﻌﻠﻮﻣﺎت اﳌﻀﺎﻓﺔ. ﺟﺪﻳﺪة إﱃ ﻣﺼﻔﻮﻓﺔ أو ﺳﻠﺴﻠﺔ ﻣﻌﺮﻓﻴﺔ ،ﻳﺘﻢ ﺣﺠﺰ ﻣﻘﺪار إﺿﺎﰲ ﻣﻦ اﻟﺬاﻛﺮة
إن رﻓﻊ ﻣﻬﺎم وﻣﺴﺎﺋﻞ إدارة اﻟﺬاﻛﺮة ﻣﻨﺨﻔﻀﺔ اﳌﺴﺘﻮى ﻋﻦ ﻋﺎﺗﻖ اﳌﱪﻣﺞ ،ﳛﱢﺮرﻩ ﻣﻦ دراﺳﺔ اﻟﻘﻮاﻋﺪ اﳌﻌﻘﱠﺪة اﻟﻼزﻣﺔ ﳌﻨﻊ ﺣﺪوث أﺧﻄﺎء ﺗﺸﻐﻴﻞ
ﰲ اﻟﱪﻧﺎﻣﺞ ،ﻣﻦ أﺟﻞ أن ﻳﺮﱢﻛﺰ اﻫﺘﻤﺎﻣﻪ ﻋﻠﻰ اﳌﺴﺄﻟﺔ اﻟﱵ ﻳﻘﻮم ﲝﻠﱢﻬﺎ.
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ذﻟﻚ ،ﻓﺈن اﳌﱪﻣﺞ ﻳﺴﺘﻄﻴﻊ اﻟﻮﺻﻮل إﱃ ﲢﻜﻢ دﻗﻴﻖ ﺑﺎﺳﺘﺨﺪام اﻟﺬاﻛﺮة ﰲ اﻟﻠﻐﺔ Gﻋﻨﺪ اﳌﺴﺘﻮى اﻷدﱏ ﺑﺎﺳﺘﺨﺪام أدوات
إدارة اﻟﺬاﻛﺮة " "Profile Performance & Memoryاﳌﺪﳎﺔ ﺿﻤﻦ ﺑﻴﺌﺔ LabVIEW؛ ﻓﺈذا ﻗﱠﺮر اﳌﱪﻣﺞ أ ﱠن اﺳﺘﻬﻼك اﻟﺬاﻛﺮة ﻳﺸ ﱢﻜﻞ
ﻋﺎﻣﻼً ﻣﻬﻤﺎً ﰲ ﺑﻴﺌﺔ ،LabVIEWﳝﻜﻨﻪ أن ﻳﺘﺪ ﱠﺧﻞ ﻟﻴﺨﻔﱢﺾ ﻛﻤﻴﺔ اﻟﺬاﻛﺮة اﳌﺴﺘﻬﻠﻜﺔ ﻋﱪ اﺳﺘﺨﺪام ﻋﺪة ﺗﻘﻨﻴﺎت ﺑﺮﳎﻴﺔ ﻣﺘﻘﺪﱢﻣﺔ.
اﻟﺸﻜﻞ 52-3ﻟﻮﺣﺔ اﻟﺘﺤﻜﻢ ﺑﺎﻷداء وﻣﻮارد اﻟﺬاﻛﺮة ﰲ اﻟﺒﻴﺌﺔ .LabVIEW
ﻋﻨﺪﻣﺎ ﺗُﻈﻬﺮ ﻟﻐﺔ اﻟﱪﳎﺔ Gﺳﻠﻮﻛﺎً ﻏﲑ ﻣﺘﻮﻗﻊ ﻻ ﳝﻜﻦ ﺣﻠﱡﻪ ﺑﺴﻬﻮﻟﺔ ﺑﺎﺳﺘﺨﺪام أدوات اﻟﺘﻨﻘﻴﺢ اﳌﺬﻛﻮرة ﺳﺎﺑﻘﺎً ،ﻓﻌﻨﺪﻫﺎ ﺑﺈﻣﻜﺎن اﳌﱪﻣﺞ
اﺳﺘﺨﺪام أدوات ﺗﻨﻘﻴﺢ أﻛﺜﺮ ﺗﻄ ﱡﻮراً " ."LabVIEW Desktop Execution Trace Toolkitﺗﻘﺪم ﻫﺬﻩ اﻷدوات إﻣﻜﺎﻧﻴﺎت أﻗﻮى
ﻟﻠﻤﱪﳎﲔ اﶈﱰﻓﲔ اﻟﺬﻳﻦ ﳛﺘﺎﺟﻮن ﲢﻠﻴﻼً دﻳﻨﺎﻣﻴﻜﻴﺎً ﻟﻠﱪﻧﺎﻣﺞ ﻋﻨﺪ ﻣﺴﺘﻮﻳﺎت ﻣﻨﺨﻔﻀﺔ ،ﻣﺜﻞ :ﻛﺸﻒ اﻟﺘﺴﺮﻳﺒﺎت ﰲ اﻟﺬاﻛﺮة ،ﻋﺰل اﳌﺼﺪر
ﻣﻌﲔ أو ﺳﻠﻮك ﻏﲑ ﻣﺮﻏﻮب ،ﺗﻔ ﱡﺤﺺ اﻟﱪاﻣﺞ ﲝﺜﺎً ﻋﻦ اﳌﻮاﺿﻊ اﻟﱵ ُﲤ ﱢﻜﻦ ﻣﻦ ﺗﻄﻮﻳﺮ اﻷداء ،إﳚﺎد آﺧﺮ ﻋﻤﻠﻴﺔ ﻧﺪاء ﺣﺼﻠﺖ
اﳌﺴﺒﱢﺐ ﳊﺪث ﱠ
ﻗﺒﻞ وﻗﻮع ﺧﻄﺄ ﻣﻌﲔ ،اﻟﺘﺄ ﱡﻛﺪ ﻣﻦ ﻛﻮن أداء ﺑﺮﻧﺎﻣﺞ ﻣﻌ ﱠ
ﲔ ﻫﻮ ﻧﻔﺴﻪ ﻋﻠﻰ أﻧﻈﻤﺔ ﺗﺸﻐﻴﻞ وﻣﻨﺼﺎت ﻋﻤﻞ ﳐﺘﻠﻔﺔ .اﻟﺸﻜﻞ 53-3ﻟﻮﺣﺔ اﻷداة
"."Execution Trace
اﻟﺸﻜﻞ 53-3أداة ﻣﺘﻘﺪﻣﺔ ﻟﻠﻔﺤﺺ وﺗﺘﺒﻊ اﻷﺧﻄﺎء " "Execution Traceﰲ اﻟﺒﻴﺌﺔ LabVIEW
173 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ،ﺗﺘﻴﺢ ﺑﻴﺌﺔ LabVIEWاﺳﺘﺨﺪام ﻣﺎ ﻳُﺴ ﱠﻤﻰ ﺑﻌﻘﺪ اﻟﺼﻴﻎ اﻟﺮﻳﺎﺿﻴﺔ ) ،(Formula Nodeواﻟﱵ ﲤ ﱢﻜﻦ اﳌﱪﻣﺞ ﻣﻦ ﻛﺘﺎﺑﺔ
ﺻﻴﻎ رﻳﺎﺿﻴﺔ ﻧﺼﻴﺔ ﺷﺒﻴﻬﺔ ﺑﺘﻠﻚ اﳌﺴﺘﺨﺪﻣﺔ ﰲ ﻟﻐﺔ Cﺿﻤﻦ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﱪﻧﺎﻣﺞ ،ﺑﺈﻣﻜﺎن ﺗﻠﻚ اﻟﺼﻴﻎ اﻟﺮﻳﺎﺿﻴﺔ أن ﺗُﻨﻔﱠﺬ ﺟﻨﺒﺎً إﱃ
ﺟﻨﺐ وﺑﺸﻜﻞ ﻣﺘﻜﺎﻣﻞ ﻣﻊ اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ )اﻟﺮﺳﻮﻣﻴﺔ( ﰲ ﺑﻴﺌﺔ .LabVIEWاﻟﺸﻜﻞ 54-3ﻳﺒﲔ اﻟﻌﻨﺼﺮ C-nodeاﳌﺨﺼﺼﺔ ﻟﻜﺘﺎﺑﺔ
ﺑﺮاﻣﺞ ﺑﻠﻐﺔ اﻟـ C/C++ﺿﻤﻦ ﺑﻴﺌﺔ .LabVIEW
C-node اﻟﺸﻜﻞ 54-3ﻛﺘﺎﺑﺔ ﺑﺮاﻣﺞ ﺑﻠﻐﺔ اﻟـ Cﺿﻤﻦ ﺑﻴﺌﺔ LabVIEWﺑﺎﺳﺘﺨﺪام اﻟﻌﻨﺼﺮ اﻟﱪﳎﻲ
ٍ
ﺑﺸﻜﻞ ﻣﺸﺎﺑﻪ ،ﺗﻀﻴﻒ ﻋﻘﺪة اﻟﻨﺼﻮص اﻟﺮﻳﺎﺿﻴﺔ ) (MathScript Nodeاﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ اﻟﺮﻳﺎﺿﻴﺔ إﱃ ﺑﻴﺌﺔ ،LabVIEWوﻫﻲ ﻣﺘﻮاﻓﻘﺔ
ﺑﺸﻜﻞ ﻋﺎم ﻣﻊ ﺻﻴﻐﺔ اﳌﻠﻔﺎت " (Matlab) ".m fileﺷﺎﺋﻌﺔ اﻻﺳﺘﺨﺪام .اﻟﺸﻜﻞ 55-3ﻳﺒﲔ اﻟﻌﻨﺼﺮ MathScript-Nodeاﳌﺨﺼﺼﺔ
ﻟﻠﺘﻌﺎﻣﻞ ﻣﻊ ﺻﻴﻎ اﳌﻠﻔﺎت ﻣﻦ اﻟﻨﻮع " ".m fileﺿﻤﻦ ﺑﻴﺌﺔ .LabVIEWإﺿﺎﻓﺔً إﱃ ذﻟﻚ ﳝﻜﻦ ﺗﻀﻤﲔ ﺑﺮﻧﺎﻣﺞ وﺻﻒ ﻛﻴﺎن ﺻﻠﺐ
HDLﺑﺎﺳﺘﺨﺪام اﻟﻌﻘﺪة اﻟﱪﳎﻴﺔ .HDL-Node
اﻟﺸﻜﻞ 55-3اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﳌﻠﻔﺎت " ".m fileﺿﻤﻦ ﺑﻴﺌﺔ LabVIEWﺑﺎﺳﺘﺨﺪام اﻟﻌﻨﺼﺮ MathScript-Node
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 174
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ 56-3ﻓﺈن ﻋﻠﻰ اﳌﱪﻣﺞ اﳋﻮض ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺮاﺣﻞ ﻟﻠﻮﺻﻮل إﱃ ﻣﺮﺣﻠﺔ ﺗﺸﻐﻴﻞ اﻟﱪﻧﺎﻣﺞ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ،
وﻫﺬﻩ اﳌﺮاﺣﻞ ﺗﺘﻀﻤﻦ ﻛﻞ ﻣﻨﻬﺎ ﻣﻨﺼﺔ ﻋﻤﻞ ﻣﺴﺘﻘﻠﺔ ﲢﺘﺎج إﱃ ﺧﱪة ﻣﺮﺗﺒﻄﺔ ﺑﺎﻟﻮﻇﻴﻔﻴﺔ اﻟﱪﳎﻴﺔ .ﰲ ﺣﲔ أﻧﻪ وﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ LabVIEW
ﻓﺈن ﻛﺎﻣﻞ ﻋﻤﻠﻴﺔ اﻟﱪﳎﺔ واﻟﺘﺤﻠﻴﻞ واﻟﺘﻄﻮﻳﺮ ﺗﺘﻢ ﻋﻠﻰ ﻣﻨﺼﺔ ﻋﻤﻞ وﺣﻴﺪة ،وأﻣﺎ ﺗﻔﺎﺻﻴﻞ وﻣﺮاﺣﻞ ﺗﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ ،ﻓﺘﺘﻢ
ﺑﺸﻜﻞ ﻣﺆﲤﺖ ﻣﻦ ﺧﻼل ﲡﺮﻳﺪﻫﺎ إﱃ ﻣﺴﺘﻮى اﻟﺒﻨﺎء اﻷﺧﻔﺾ – اﻟﺬي ﻳﺘﻢ آﻟﻴﺎً] .[444إن ﻫﺬﻩ اﳌﻴﺰة ﺗﺘﻴﺢ ﻟﻠﻄﻼب ﰲ اﻟﻔﺮوع اﳍﻨﺪﺳﻴﺔ
إﻣﻜﺎﻧﻴﺔ ﺗﺼﻤﻴﻢ اﻟﻨﻤﺎذج وﺗﻨﻔﻴﺬﻫﺎ ﻣﺒﺎﺷﺮة ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻣﻦ ﺧﻼل ﻣﺴﺘﻮى أﻋﻠﻰ ﻣﻦ اﻟﺘﺠﺮﻳﺪ ﻟﺒﻴﺌﺔ اﻟﺘﺼﻤﻴﻢ.
Design Algorithm
Traditional Languages
Simulate Algorithm
Graphical
Programming
Make Algorithm Compatible
1. Simulate Program
2. Test System
Optimize Algorithm 3. Target Hardware
اﻟﺸﻜﻞ 56-3ﻣﻘﺎرﻧﺔ اﳋﻄﻮات اﻟﱪﳎﻴﺔ ﺑﲔ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ واﻟﻠﻐﺎت اﻟﺮﺳﻮﻣﻴﺔ -ﻣﺴﺘﻮى ﲡﺮﻳﺪ أﻋﻠﻰ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ
اﻟﺸﻜﻞ 57-3ﻳﺒﲔ ﻣﻘﺎرﻧﺔً ﻟﻠﺨﻄﻮات اﻟﱪﳎﻴﺔ ﺑﲔ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ واﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻟﱪﳎﺔ ﺷﺮﳛﺔ .DSP
اﻟﺸﻜﻞ 57-3ﻳﺒﲔ ﻣﻘﺎرﻧﺔً ﺑﲔ اﻟﺒﻴﺌﺔ LabVIEW-DSPواﻟﻠﻐﺎت اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﻨﺼﻴﺔ ﻟﻠﺨﻄﻮات اﳌﻄﻠﻮﺑﺔ ﻟﱪﳎﺔ ﺗﻄﺒﻴﻖ ﻋﻤﻠﻲ ﻟﺸﺮاﺋﺢ
ﻣﻌﺎﳉﺎت اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ،ﺑﺎﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ LabVIEWﳝﻜﻦ ﺗﺼﻤﻴﻢ اﻟﺘﻄﺒﻴﻖ ﺑﺪون اﳊﺎﺟﺔ إﱃ ﻛﻮن اﳌﺼﻤﻢ ﻣﺘﺨﺼﺺ ﰲ ﺧﻮارزﻣﻴﺎت
ﻣﻌﺎﳉﺔ وﲢﻠﻴﻞ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ؛ وذﻟﻚ ﻷن ﻣﻌﻈﻢ ﻫﺬﻩ اﳋﻮارزﻣﻴﺎت ﺳﺘﻜﻮن ﻣﺒﻨﻴﺔ ﺑﺎﻟﻜﺎﻣﻞ ﻋﻠﻰ ﺷﻜﻞ ﺻﻨﺎدﻳﻖ وﻇﻴﻔﻴﺔ ﰲ ﺑﻴﺌﺔ
175 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
،LabVIEWوﻛﻞ ﻣﺎ ﺳﻴﺤﺘﺎﺟﻪ اﳌﺼﻤﻢ ﻫﻮ ﺿﺒﻂ اﻟﺒﺎراﻣﱰات اﻟﻮﻇﻴﻔﻴﺔ ﳍﺬﻩ اﻟﻌﻨﺎﺻﺮ ،ﻛﻤﺎ ﻟﻦ ﳛﺘﺎج اﳌﺼﻤﻢ اﳋﻮض ﰲ ﺗﻌﻘﻴﺪات ﺗﻮﻟﻴﺪ
اﳌﻠﻒ اﻟﱪﳎﻲ ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ وﻣﺴﺎﺋﻞ ﺗﺒﻊ اﻷﺧﻄﺎء.
اﻟﺸﻜﻞ 58-3ﻳﺒﲔ ﺗﻄﺒﻴﻘﺎً ﻻﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ) (DAQﻳﻘﻮم ﻋﻠﻰ ﻗﺮاءة اﻟﺒﻴﺎﻧﺎت ﻣﻦ ﺟﻬﺎز اﻟﻘﻴﺎس اﳌﻮﺻﻮل ﻣﻊ اﳊﺎﺳﺐ ﻋﱪ أﺣﺪ
ﻣﻨﺎﻓﺬ اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻲ وﻳﻌﺮض اﻟﻨﺘﺎﺋﺞ ﻋﻠﻰ راﺳﻢ إﺷﺎرة ﻋﻠﻰ ﺷﺎﺷﺔ اﳊﺎﺳﺐ .إن ﺑﻨﺎء ﻣﺜﻞ ﻫﺬا اﻟﺘﻄﺒﻴﻖ ﺑﺎﺳﺘﺨﺪام ﺑﺮﻧﺎﻣﺞ
LabVIEWﺳﻴﺴﺘﻐﺮق أﻗﻞ ﻣﻦ ﻋﺸﺮ دﻗﺎﺋﻖ وﺳﻴﻜﻮن ﻣﺆﻟﻔﺎً ﻣﻦ ﻋﻨﺼﺮ اﻟﺘﺨﺎﻃﺐ ﻣﻊ اﳉﻬﺎز وﻋﻨﺼﺮ رﺳﻢ اﻹﺷﺎرة وﺣﻠﻘﺔ ﺗﻨﻔﻴﺬ ﺗﻜﺮارﻳﺔ
) .(58-3aﰲ ﺣﲔ أﻧﻪ وﺑﺎﺳﺘﺨﺪام اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻓﺈن اﻷﻣﺮ ﺳﻴﺘﻄﻠﺐ ﻛﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ ﻣﺆﻟﻒ ﻣﻦ 50ﺳﻄﺮاً ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت اﻟﱪﳎﻴﺔ ﻻ
ﻳﺘﻀﻤﻨﻬﺎ ﺑﺮﻧﺎﻣﺞ رﺳﻢ اﻹﺷﺎرات ﻋﻠﻰ اﻟﺸﺎﺷﺔ ).(58-3b
)(b )(a
اﻟﺸﻜﻞ 58-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﱪﳎﺔ ﺣﻠﻘﺔ اﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻣﻦ ﺟﻬﺎز ﻗﻴﺎس وﻋﺮﺿﻬﺎ ﻋﻠﻰ راﺳﻢ إﺷﺎرة
ﻣﻦ أﺟﻞ ﺗﻄﻮﻳﺮ اﻟﺘﻄﺒﻴﻖ اﳌﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ 58-3ﻻﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﻣﻦ ﺣﻠﻘﺘﲔ ﻋﻠﻰ اﻟﺘﻮازي – ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ-59-3
ﻓﺈﻧﻪ ﻳﻜﻔﻲ ﺗﻜﺮار اﳊﻠﻘﺔ اﻟﺮﺳﻮﻣﻴﺔ اﻷوﱃ ﰲ ﺑﻴﺌﺔ LabVIEWوﺳﻴﻘﻮم اﻟﱪﻧﺎﻣﺞ ﺑﺘﻨﻈﻴﻢ اﻟﺘﻨﻔﻴﺬ اﻟﺘﻔﺮﻋﻲ وﻓﻘﺎً ﻟﻌﺪد ﻧﻮى اﳌﻌﺎﰿ .أﻣﺎ
ﺑﺎﺳﺘﺨﺪام اﻟﻠﻐﺔ اﻟﻨﺼﻴﺔ ﻓﺈن اﻷﻣﺮ ﺳﻴﺘﻄﻠﺐ إﻋﺎدة ﺑﻨﺎء وﺗﻨﻈﻴﻢ اﻟﱪﻧﺎﻣﺞ وﺳﻴﺘﻀﺎﻋﻒ ﺣﺠﻤﻪ ﲬﺲ ﻣﺮات.
اﻟﺸﻜﻞ 59-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﱪﳎﺔ ﺣﻠﻘﱵ اﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻣﻦ ﺟﻬﺎزي ﻗﻴﺎس ﻋﻠﻰ اﻟﺘﻮا ِز وﻋﺮﺿﻬﺎ
ﺑﻔﺮض أﻧﻨﺎ ﻧﺮﻳﺪ ﺗﻄﻮﻳﺮ اﻟﺘﻄﺒﻴﻖ اﳌﺒﲔ ﰲ اﻟﺸﻜﻞ 59-3ﻻﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﻋﻨﺪ ﻣﻌﺪﻻت ﳐﺘﻠﻔﺔ ،ﻓﺈﻧﻨﺎ ﺳﻮف ﳓﺘﺎج إﱃ ﺣﻠﻘﺔ ﺗﻜﺮارﻳﺔ
زﻣﻨﻴﺔ ﻟﻜﻞ ﺟﻬﺎز ﺘﻢ ﻣﻌﺎﻳﺮﻬﺗﺎ )زﻣﻦ اﻟﺘﻜﺮار( وﻓﻘﺎً ﳌﻌﺪل اﻟﻘﺮاءة اﳌﻄﻠﻮب – اﻟﺸﻜﻞ .60-3إن ﻫﺬا اﻟﺘﻌﺪﻳﻞ ﳝﻜﻦ أن ﻳﺘﻢ ﺑﻠﻐﺎت اﻟﱪﳎﺔ
اﻟﺮﺳﻮﻣﻴﺔ ﺑﺸﻜﻞ ﺑﺴﻴﻂ ﺟﺪاً وذﻟﻚ ﺑﺘﻐﻴﲑ اﳊﻠﻘﺔ ” “Do-whileإﱃ ﺣﻠﻘﺔ زﻣﻨﻴﺔ ” ،“Times-loopﰲ ﺣﲔ أن اﻷﻣﺮ ﺳﻴﺼﺒﻊ ﻣﻌﻘﺪاً
ﺟﺪاً ﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻐﺎت اﻹﺟﺮاﺋﻴﺔ اﻟﻨﺼﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 176
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
اﻟﺸﻜﻞ 60-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﱪﳎﺔ ﺣﻠﻘﱵ اﺳﺘﺤﺼﺎل ﺑﻴﺎﻧﺎت ﻋﻠﻰ اﻟﺘﻮا ِز وﲟﻌﺪﻻت اﺳﺘﺤﺼﺎل ﳐﺘﻠﻔﺔ
ﺑﺎﻻﻧﺘﻘﺎل إﱃ ﺑﺮﳎﺔ ﺷﺮاﺋﺢ اﻟـ ،FPGAوﺑﻔﺮض أﻧﻪ ﻳﺮاد ﻗﺮاءة ﺑﻴﺎﻧﺎت ﻣﻦ ﻗﻄﺐ رﻗﻤﻲ ) (DIO: Data In/outﻟﺸﺮﳛﺔ اﻟـ ،FPGAﻓﺈن
اﻟﺸﻜﻞ 61-3bﳝﺜﻞ اﻟﺘﻄﺒﻴﻖ اﳌﻄﻠﻮب .أﻣﺎ ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﻓﺈن اﳌﺴﺄﻟﺔ ﺳﺘﺤﺘﺎج إﱃ ﻛﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ ﻣﺆﻟﻒ ﻣﻦ
60ﺳﻄﺮاً ،أﺿﻒ إﱃ ذﻟﻚ ﺗﻌﻘﻴﺪات ﺗﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ اﻟﻼزم ﺑﺮﳎﺔ ﻋﻠﻰ اﻟﺸﺮﳛﺔ.
)(b )(a
FPGA اﻟﺸﻜﻞ 61-3ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﻘﺮاءة ﺑﻴﺎﻧﺎت رﻗﻤﻴﺔ ﻣﻦ ﻗﻄﺐ ﺷﺮﳛﺔ
ﻣﻦ أﺟﻞ ﺗﻄﻮﻳﺮ اﻟﺘﻄﺒﻴﻖ اﳌﺒﲔ ﰲ اﻟﺸﻜﻞ 61-3ﻴﺘﻢ اﻟﻘﺮاءة ﻣﻦ ﻗﻄﺐ ﺗﺸﺎﻬﺑﻲ ،ﻓﺈن اﻟﺘﻌﺪﻳﻞ ﺳﻴﻜﻮن ﺑﺴﻴﻄﺎً ﺟﺪاً ﻣﻦ ﺧﻼل ﺗﻌﺪﻳﻞ ﻋﻨﺼﺮ
ﻟﺪﺧﻞ اﻟﺮﺳﻮﻣﻲ إﱃ ﻗﻄﺐ ﺗﺸﺎﻬﺑﻲ – اﻟﺸﻜﻞ62-3؛ ﰲ ﺣﲔ أﻧﻪ ﰲ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﺳﻴﺘﻄﻠﺐ اﻷﻣﺮ ﺗﻌﻘﻴﺪاً ﻛﺒﲑاً ﺣﻮل ﻣﺴﺎﺋﻞ اﻟﺘﻌﺎﻣﻞ ﻣﻊ
اﻟﻔﻮاﺻﻞ اﻟﻌﺸﺮﻳﺔ ) (Floating pointوﺳﻴﻤﺘﺪ اﻟﱪﻧﺎﻣﺞ اﻟﻨﺼﻲ إﱃ ﻣﺌﺎت اﻷﺳﻄﺮ ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت ،ﻛﻤﺎ أن ﺗﺘﺒﻊ اﻷﺧﻄﺎء ﰲ اﻟﱪﻧﺎﻣﺞ
ﺳﻴﺘﻄﻠﺐ وﻗﺘﺎ أﻛﱪ.
FPGA اﻟﺸﻜﻞ 62-3ﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﻘﺮاءة ﺑﻴﺎﻧﺎت ﺗﺸﺎﻬﺑﻴﺔ ﻣﻦ ﻗﻄﺐ ﺷﺮﳛﺔ
177 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﻟﺘﻄﻮﻳﺮ اﻟﺘﻄﺒﻴﻖ اﳌﺒﲔ ﰲ اﻟﺸﻜﻞ 62-3ﲝﻴﺚ ﻳﺘﻢ ﺰﻳﻦ اﻟﺒﻴﺎﻧﺎت اﳌﺴﺘﺤﺼﻠﺔ ﻣﻦ اﻟﻘﻄﺐ اﻟﺘﺸﺎﻬﺑﻲ ﰲ ﺧﻼﻳﺎ ذاﻛﺮﻳﺔ SRAMﻋﻠﻰ ﺷﺮﳛﺔ
اﻟـ ،FPGAﻓﺈن اﻟﺘﻌﺪﻳﻞ ﰲ ﺑﻴﺌﺔ LabVIEWﺳﻴﻜﻮن ﺑﺈﺿﺎﻓﺔ ﻋﻨﺼﺮ – DMA-FIFOاﻟﺸﻜﻞ ،63-3ﰲ ﺣﲔ أﻧﻪ ﺑﺎﺳﺘﺨﺪام اﻟﻠﻐﺎت
اﻟﻨﺼﻴﺔ ﺳﻴﻤﺘﺪ اﻟﱪﻧﺎﻣﺞ إﱃ أﻛﺜﺮ ﻣﻦ 66ﺻﻔﺤﺔ ﳛﻮي ﻋﻠﻰ 4000ﺳﻄﺮ ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت!
66Pages ~4000Lines
اﻟﺸﻜﻞ 63-3ﻘﺎرﻧﺔ ﺑﲔ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ﻟﻘﺮاءة ﺑﻴﺎﻧﺎت ﺗﺸﺎﻬﺑﻴﺔ ﻣﻦ ﻗﻄﺐ ﺷﺮﳛﺔ FPGAوﲣﺰﻳﻨﻬﺎ
واﶈﺎﻛﺎة ) ،[447](Simulationوﰲ اﻟﻘﻄﺎع اﻟﺼﻨﺎﻋﻲ ،ﺣﻴﺚ ﺗﺸﲑ اﻟﺴﻮق اﻟﺘﺠﺎرﻳﺔ ﰲ اﺳﺘﺠﺎﺑﺘﻬﺎ إﱃ أن اﻟﻌﻤﻠﻴﺔ )(Hands-on
][446
اﻟﺒﻴﺌﺔ LabVIEWﺗﻌﺘﱪ ﻣﻦ اﻻﺧﺘﻴﺎرات اﻟﺮﺋﻴﺴﻴﺔ ﰲ ﺗﺼﻤﻴﻢ ﺗﻄﺒﻴﻘﺎت اﻟﺘﺤﻜﻢ واﻟﺘﺤﻠﻴﻞ ﻟﻠﻨﻈﻢ ﰲ ﻛﻼ اﶈﻮرﻳﻦ اﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﻟﺼﻨﺎﻋﻲ
واﻷﻛﺎدﳝﻲ اﻟﺘﻌﻠﻴﻤﻲ].[448
اﻟﺒﻴﺌﺔ LabVIEWﰎ اﻹﻗﺮار ﻋﻠﻰ أ�ﺎ ﺑﻴﺌﺔ ﺑﺮﳎﻴﺔ ﻣﻨﺎﺳﺒﺔ ﺑﺸﻜﻞ أﻛﱪ ﻣﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ) (C, Javaﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻄﻼب ﰲ اﻟﻔﺮوع
ﻣﺪى ﻃﻮﻳﻞ أﻛﺪت اﻷﲝﺎث ﻋﻠﻰ أن اﻟﺒﻴﺌﺔ LabVIEWﳝﻜﻦ أن ﺗﺴﺘﺨﺪم ﻟﺘﻌﺎﰿ اﳊﺎﺟﺔ اﳌﻠﺤﺔ .ﻛﻤﺎ أﻧﻪ وﻋﻠﻰ ً
][448-457
اﳍﻨﺪﺳﻴﺔ
ﳌﻘﺮرات ﺗﻄﺒﻴﻘﻴﺔ ﻣﺘﻌﺪدة ﰲ اﻟﻌﻠﻮم اﻟﺘﻜﻨﻠﻮﺟﻴﺔ].[458-462
اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ LabVIEWﰎ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻘﺮرات اﳉﺎﻣﻌﻴﺔ واﳌﺨﱪﻳﺔ ﻟﺘﺰوﻳﺪ اﻟﻄﻼب ﲞﱪة أﻗﺮب ﻣﺎ ﺗﻜﻮن إﱃ اﻟﺘﻄﺒﻴﻖ ﰲ
اﻟﻮاﻗﻊ اﻟﻌﻤﻠﻲ] ،[463وذﻟﻚ ﻷ�ﺎ ﺗﻌﺘﱪ أداة ﻣﻨﺎﺳﺒﺔ ﺟﺪاً ﻟﺒﻨﺎء ﺗﻄﺒﻴﻘﺎت اﳌﺨﺘﱪات] ،[449,450,464ﻓﻘﺪ اﺳﺘﺨﺪﻣﺖ ﰲ ﺗﺪرﻳﺲ اﳌﻌﺎدﻻت
اﻟﺘﻔﺎﺿﻠﻴﺔ] ،[465اﻹﻟﻜﱰوﻧﻴﺎت] ،[466اﻟﺘﺤﻜﻢ] ،[467اﳌﺨﺘﱪات ﻋﻠﻰ ﺷﺒﻜﺔ اﻻﻧﱰﻧﺖ] ،[468اﳌﻴﻜﺎﺗﺮوﻧﻴﻜﺲ واﻟﺮوﺑﻮت] ،[450,469-471وﰲ ﻣﻘﺮر
ﻣﺘﻌﺪد اﻻﺧﺘﺼﺎﺻﺎت ﺣﻮل أﻧﻈﻤﺔ اﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت] ،[472ﻛﻤﺎ ﰎ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ اﳌﻨﺎﻫﺞ اﳍﻨﺪﺳﻴﺔ ﻟﻠﻄﻼب ﰲ اﻟﺴﻨﻮات اﻷوﱃ] [473ﰲ
ﺗﻌﻠﻴﻢ ﻣﺒﺎدئ ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ] ،[474وﻛﺬﻟﻚ اﺳﺘﺨﺪﻣﺖ ﰲ ﺗﻄﻮﻳﺮ اﳌﺘﺤﻜﻤﺎت PIDﰲ ﳐﺘﱪات ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ
اﻵﱄ] [464وأﺛﺮ ﻫﺬا ﰎ ﻣﻨﺎﻗﺸﺘﻪ ﰲ اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ].[475
اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ] [451ﺗﺴﺮد اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﻣﺜﻠﺔ ﺣﻮل اﺳﺘﺨﺪام اﻟﱪﻧﺎﻣﺞ ﻛﺄداة ﲢﻠﻴﻞ وﳏﺎﻛﺎة ﻓﻌﺎﻟﺔ ﰲ ﺗﺪرﻳﺲ ﻣﻘﺮر اﻟﻔﻴﺰﻳﺎء ﰲ اﻟﺼﻔﻮف اﻟﻨﻈﺮﻳﺔ
واﳌﺨﺘﱪات اﻟﻌﻤﻠﻴﺔ .اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﻣﺜﻠﺔ اﻷﺧﺮى اﺳﺘﺨﺪﻣﺖ اﻟﱪﻧﺎﻣﺞ ﰲ ﳐﺘﱪات اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻴﻬﺎ ﰲ].[448,449,452-456
اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ] [476ﺗﺼﺮح ﻋﻠﻰ أن اﻟﱪﻧﺎﻣﺞ ﻳﻌﺘﱪ اﳋﻴﺎر اﻟﻔﺮﻳﺪ ﻋﻠﻰ ﳓﻮ ﺧﺎص ﻹﻧﺸﺎء اﳌﺨﺘﱪات .Remote-Labs
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 178
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
اﻟﺒﻴﺌﺔ LabVIEWاﺳﺘﺨﺪﻣﺖ أﻳﻀﺎً ﰲ ﳎﺎل واﺳﻊ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت ﰲ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﳌﻴﻜﺎﻧﻴﻜﻴﺔ] ،[477واﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﰲ
أﻧﻈﻤﺔ ﻣﺮاﻗﺒﺔ ﻣﺼﺎدر ﺗﻮﻟﻴﺪ اﻟﻄﺎﻗﺔ اﻟﻨﻈﻴﻔﺔ] ،[478وﰲ اﻟﺘﻄﺒﻴﻘﺎت اﻟﺼﻨﺎﻋﻴﺔ اﻟﱵ ﺗﺘﻄﻠﺐ اﻟﺘﺤﻜﻢ وﻓﻖ اﳌﻨﻄﻖ اﻟﻐﺎﻣﺾ] ،[479وﰲ اﻟﺘﺤﻜﻢ
وﻣﺮاﻗﺒﺔ ﻋﻤﻠﻴﺎت اﻟﺘﻘﻄﲑ] ،[480ﻛﻤﺎ ﰎ ﺗﻄﺒﻴﻘﻬﺎ ﰲ ﳐﺘﱪات اﻟﺘﺼﻤﻴﻢ ﻟﺘﺤﺴﲔ اﳌﺨﺘﱪات] ،[481وﰲ ﳏﻄﺎت ﺗﻮﻟﻴﺪ اﻟﻄﺎﻗﺔ اﳍﺠﻴﻨﻴﺔ اﻟﻨﻈﻴﻔﺔ اﻟﱵ
ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻃﺎﻗﺔ اﻟﺮﻳﺎح واﳋﻼﻳﺎ اﻟﺸﻤﺴﻴﺔ] ،[482ﰲ ﳏﺎﻛﺎة وﲢﻠﻴﻞ اﻟﻨﺸﺎﻃﺎت اﻹﺷﻌﺎﻋﻴﺔ] ،[483ﰲ ﲢﻠﻴﻞ أﻣﻮاج اﻟﻀﺠﻴﺞ واﻻﻫﺘﺰاز اﻟﻨﺎﺗﺞ ﻋﻦ
اﻟﺒﻴﺌﺎت اﻟﺼﻨﺎﻋﻴﺔ] ،[484ﰲ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﺑﺎﳌﻮﺿﻊ] [485ﰲ ﻫﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ،ﰲ ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ] [486وﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﻄﺒﻴﻘﺎت
اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﻟﱵ ﻻ ﺣﺼﺮ ﳍﺎ.
ﻧﻈﺮاً ﻟﻠﻤﻴﺰات اﳌﺘﻜﺎﻣﻠﺔ ﻟﻠﱪﻧﺎﻣﺞ ،LabVIEWﻓﻘﺪ أﺻﺒﺢ أﻛﺎدﳝﻴﺎً وﺻﻨﺎﻋﻴﺎً ﻣﻔﻀﻼً ﻋﻠﻰ اﻟﱪﻧﺎﻣﺞ MATLABﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﻧﻈﻤﺔ،
ﻋﻠﻰ ﺳﺒﻴﻞ اﻟﺬﻛﺮ ﻻ اﳊﺼﺮ :ﲢﻠﻴﻞ وﳕﺬﺟﺔ اﻵﻻت].[487
ﺗﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ ” “Matlabوﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ” “LabVIEWﰲ ﻣﻘﺮر ﻣﻌﺎﳉﺔ اﻹﺷﺎرة ][488
اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ
اﻟﺮﻗﻤﻴﺔ؛ اﻟﻨﺘﺎﺋﺞ ﺗﻀﻤﻨﺖ ﺗﺼﻮﻳﺘﺎً ﻟـ 64ﻃﺎﻟﺒﺎً ،ﺛﻼث ﻣﻨﻬﻢ ﻓﻘﻂ ﻟﻪ ﻣﻌﺮﻓﺔ ﻣﺴﺒﻘﺔ ﺑﺎﻟﱪﻧﺎﻣﺞ LabVIEWواﻟﺒﺎﻗﻲ ﺗﻌﻠﻢ اﻟﱪﻧﺎﻣﺞ Matlab
ﻣﺴﺒﻘﺎً .اﺳﺘﺠﺎﺑﺔ اﻟﻄﻼب ﺑﻌﺪ ا�ﺎء اﳌﻘﺮر أﻇﻬﺮت أن 75%ﻣﻦ اﻟﻄﻼب ﻳﻮاﻓﻖ ﻋﻠﻰ أن اﻟﱪﻧﺎﻣﺞ LabVIEWﻫﻮ اﳊﻞ اﻷﻣﺜﻞ.
اﳉﺪول 2-3ﻳﺒﲔ ﺑﻌﺾ اﻻﺳﺘﻔﺴﺎرات اﻟﱵ ﻃﺮﺣﺖ واﻟﻨﺘﺎﺋﺞ ﻋﻠﻴﻬﺎ].[475
اﳉﺪول 2-3ﺑﻌﺾ ﻧﺘﺎﺋﺞ إﺟﺎﺑﺎت اﻟﻄﻼب ﺣﻮل اﻟﻠﻐﺔ اﻟﱪﳎﻴﺔ اﳌﻔﻀﻠﺔ ﳌﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ
اﻹﺣﺼﺎﺋﻴﺎت ﻟﻌﺎم 2006اﳌﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 64-3ﺗﺸﲑ إﱃ أن 29.30%ﻣﻦ ﲡﻬﻴﺰات اﻟﺘﺤﻜﻢ واﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ) (DAQﺗﻌﺘﻤﺪ
اﻟﺒﻴﺌﺔ LabVIEWﰲ اﻟﺘﺼﻤﻴﻢ.
LabVIEW 29.30%
MATLAB 5.90%
اﻟﺸﻜﻞ 64-3ﻧﺴﺒﺔ اﺳﺘﺨﺪام اﻟﺒﻴﺌﺔ LabVIEWﰲ ﲡﻬﻴﺰات اﻟﺘﺤﻜﻢ واﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﻟﻌﺎم 2006
179 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
إن اﳌﻮﻗﻒ اﻹﳚﺎﰊ اﻟﻮاﺿﺢ ﰲ اﻷوراق اﻟﺒﺤﺜﻴﺔ ﺣﻮل اﺳﺘﺨﺪام اﻟﱪﻧﺎﻣﺞ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ واﳌﺨﱪي ﳝﻜﻦ إرﺟﺎءﻩ إﱃ اﻟﻌﻮاﻣﻞ اﻟﺘﺎﻟﻴﺔ:
-اﻻﻧﻄﻼﻗﺔ اﻷوﱃ ﻟﻠﱪﻧﺎﻣﺞ ﻛﺎﻧﺖ ﻛﻤﻨﺼﺔ ﺑﺮﳎﻴﺔ ﻋﺎﻟﻴﺔ اﻷداء ﻟﺘﻄﻮﻳﺮ ﺗﻄﺒﻴﻘﺎت اﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت.
اﻟﱪﻧﺎﻣﺞ ﺑﺸﻜﻞ ﺟﻮﻫﺮي ﻋﺒﺎرة ﻋﻦ ﺑﻴﺌﺔ ﺗﻄﻮﻳﺮ ﻣﺮﺋﻴﺔ ﻟﺘﺠﻬﻴﺰات اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) (Virtual Instrumentsﺗﺪﻋﻰ ﻓﻴﻬﺎ اﻟﱪاﻣﺞ -
ﺑـ ،VIsﺣﻴﺚ أن ﻣﻔﻬﻮم " (VIs) "Virtual Instrumentsﻳﺴﺎﻋﺪ وﻳﺒﺴﻂ ﺑﻨﺎء واﺟﻬﺎت ﻟﺘﺠﺎرب ﳐﱪﻳﺔ ﻓﻌﺎﻟﺔ وﻣﺘﻜﺎﻣﻠﺔ.
-ﳝﻠﻚ اﻟﱪﻧﺎﻣﺞ ﻣﻜﺘﺒﺎت ﺷﺎﻣﻠﺔ وواﺳﻌﺔ ﻟﺘﻄﺒﻴﻘﺎت اﻟﻘﻴﺎﺳﺎت واﻟﱰﺷﻴﺢ وﻣﻌﺎﳉﺔ وﲢﻠﻴﻞ اﻟﺒﻴﺎﻧﺎت واﻹﺷﺎرات.
-ﻳﺘﻴﺢ اﻟﱪﻧﺎﻣﺞ إﻣﻜﺎﻧﻴﺔ اﶈﺎﻛﺎة ﻟﻄﻴﻒ واﺳﻊ ﻣﻦ اﳌﺸﺎﻛﻞ اﳍﻨﺪﺳﻴﺔ ﳑﺎ ﻳﺴﺎﻋﺪ ﰲ ﲢﻠﻴﻠﻬﺎ وﺣﻠﻬﺎ.
-ﳝﻠﻚ اﻟﱪﻧﺎﻣﺞ ﳎﻤﻮﻋﺔ واﺳﻌﺔ وﺷﺎﻣﻠﺔ ﻣﻦ أدوات اﻟﺘﻄﻮﻳﺮ ) (toolkitsاﻟﱵ ﳝﻜﻦ إﺿﺎﻓﺘﻬﺎ ﻛﻤﻮدﻳﻼت ﺑﺮﳎﻴﺔ ﺟﺎﻫﺰة ).(add-on
-ﳝﻠﻚ اﻟﱪﻧﺎﻣﺞ أدوات اﺗﺼﺎل ﻣﻊ اﻹﻧﱰﻧﺖ ) (Internet connectivityﲤﻜﻦ ﻣﻦ ﺗﻄﻮﻳﺮ واﺟﻬﺎت اﻟﺘﻄﺒﻴﻘﺎت ﻋﻠﻰ ﻣﺴﺘﻌﺮض
اﻹﻧﱰﻧﺖ ﺑﺸﻜﻞ ﻣﺒﺎﺷﺮ ).(web-based
-ﳝﻠﻚ اﻟﱪﻧﺎﻣﺞ ﳎﻤﻮﻋﺔ ﻛﺒﲑة ﻣﻦ اﻷدوات اﻟﱵ ﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ ﺗﻀﻤﲔ ﻣﻜﺘﺒﺎت ﺧﺎرﺟﻴﺔ ﻟﱪاﻣﺞ أﺧﺮى ﻣﺜﻞMatlab/Simulink, :
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 180
23 اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ | Chapter 3
ﺘﻜﻮن ﻣﻦ أﺣﺪ ﻋﺸﺮ وﺣﺪة ﺑﺮﳎﻴﺔ ﳐﺘﻠﻔﺔ ﺗﺴﺘﺨﺪم ﻟﺘﻄﻮﻳﺮ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲟﺨﺘﻠﻒ ﺗﻄﺒﻴﻘﺎﻬﺗﺎ وﺣﻠﻮﳍﺎ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ) MCUs, MPUs,
،(FPGAs, DSPs,وﻫﻲ ﺗﻀﻤﻦ ﻣﻜﺎﺗﺐ ﳕﻮذﺟﻴﺔ ﺟﺎﻫﺰة ) (off-the-shelfﻟﺒﻨﺎء ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ.
ﺗُﻌﺘﱪ وﺣﺪة اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ إﺿﺎﻓﺔ ﺑﺮﳎﻴﺔ إﱃ ﺑﻴﺌﺔ ﺗﻄﻮﻳﺮ ﺑﺮﻧﺎﻣﺞ ،LabVIEWﺣﻴﺚ ﺗﻘﻮم ﻫﺬﻩ اﻟﻮﺣﺪة ﻋﻨﺪ ﺗﻨﺼﻴﺒﻬﺎ ﺑﱰﲨﺔ اﻟﱪاﻣﺞ اﻟﺮﺳﻮﻣﻴﺔ
ٍ
ﺑﺸﻜﻞ أﻣﺜﻠﻲ ﲝﻴﺚ ﺗﻌﻤﻞ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﶈﺪد. اﳌﻄﻮرة ﰲ ﺑﻴﺌﺔ LabVIEW
ﺗﺘﻴﺢ ﻫﺬﻩ اﻟﻮﺣﺪة اﺳﺘﺨﺪام اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﻟﺘﺼﻤﻴﻢ ﺗﻄﺒﻴﻘﺎت ﺷﺮاﺋﺢ اﻟـ ،FPGAﺣﻴﺚ ﻳﺴﺘﻄﻴﻊ اﳌﱪﻣﺞ إﻧﺸﺎء وﺣﺪات ﺧﺎﺻﺔ ﺑﺸﺮاﺋﺢ
اﻟـ FPGAsوﻗﺎدرة ﻋﻠﻰ اﻟﺘﻌﺎﻣﻞ ﻣﺒﺎﺷﺮة ﻣﻊ ﺑﻮاﺑﺎت اﻟﺪﺧﻞ/اﳋﺮج ،ﻛﻤﺎ ﺗﺘﻴﺢ إﻧﺸﺎء ﻛﺘﻞ ﻣﻨﻄﻘﻴﺔ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺧﺎﺻﺔ ﺑﺄداء ﻣﻬﺎم
ﳏﺪدة ﻣﺜﻞ :ﺑﺮوﺗﻮﻛﻮﻻت اﻻﺗﺼﺎل اﻟﺮﻗﻤﻴﺔ ،ﳏﺎﻛﺎة أداء اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﰲ اﳊﻠﻘﺎت اﻟﱪﳎﻴﺔ ،واﻟﻨﻤﺬﺟﺔ اﻟﺴﺮﻳﻌﺔ ﳋﻮارزﻣﻴﺎت اﻟﺘﺤﻜﻢ.
وﺣﺪة اﻟﺘﺤﻜﻢ ﺑﺎﳌﻨﻄﻖ اﻟﻐﺎﻣﺾ ):(LabVIEW PID and Fuzzy Logic Toolkit 3-1-7-3-4-3-3
ﺗﺴﻤﺢ ﻫﺬﻩ اﻟﻮﺣﺪة ﻟﻠﻤﱪﳎﲔ ﺈﺿﺎﻓﺔ ﺧﻮارزﻣﻴﺎت ﲢﻜﻢ ﻣﻌﻘﱠﺪة إﱃ ﺗﻄﺒﻴﻘﺎﻬﺗﻢ ،إذ ﺗﺘﻴﺢ ﻫﺬﻩ اﻟﻮﺣﺪة ﺗﻄﺒﻴﻖ ﻧﻈﺮﻳﺎت اﻟﺘﺤﻜﻢ اﻵﱄ ﺑﺴﻬﻮﻟﺔ
ﺑﺎﺳﺘﺨﺪام اﻟﺘﻮاﺑﻊ اﳌﺨﺼﺼﺔ ﳌﻨﻈﻤﺎت اﻟـ PIDوﺗﻮاﺑﻊ اﻟـ.Fuzzy Control
ﲡﻤﻊ ﻫﺬﻩ اﻟﻮﺣﺪة ﺑﲔ ﲢﺼﻴﻞ اﳌﻌﻄﻴﺎت وﺧﻮارزﻣﻴﺎت ﲢﺪﻳﺪ ﻫﻮﻳﺔ اﻟﻨﻈﻢ ﻣﻦ أﺟﻞ اﳊﺼﻮل ﻋﻠﻰ ﳕﺎذج رﻳﺎﺿﻴﺔ أﻛﺜﺮ دﻗﺔ ،ﲝﻴﺚ ﺗﺴﻤﺢ
ﻟﻠﻤﺴﺘﺨﺪم ﺑﺘﺤﺼﻴﻞ اﳌﻌﻄﻴﺎت ﻣﻦ اﻟﻨﻈﺎم ،وﻣﻦ ﰒﱠ اﺳﺘﻨﺘﺎج اﻟﻨﻤﻮذج اﻟﺮﻳﺎﺿﻲ اﻟﺪﻳﻨﺎﻣﻴﻜﻲ ﻟﻪ ﺑﺸﻜﻞ آﱄ.
وﺣﺪة ﳏﺎﻛﺎة ﻧﻈﻢ اﻟﺘﺤﻜﻢ ):(LabVIEW Control Design & Simulation 5-1-7-3-4-3-3
ﲤﻜﻦ ﻫﺬﻩ اﻟﻮﺣﺪة ﻣﻦ ﲢﻠﻴﻞ ﺳﻠﻮك اﻷﻧﻈﻤﺔ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ،ﺗﺼﻤﻴﻢ اﳌﺘﺤﻜﻤﺎت ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ،ﳏﺎﻛﺎة أداء اﻟﻨﻈﻢ أﺛﻨﺎء ﻋﻤﻠﻬﺎ
) (onlineأو أﺛﻨﺎء ﺗﻮﻗﻔﻬﺎ ﻋﻦ اﻟﻌﻤﻞ ) ،(offlineﺑﺎﻹﺿﺎﻓﺔ إﱃ ﺑﺮﳎﺔ ﻫﺬﻩ اﻟﻨﻈﻢ .ﺗﺘﻴﺢ ﻫﺬﻩ اﻟﻮﺣﺪة اﺳﺘﲑاد ﺧﻮارزﻣﻴﺎت اﻟﺘﺤﻜﻢ ﻣﻦ
ﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ أﺧﺮى ،ﻛﺎﺳﺘﲑاد ﻣﻮدﻳﻞ رﻳﺎﺿﻲ ﰎ ﺗﺼﻤﻴﻤﻪ ﰲ ﺑﺮﻧﺎﻣﺞ .Matlab-Simulink
181 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﺗﺴﻤﺢ ﻫﺬﻩ اﻟﻮﺣﺪة ﺑﺎﻟﺮﺑﻂ ﺑﲔ ﺑﻴﺌﺔ LabVIEWواﻟﱪﻧﺎﻣﺞ ،Matlab-Simulinkوﺑﺎﻟﺘﺎﱄ ﻳﺴﺘﻄﻴﻊ اﳌﺼﻤﻢ رﺑﻂ اﻟﻨﻤﻮذج اﻟﺬي ﻗﺎم
ﺑﺘﻄﻮﻳﺮﻩ ﰲ ﺑﺮﻧﺎﻣﺞ Matlab-Simulinkﻣﻊ اﻟﻌﺪﻳﺪ ﻣﻦ ﻣﻨﺼﺎت اﻟﺪﺧﻞ/اﳋﺮج ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ واﻟﱵ ﺗﻮﻓﺮﻫﺎ ﺑﻴﺌﺔ .LabVIEW
إن ﻫﺬﻩ اﻟﻮﺣﺪة ﺗﺴﻤﺢ ﺑﺎﺳﺘﺨﺪام اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﻣﻊ ﻣﻌﺎﳉﺎت 32-bitاﻟﺸﻬﲑة ذات اﻟﺒﻨﻴﺔ .ARMﺗﻘﺪﱢم ﻫﺬﻩ اﻟﻮﺣﺪة ﻟﻠﻤﱪﻣﺞ ﺑﻴﺌﺔ
ﺗﻄﻮﻳﺮ ﻣﺘﻜﺎﻣﻠﺔ ﻟﻠﻤﻌﺎﳉﺎت ذات اﻟﻨﻮى .ARM7, ARM9, and Cortex-M3
دوات ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ وﳏﺎﻛﺎﻬﺗﺎ ):(Control Design and Simulation 2-7-3-4-3-3
أدوات ﻣﻌﺎﳉﺔ وﲣﺰﻳﻦ اﻟﺒﻴﺎﻧﺎت وﻋﺮض اﻟﺘﻘﺎرﻳﺮ ):(Report Generation and Data Storage 3-7-3-4-3-3
ﳎﻤﻮﻋﺔ ﻣﻦ اﻷدوات ﲤﻜﻦ اﳌﺴﺘﺨﺪم ﻣﻦ رﺑﻂ اﻟﺒﻴﺌﺔ LabVIEWﻣﻊ ﳎﻤﻮﻋﺔ أدوات MS-Officeوﻗﻮاﻋﺪ ﺑﻴﺎﻧﺎت .My-SQLإﺿﺎﻓﺔً
إﱃ إﻣﻜﺎﻧﻴﺔ ﺑﻨﺎء واﺟﻬﺎت اﻟﺘﻄﺒﻴﻘﺎت ﻋﻠﻰ ﺻﻔﺤﺎت اﻹﻧﱰﻧﺖ ﳑﺎ ﻳﺴﻤﺢ ﺑﺎﻟﺘﺤﻜﻢ واﳌﺮﻗﺒﺔ ﻋﻦ ﺑﻌﺪ.
أدوات ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ واﻟﺼﻮر ):(Image and Signal Processing 4-7-3-4-3-3
ﲤﻠﻚ آﻻف اﳌﻜﺘﺒﺎت اﳉﺎﻫﺰة واﳋﺎﺻﺔ ﰲ ﻣﻌﺎﳉﺔ وﺗﺼﺤﻴﺢ وﺗﺮﺷﻴﺢ اﻟﺼﻮر واﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ اﻟﺼﻮﺗﻴﺔ واﳌﺮﺋﻴﺔ إﺿﺎﻓﺔً إﱃ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻮﻇﺎﺋﻒ
اﳋﺎﺻﺔ ﰲ ﺗﻄﺒﻴﻘﺎت أﻧﻈﻤﺔ اﻟﺮؤﻳﺔ ).(Vision Systems
أدوات ﺑﻨﺎء اﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ اﳊﺎﺳﻮﺑﻴﺔ ):(Software Development and Deployment 5-7-3-4-3-3
ﺗﺰود ﳎﻤﻮﻋﺔ ﻣﻦ اﻷدوات اﻻﺣﱰاﻓﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﻟﺒﻨﺎء اﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ اﳊﺎﺳﻮﺑﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 182
23 Chapter 3 | اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ
.(Scada) ﻟﺼﻨﺎﻋﻴﺔ ﲟﺨﺘﻠﻒ ﺗﻄﺒﻴﻘﺎﻬﺗﺎ وﺗﺼﻤﻴﻢ واﺟﻬﺎت اﻟﺘﺤﻜﻢ واﳌﺮاﻗﺒﺔPLCﻫﺬﻩ اﻷدوات ﳐﺼﺼﺔ ﻟﱪﳎﺔ اﻟـ
Software Report
Control Image Industrial
Embedded Development Generation
Design and &Signal Monitoring
Design & and Data
Simulation Processing and Control
Deployment Storage
Real-Time Module ok ok
Real-Time Execution Trace Toolkit ok ok ok
FPGA Module ok ok ok
Microprocessor SDK
Statechart Module ok ok ok ok
Mobile Module ok
DSP Module ok
Embedded Module for ARM ok
C-code Generator ok
FPGA Compile Farm Toolkit ok
Robotics Module ok
Control Design and Simulation Module ok
PID and Fuzzy Logic Toolkit ok
Simulation Interface Toolkit ok
System Identification Toolkit ok
Vision Development Module ok
MathScript RT Module ok
Advanced Signal Processing Toolkit ok
Digital Filter Design Toolkit ok
Adaptive Filter Toolkit ok
Sound and Vibration Measurement Suite ok
Sound and Vibration Toolkit ok
Spectral Measurements Toolkit ok
Modulation Toolkit ok
Vision Builder for Automated Inspection ok
Math Interface Toolkit ok
Real-Time Vision Development Bundle ok
Datalogging and Supervisory Control ok
Wireless Sensor Network Module ok
Touch Panel Module ok
Motion Assistant ok
SoftMotion Module ok
OPC Servers ok
Instrument Control ok
Test Automation and Validation ok
Application Builder for Windows ok
VI Analyzer Toolkit ok
Desktop Execution Trace Toolkit ok
Remote Panels ok
Requirements Gateway ok
Unit Test Framework Toolkit ok
SignalExpress ok
Report Generation Toolkit for MS Office ok
Database Connectivity Toolkit ok
DataFinder Toolkit ok
Internet Toolkit ok
Acquiring Data and Processing Signals ok
183 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Hardware Programming Languages ﻟﻐﺎت ﺑﺮﳎﺔ أﻧﻈﻤﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ |
ﰲ اﻟﻮﻗﺖ اﻟﺬي ﺗﺰداد ﻓﻴﻪ ﻛﺜﺎﻓﺔ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ ﺷﺮﳛﺔ ﺳﻴﻠﻴﻜﻮﻧﻴﺔ وﺣﻴﺪة – وﻓﻘﺎً ﻟﻘﺎﻧﻮن ،Moorﻓﺈن ﻛﻠﻔﺔ اﻟﱰاﻧﺰﺳﺘﻮرات ﻋﻠﻰ اﳌﺴﺘﻮى
اﻟﺴﻴﻠﻴﻜﻮﱐ ﺑﺎﳓﺪار ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﻟﻌﻨﺎﺻﺮ اﳌﺘﻜﺎﻣﻠﺔ اﳌﻌﻘﺪة اﻟﺒﻨﻴﺔ ) (FPGAs, Multi-core MPUs, SoCsأﺻﺒﺤﺖ أﻛﺜﺮ اﺳﺘﺨﺪاﻣﺎً
وﺷﻴﻮﻋﺎً ﰲ اﻟﺘﻄﺒﻴﻘﺎت ،وﻫﺬا ﺑﺪورﻩ أدى إﱃ ﺣﺠﻢ ﺗﻌﻘﻴﺪ ﺑﺮﳎﻲ أﻛﱪ ﺑﻜﺜﲑ ودورة ﺗﺼﻤﻴﻢ أﻃﻮل ﺑﻜﺜﲑ.
إن ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ أﺻﺒﺢ ﰲ ﻫﺬا اﻟﻮﻗﺖ أﻣﺮاً أﺳﺎﺳﻴﺎً ﰲ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ اﳍﻨﺪﺳﻴﺔ ،وإن اﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﻨﺼﻴﺔ
ﻳﻌﻴﻖ اﻟﻄﻼب واﻟﺒﺎﺣﺜﲔ ﻣﻦ اﻻﺳﺘﻔﺎدة ﻣﻦ اﻟﻌﺪﻳﺪ ﺣﻠﻮل اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﺘﻮﻓﺮة )ﻣﺜﻞ :اﻟـ (FPGAsواﻟﱵ ﳝﻜﻦ أن ﲡﻌﻞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ
أﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ وواﻗﻌﻴﺔ .إن ﻫﺬا اﻷﻣﺮ ﻳﺒﺪو ﺟﻠﻴﺎً وواﺿﺤﺎً ﰲ اﻟﻔﺮوع اﳍﻨﺪﺳﻴﺔ اﻟﱵ ﻻ ﺗﺮﻛﺰ ﻋﻠﻰ اﻟﱪﳎﻴﺎت )ﻣﺜﻞ :اﻟﻔﺮوع اﳍﻨﺪﺳﻴﺔ ﻛﺎﻓﺔً ﻋﺪا
اﳍﻨﺪﺳﺔ اﳌﻌﻠﻮﻣﺎﺗﻴﺔ وﻫﻨﺪﺳﺔ اﳊﻮاﺳﻴﺐ( ،ﺣﻴﺚ أن اﻟﻄﻼب ﻻ ﳝﻠﻜﻮن اﳋﱪة اﻟﻮاﻓﻴﺔ واﻟﻜﺎﻓﻴﺔ ﰲ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ.
إن ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ) ،(HDLsﻣﺜﻞ ،VHDL, Verilog :ﻫﻲ أﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ ﻣﻦ ﻏﲑﻫﺎ ﻣﻦ اﻟﻠﻐﺎت ﻋﻨﺪﻣﺎ ﻳﺘﻌﻠﻖ اﻷﻣﺮ
ﺑﻮﺻﻒ وﻇﺎﺋﻒ وﺳﻠﻮك اﻟﻜﻴﺎن اﻟﺼﻠﺐ ،وﻟﻜﻦ ﻫﺬا ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈﻧﻪ ﳛﺘﺎج إﱃ ﻣﺴﺘﻮى ٍ
ﻋﺎل ﻣﻦ اﳋﱪة ﰲ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﺘﻮﻇﻴﻒ
ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﺑﺸﻜﻞ ﻓﻌﺎل؛ اﻟﺴﺒﺐ اﻟﺬي ﳚﻌﻞ ﻣﻄﻮري اﻟﱪاﻣﺞ اﳊﺎﺳﻮﺑﻴﺔ ﻳﻌﺎﻧﻮن ﻣﻦ ﻧﻘﺺ اﳋﱪة ﺣﻮل ﺗﻔﺎﺻﻴﻞ وﺗﻌﻘﻴﺪات
ﺗﻄﻮﻳﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ؛ ﺎ ﳛﺪ ﻣﻦ ﻣﻘﺪرﻬﺗﻢ ﻋﻠﻰ ﺗﺼﻤﻴﻢ وﺗﻄﻮﻳﺮ ﺗﻄﺒﻴﻘﺎت اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
وﻋﻠﻴﻪ ﻓﺈن اﻷﲝﺎث ﻋﻠﻰ ﺗﺆﻛﺪ ﺿﺮورة ﺗﻄﻮﻳﺮ وﺗﺒﲏ ﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ ﺟﺪﻳﺪة ﻋﻠﻰ ﻣﺴﺘﻮى ﺟﺪﻳﺪ ،وذﻟﻚ ﺑﻌﻴﺪاً ﻋﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﻷن ﺣﺠﻢ
اﻟﱪﻧﺎﻣﺞ ﻳﺰداد ﻃﻮﻻً وﺗﻌﻘﻴﺪاً – ﻣﺜﻞ :اﻟﺒﻴﺌﺎت اﻟﺮﺳﻮﻣﻴﺔ – إﺿﺎﻓﺔً إﱃ اﻟﺒﻴﺌﺔ اﻷﺳﺎﺳﻴﺔ ﺑﻠﻐﺔ اﻟـ Cﲝﻴﺚ ﳝﻜﻦ اﻟﱪﳎﺔ ﺑﻜﻼ اﳌﻨﺤﻴﲔ ﺑﻨﻔﺲ
اﻟﻮﻗﺖ وﺿﻤﻦ ﺑﻴﺌﺔ ﺑﺮﳎﻴﺔ واﺣﺪة ،ﲟﺎ ﰲ ذﻟﻚ ﻣﺮاﺣﻞ اﻟﺘﺤﻠﻴﻞ واﻟﻔﺤﺺ واﻟﺘﻨﻔﻴﺬ .ﻛﻤﺎ أﻧﻪ ﻣﻦ أﺟﻞ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻤﻮﻣﺎً ،وﺗﻘﻨﻴﺔ
اﻟـ FPGAﻋﻠﻰ ﳓﻮ ﺧﺎص ،ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري ﺟﺪاً وﺟﻮد ﲢﻮل أو اﻧﺘﻘﺎل ﺟﺬري ﰲ اﳌﻨﻬﺠﻴﺔ اﻟﱪﳎﻴﺔ اﳌﺘﺒﻌﺔ ﻣﻦ ﺧﻼل ﻟﻐﺎت اﻟﱪﳎﻴﺔ
اﻟﺮﺳﻮﻣﻴﺔ وذﻟﻚ ﻧﻈﺮاً ﻻرﺗﻜﺎزﻫﺎ ﻋﻠﻰ ﻣﻨﻬﺠﻴﺔ ﺗﺪﻓﻴﻖ اﻟﺒﻴﺎﻧﺎت ) .(Dataflowﻟﻘﺪ أﺛﺒﺘﺖ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ) (LabVIEWﻓﻌﺎﻟﻴﺘﻬﺎ
ﻋﻠﻰ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ ،ﻛﻤﺎ أ�ﺎ أﺳﺮع ﲞﻤﺲ ﻣﺮات ﻣﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﰲ ﺗﻄﻮﻳﺮ اﻟﺘﻄﺒﻴﻘﺎت .ﻋﻼوةً ﻋﻠﻰ ذﻟﻚ ﻓﺈن ﻟﻐﺎت اﻟﱪﳎﺔ
ﻟﺮﺳﻮﻣﻴﺔ ﺗﻌﺰز اﻹﻧﺘﺎﺟﻴﺔ ﻟﺪى اﻟﺒﺎﺣﺜﲔ وﻣﻄﻮري اﻟﺘﻄﺒﻴﻘﺎت ﺑﻐﺾ اﻟﻨﻈﺮ ﻋﻦ ﻣﺴﺘﻮى ﺧﱪﻬﺗﻢ اﻟﱪﳎﻴﺔ ،وذﻟﻚ ﻷن اﻟﻠﻐﺎت اﻟﺮﺳﻮﻣﻴﺔ ﺗﻌﻄﻲ
ﺗﻨﻈﻴﻤﺎً ﺑﺪﻫﻴﺎً ،وﲡﻌﻞ اﳌﻌﻠﻮﻣﺎت واﺿﺤﺔ وﻣﺮﺋﻴﺔ ،وﻫﻮ اﻟﺴﺒﺐ اﻟﺬي ﳚﻌﻠﻬﺎ ﳏﻂ اﻻﻫﺘﻤﺎم ﰲ آﻻف اﳉﺎﻣﻌﺎت ﺣﻮل اﻟﻌﺎﱂ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 184
اﻟﻔﺼﻞ اﻟﺮاﺑﻊ Chapter 4
@Ôéá‰:a@·Ó‹»n€a@pbÓvˉfl
ﻫﺬا اﻟﻔﺼﻞ ﻳﻄﺮح وﺑﺸﻜﻞ ﳐﺘﺼﺮ اﻟﻨﻈﺮﻳﺎت واﻟﺘﻮﺟﻬﺎت اﻟﺴﺎﺋﺪة ﰲ ﻋﻠﻢ أﺻﻮل اﻟﺘﺪرﻳﺲ ﻣﺜـﻞ :اﻟﻨﻈﺮﻳـﺔ اﻟﺴـﻠﻮﻛﻴﺔ واﻹدراﻛﻴـﺔ واﻻﺗﺼـﺎﻟﻴﺔ واﻟﺒﻨﺎﺋﻴـﺔ،
وﻫﺬﻩ اﻷﺧﲑة ﻫﻲ أﻛﺜـﺮ اﳌـﺪارس اﳊﺪﻳﺜـﺔ ﺗﻄـﻮراً ،واﻟﻌﺪﻳـﺪ ﻣـﻦ اﻟﺪراﺳـﺎت اﳊﺪﻳﺜـﺔ أﻇﻬـﺮت ﺗﺄﺛﲑﻫـﺎ اﻹﳚـﺎﰊ ﰲ اﻟﺘﻌﻠـﻴﻢ اﳍﻨﺪﺳـﻲ .ﻳﻔﺼـﻞ اﻟﻔﺼـﻞ ﰲ
ﳕــﻮذج اﻟــﺘﻌﻠﻢ اﻟﺒﻨــﺎﺋﻲ ﰒ ﻳﺘﻔــﺮع إﱃ اﻟــﺘﻌﻠﻢ اﻟﺘﺠ ـﺮﻳﱯ اﻟــﺬي ﻫــﻮ أﺣــﺪ أﳕــﺎط اﻟــﺘﻌﻠﻢ اﻟــﱵ ﺗﻌﺘﻤــﺪ ﻋﻠــﻰ اﻟﻨﻈﺮﻳــﺔ اﻟﺒﻨﺎﺋﻴــﺔ وﻫــﻮ ﻣــﻦ اﻷﺳــﺎﻟﻴﺐ اﳌﻄﺮوﻗــﺔ
ﺑﺎﺳﺘﻤﺮار ﺧﻼل ﻋﻤﻠﻴﺎت اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ ﺿﻤﻦ ﳏﺎﺿﺮات اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ،ﻓﻨﺘﻌﻤﻖ ﻓﻴﻪ وﻧﻔﺼﻞ ﰲ ﻧﻈﺮﻳﺔ Kolbاﻟﱵ ﰎ اﻋﺘﻤﺎدﻫﺎ ﻛﺨﻠﻔﻴـﺔ ﺗﺮﺑﻮﻳـﺔ
وأﺳــﺎس ﻟﺸــﺮح ﻧﺘــﺎﺋﺞ ﻋﻤﻠﻴــﺎت اﻟﺘﻌﻠــﻴﻢ ﺿــﻤﻦ اﳌﺨــﺎﺑﺮ وﻛﺄﺳــﺎس ﻟﻌــﺮض اﳌﻘﱰﺣــﺎت اﳋﺎﺻــﺔ ﺑﺎﻟﻨﻤــﺎذج اﻟﱰﺑﻮﻳــﺔ اﳊﺪﻳﺜــﺔ .ﺗﻌــﺪ أﳕــﺎط اﻟــﺘﻌﻠﻢ ﻣﻮﺿــﻮﻋﺎً
ﺗﺮﺑﻮﻳـﺎً ﻧﺎﲡـﺎً ﻳﻌــﲎ ﺑﺪراﺳــﺔ ﺗﻔﻀــﻴﻼت اﻟــﺘﻌﻠﻢ اﳋﺎﺻــﺔ ﺑــﺎﻷﻓﺮاد ،وﻗــﺪ ﰎ ﲣﺼــﻴﺺ ﻗﺴــﻢ ﺿــﻤﻦ ﻫــﺬا اﻟﻔﺼــﻞ ﻟﻌــﺮض ﳕــﺎذج ﳐﺘﻠﻔــﺔ ﻣــﻦ أﳕــﺎط اﻟــﺘﻌﻠﻢ،
وﻧﻔﺼــﻞ ﰲ اﻟــﺘﻌﻠﻢ اﻟﻘــﺎﺋﻢ ﻋﻠــﻰ ﺣــﻞ اﳌﺸــﻜﻼت .ﻧﻨﺘﻘــﻞ ﺑﻌــﺪﻫﺎ ﻟـﺮﺑﻂ اﻷﲝــﺎث اﻟﱰﺑﻮﻳــﺔ ﺑﺎﳌﺒــﺎدئ اﳍﻨﺪﺳــﻴﺔ ،وﲢﺪﻳــﺪاً أﻧﻈﻤــﺔ اﻟــﺘﺤﻜﻢ ذات اﻟﺘﻐﺬﻳــﺔ
اﻟﻌﻜﺴــﻴﺔ ،وﻧﻨــﺎﻗﺶ ﻣﻮﺿــﻮﻋﺎت اﻟﺘﻘﻴــﻴﻢ اﻟﺒﻨــﺎﺋﻲ واﻟــﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈــﻴﻢ واﻟﺘﺼــﻤﻴﻢ اﻟﺘﻌﻠﻴﻤــﻲ .ﻧﻘــﺪم ﺑﻌــﺪ ذﻟــﻚ اﺳﺘﻌﺮاﺿـﺎً ﻟﻠﺪراﺳــﺎت اﳌﺘﻌﻠﻘــﺔ ﺑــﺎﻟﺘﻌﻠﻴﻢ
اﳋــﱪي ودور اﳌﺨــﺎﺑﺮ ﰲ ﳎــﺎﻻت اﳍﻨﺪﺳــﺔ واﻟﻌﻠــﻮم .ﻳﻠﻴــﻪ ﺷــﺮح ﻷﻫــﺪاف اﳌﺨــﺎﺑﺮ واﻷﺳــﺎﻟﻴﺐ اﳌﺘﺒﻌــﺔ ﻓﻴــﻪ .ﰒ ﻧﺘﻄــﺮق إﱃ أﻧ ـﻮاع اﳌﺨــﺎﺑﺮ ﻓﻨﺴــﺘﻌﺮض
اﻟﺘﺼﻨﻴﻔﺎت اﻟﺮﺋﻴﺴﻴﺔ واﻟﻔﺮﻋﻴﺔ ،ﻣﺜﻞ :اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ واﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ وﻛﺬﻟﻚ اﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ ،وﻳﺘﻢ ﲢﻠﻴﻞ ﻣﺰاﻳﺎ وﻣﺴﺎوئ ﻛﻞ ﻣﻨﻬﺎ ﻣﻦ وﺟﻬﺔ
ﻧﻈﺮ اﻟﺪراﺳﺎت واﻷﲝﺎث اﳌﻮﺟﻮدة وﺻﻮﻻً إﱃ �ﺎﻳﺔ اﻟﻔﺼﻞ ﺣﻴﺚ ﳜﺘﻢ ﻫﺬا اﻟﻔﺼﻞ ﺟﻮﻟﺘﻪ ﺑﺘﻘﺪﱘ ﺧﻼﺻﺔ اﻟﻔﺼﻞ.
ﻳﻌﺘﱪ اﻟﺘﻌﻠﻢ ﻣﻔﻬﻮم رﺋﻴﺲ ﻣﻦ ﻣﻔﺎﻫﻴﻢ ﻋﻠﻢ اﻟﻨﻔﺲ ﻇﻞ ﳛﻈﻰ ﺑﺎﻫﺘﻤﺎم اﻟﻌﻠﻤﺎء واﳌﻔﻜﺮﻳﻦ ﻣﻨﺬ ﻋﻬﺪ اﻟﻔﻼﺳﻔﺔ اﻹﻏﺮﻳﻖ ﺣﱴ ﻋﻬﺪﻧﺎ اﻟﺮاﻫﻦ
اﳊﺎﻓﻞ ﺑﺸﱴ ﺻﻨﻮف اﻟﻌﻠﻢ واﳌﻌﺮﻓﺔ ﺗﻄﺒﻴﻘﺎﻬﺗﺎ اﻟﺘﻘﻨﻴﺔ واﻟﻌﻤﻠﻴﺔ .وﻣﻔﻬﻮم اﻟﺘﻌﻠﻢ ﻳﺸﻜﻞ إﺣﺪى اﻟﻘﻀﺎﻳﺎ اﶈﻮرﻳﺔ وﻣﺎ ﻳﻨﺒﺜﻖ ﻋﻨﻬﺎ ﻣﻦ ﲝﻮث
وﲡﺎرب ودراﺳﺎت وﺗﻌﻠﻴﻢ وﺗﺪرﻳﺐ وﺗﻄﺒﻴﻖ .ﻣﻦ أﺟﻞ ذﻟﻚ؛ ﺗﻨﻔﻖ اﻟﻴﻮم ﰲ ﳎﺘﻤﻌﻨﺎ اﳌﻌﺎﺻﺮ اﻷﻣﻮال اﻟﻄﺎﺋﻠﺔ ﻋﻠﻰ أﺟﻬﺰة اﻟﺘﻌﻠﻴﻢ اﻟﱵ ﺗﺘﻤﺜﻞ ﰲ
اﳌﺪارس واﳉﺎﻣﻌﺎت واﳌﻌﺎﻫﺪ وﳓﻮﻫﺎ.
إذا ﻛﺎن ﻫﻨﺎك اﺗﻔﺎق ﻛﺒﲑ ﺣﻮل أﳘﻴﺔ اﻟﺘﻌﻠﻢ ودورﻩ ﰲ ﺣﻴﺎة ﻛﻞ ﻣﻨﺎ ،وإذا ﻛﺎن ﻫﻨﺎك اﺗﻔﺎق ﺣﻮل ﺗﻌﺮﻳﻒ اﻟﺘﻌﻠﻢ ﺑﺄﻧﻪ ﻧﻮع ﻣﻦ ﺗﻌﺪﻳﻞ
اﻟﺴﻠﻮك ،ﻓﺈﻧﻪ ﻻ ﻳﻮﺟﺪ ﰲ ﻋﻠﻢ اﻟﻨﻔﺲ ﻣﻮﺿﻮع أﺳﺎﺳﻲ أﻛﺜﺮ أﳘﻴﺔ ﰲ ﻓﻬﻤﻨﺎ ﻟﻠﺴﻠﻮك ﻣﻦ ﻣﻮﺿﻮع اﻟﺘﻌﻠﻢ ،ﻓﻬﻮ اﻟﺼﺨﺮة اﻟﺼﻠﺪة اﻟﱵ ﺗﺮﺗﻜﺰ
ﻋﻠﻴﻬﺎ ﻧﻈﺮﻳﺎت ﻋﻠﻢ اﻟﻨﻔﺲ .وﻣﻦ اﻟﻨﺎﺣﻴﺔ اﻟﻌﻤﻠﻴﺔ ،ﻓﻼ ﻏﲎ ﻷي ﳎﺎل ﻣﻦ ﻤﻟﺎﻻت اﻟﺘﻄﺒﻴﻘﻴﺔ ﰲ ﻋﻠﻢ اﻟﻨﻔﺲ ﻋﻦ ﻓﻬﻢ ﻧﻈﺮﻳﺔ اﻟﺘﻌﻠﻢ ،ﻓﺎﻟﻌﻼج
اﻟﻨﻔﺴﻲ ،ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ،ﻫﻮ ﰲ ﺟﻮﻫﺮﻩ ﺷﻜﻞ ﻣﻦ أﺷﻜﺎل اﻟﺘﻌﻠﻢ ،ﻛﻤﺎ أن ﻛﻞ ﺗﻄﻮر إﻧﺴﺎﱐ ﻳﻨﺤﺼﺮ ﰲ وﻇﻴﻔﺘﲔ ﳘﺎ :اﻟﻨﻀﺞ )اﻟﻨﻤﻮ
واﻟﺘﻄﻮر اﻟﻔﺴﻴﻮﻟﻮﺟﻲ( واﻟﺘﻌﻠﻢ )اﻟﺘﻄﻮر اﻟﻨﻔﺴﻲ(.
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن ﺗﻄﻮر اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ ﰲ ﳎﺎل ﺳﻴﻜﻮﻟﻮﺟﻴﺎ اﻟﺘﻌﻠﻴﻢ واﻟﺘﺪرﻳﺲ أدى إﱃ ﺿﺮورة اﻟﺒﺤﺚ ﻋﻦ ﳕﻮذج ﺟﺪﻳﺪ ،واﻛﺘﺸﺎف اﳌﺰﻳﺪ ﻣﻦ اﳊﻘﺎﺋﻖ ﻋﻦ
اﻟﻄﻼب ،وﻋﻦ دواﻓﻌﻬﻢ ﻣﺸﻜﻼﻬﺗﻢ ،وأﺳﺎﻟﻴﺐ ﺗﻌﻠﻤﻬﻢ ،واﻟﻌﻮاﻣﻞ اﳌﺨﺘﻠﻔﺔ اﳌﺆﺛﺮة ﰲ ﺗﻌﻠﻤﻬﻢ ،وﻣﺎ ﺗﺆدي إﻟﻴﻪ ﻣﻦ ﺗﻐﲑ ﰲ اﳊﺎﺟﺎت اﻟﻨﻤﺎﺋﻴﺔ
ﻟﻠﻤﺘﻌﻠﻢ ،وﻋﻦ ﻤﻟﺘﻤﻊ وﻛﻴﻔﻴﺔ إﺳﻬﺎم اﻟﱰﺑﻴﺔ ﰲ ﺗﻄﻮرﻩ وﺗﻮﺻﻠﻪ إﱃ أﺳﺎﻟﻴﺐ وﻃﺮق أﻛﺜﺮ ﻓﺎﻋﻠﻴﺔ ﰲ اﻟﺘﻌﻠﻴﻢ ،وﻣﻦ اﻟﻮاﺿﺢ أن ﻫﺬا ﻳﻘﺘﻀﻲ أن
ﻳﺘﻌﺮف اﳌﻌﻠﻢ ﺑﺸﻜﻞ ﻣﺘﺠﺪد ﻋﻠﻰ ﻧﺘﺎﺋﺞ اﻟﺪراﺳﺎت واﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ ،وأن ﻳﺘﺪرب ﻋﻠﻰ ﻛﻴﻔﻴﺔ ﺗﻄﺒﻴﻘﻬﺎ.
ﻟﻘﺪ ﻛﺎن ﺗﺮﻛﻴﺰ اﻟﺘﻌﻠﻴﻢ ﰲ اﳌﺎﺿﻲ ﻋﻠﻰ ﲢﺼﻴﻞ اﳌﻌﻠﻮﻣﺎت اﺳﺘﻴﻌﺎﻬﺑﺎ واﺳﺘﻈﻬﺎرﻫﺎ ،وﻗﺪ ﻛﺎن ذﻟﻚ ﳑﻜﻨﺎً ﻣﻨﺬ ﺑﻀﻌﺔ ﻋﻘﻮد ،ﻓﻘﺪ ﻛﺎن اﻟﻨﻤﻮ
اﳌﻌﺮﰲ ﻓﻴﻤﺎ ﻣﻀﻰ ﺑﻄﻴﺌﺎً ﻧﺴﺒﻴﺎً ،وﻗﺪ ﺗﺄﺛﺮت ﻣﻌﺪﻻت ﳕﻮ اﳌﻌﺮﻓﺔ ﻋﻠﻰ ﻣﺮ اﻟﻌﺼﻮر ﺑﺎﻟﺘﻄﻮر اﻟﺬي ﺣﺪث ﰲ وﺳﺎﺋﻞ ﻧﺸﺮ اﳌﻌﻠﻮﻣﺎت وﻧﻘﻠﻬﺎ.
وﻣﻊ ﻗﺪوم ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻹﻟﻜﱰوﻧﻴﺎت ،أﺻﺒﺢ ﻫﻨﺎك ﺗﺰاﻳﺪ ﻣﺘﺴﺎرع ﰲ اﻧﺘﺸﺎر اﳌﻌﻠﻮﻣﺎت ،ﺣﻴﺚ أن اﻟﻌﺼﺮ اﻟﺬي ﻧﻌﻴﺶ ﻓﻴﻪ اﻟﻴﻮم ،ﻳﺸﻬﺪ ازدﻳﺎداً
ﰲ ﺻﻨﻊ اﳌﻌﺮﻓﺔ ﲟﻌﺪﻻت ﱂ ﻳﺴﺒﻖ ﳍﺎ ﻣﺜﻴﻞ ،اﻷﻣﺮ اﻟﺬي ﺟﻌﻞ اﻹﺣﺎﻃﺔ ﲟﺎ ﻳﺴﺘﺠﺪ ﻣﻦ ﻣﻌﻠﻮﻣﺎت ﰲ ﻣﻴﺎدﻳﻦ اﻟﺘﺨﺼﺺ أﻣﺮاً ﻳﻜﺎد أن ﻳﻜﻮن
ﻣﺴﺘﺒﻌﺪاً ،إﻻ ﻣﻦ ﺧﻼل اﳌﺘﺎﺑﻌﺔ ﺑﺎﻟﺘﺪرﻳﺐ اﳌﺴﺘﻤﺮ اﻟﺬي ﻳﻌﺪ ﻣﻦ أﻫﻢ اﻟﺴﺒﻞ ﳌﺘﺎﺑﻌﺔ ﺗﻠﻚ اﻟﺘﻄﻮرات ﰲ ﻋﺼﺮ اﻟﺘﻔﺠﺮ اﳌﻌﺮﰲ اﳌﺘﻨﺎﻣﻲ
واﻧﻌﻜﺎﺳﺎﺗﻪ اﻟﱰﺑﻮﻳﺔ اﻟﱵ ﻧﺬﻛﺮ ﻣﻨﻬﺎ:
إن ﳏﺘﻮى اﻟﺘﻌﻠﻴﻢ ﺳﺮﻳﻊ اﻟﺘﻐﲑ ،وأن اﳌﻨﺎﻫﺞ اﻟﺪراﺳﻴﺔ ﻻ ﳝﻜﻦ أن ﺗﺒﻘﻰ ﺛﺎﺑﺘﺔ ﻣﺴﺘﻘﺮة ،وأن ﺳﺮﻋﺔ ﺗﻐﲑ اﳌﻌﺮﻓﺔ ﲡﻌﻞ ﻣﻦ اﻟﺼﻌﺐ ‹
ﻋﻠﻰ اﻟﻔﺮد أن ﻳﻼﺣﻘﻬﺎ وأن ﻳﻀﺒﻄﻬﺎ ،وﻟﺬﻟﻚ ﳛﺎول أن ﻳﺘﻜﻴﻒ ﻣﻌﻬﺎ.
إن ﺗﻜﻴﻒ اﻟﻔﺮد ﻣﻊ اﳌﻌﺮﻓﺔ اﳌﺘﻔﺠﺮة ﻟﻦ ﻳﺄﰐ ﲝﻔﻈﻪ ﻟﻠﻤﻌﻠﻮﻣﺎت واﺳﺘﻈﻬﺎرﻫﺎ ،وﻟﻜﻦ ﺑﺈﺗﻘﺎﻧﻪ ﻃﺮﻳﻘﺔ اﻟﻮﺻﻮل إﱃ اﳌﻌﺮﻓﺔ؛ ﻷن ﻛﻴﻔﻴﺔ ‹
اﻟﺘﻌﻠﻢ أﻫﻢ ﻣﻦ ﻣﺎدﺗﻪ ،ﻛﻤﺎ أن اﺧﺘﺰان اﳌﻌﻠﻮﻣﺎت واﺳﺘﺪﻋﺎﺋﻬﺎ أﺻﺒﺤﺖ ﻟﻪ أوﻋﻴﺔ أﺧﺮى.
إن أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻴﻢ ﻻﺑﺪ أن ﺗﺘﺄﺛﺮ ﺑﺎﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﱵ ﺻﺎﺣﺒﺖ اﻻﻧﻔﺠﺎر اﳌﻌﺮﰲ ،وﻻﺑﺪ ﻣﻦ اﺳﺘﺤﺪاث ﺗﻜﻨﻮﻟﻮﺟﻴﺎ ﺗﻌﻠﻴﻤﻴﺔ ﺗﺮﻓﻊ ﻣﻦ ‹
اﻟﻜﻔﺎءة اﻹﻧﺘﺎﺟﻴﺔ ﻟﻠﻤﻌﻠﻢ وﲤﻜﻨﻪ ﻣﻦ ﲢﻘﻴﻖ اﳌﺰﻳﺪ ﻣﻦ اﻷﻫﺪاف اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ وﻗﺖ أﻗﺼﺮ.
إن اﻻﻧﻔﺠﺎر اﳌﻌﺮﰲ اﻟﺬي ﻳﺸﻬﺪﻩ ﻋﺼﺮﻧﺎ ،وﲞﺎﺻﺔ ﰲ ﳎﺎﱄ اﻟﻌﻠﻮم واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ،ﻳﻔﺮض ﻋﻠﻰ اﳌﻌﻠﻢ أن ﻳﺒﻘﻰ ﻋﻠﻰ اﻃﻼع داﺋﻢ ‹
ﺑﺎﳌﺴﺘﺠﺪات ﰲ ﳎﺎل ﲣﺼﺼﻪ ،وﻣﻦ ﰒ ﻓﺈن ﻋﺪم ﻣﻮاﻛﺒﺔ اﳌﻌﻠﻢ ﳍﺬﻩ اﳌﺴﺘﺠﺪات ﳚﻌﻠﻪ ﻏﲑ ﻗﺎدر ﻋﻠﻰ ﻣﻮاﺟﻬﺔ اﻟﺘﺤﺪﻳﺎت ،ﻷﻧﻪ
ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﺳﻮف ﻳﺰود اﻟﻄﻼب ﲟﻌﻠﻮﻣﺎت وﻣﻌﺎرف ﻗﺪﳝﺔ ،وﻳﻜﺴﺒﻬﻢ ﻣﻬﺎرات ﻏﲑ ﻗﺎﺑﻠﺔ ﻟﻼﻧﺘﻘﺎل واﻟﺘﻄﺒﻴﻖ ﰲ اﻟﻮاﻗﻊ اﻟﻌﻤﻠﻲ.
ﻟﻘﺪ أﺳﻔﺮ اﻟﺘﻘﺪم اﻟﻌﻠﻤﻲ واﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﳍﺎﺋﻞ اﻟﺬي ﻧﻮاﺟﻬﻪ اﻟﻴﻮم ﻋﻦ ﺑﺰوغ ﺛﻮرة ﰲ اﻟﺒﺤﺚ اﻟﻌﻠﻤﻲ وأدواﺗﻪ وﳎﺎﻻﺗﻪ ،وﺗﺼﺎﻋﺪت أﻋﺪاد
اﳌﺸﺘﻐﻠﲔ ﺑﻪ ،وﻛﺎن ﻟﻪ أﺛﺮ ﻣﻠﻤﻮس ﻋﻠﻰ ﻋﻤﻠﻴﱵ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ ،وﻟﻪ اﻧﻌﻜﺎﺳﺎﺗﻪ اﻟﱰﺑﻮﻳﺔ اﻟﱵ ﻧﺬﻛﺮ ﻣﻨﻬﺎ:
ﺗﻄﻮر اﻟﱰﺑﻴﺔ ﰲ ﻣﻔﻬﻮﻣﻬﺎ وﳏﺘﻮاﻫﺎ وﻃﺮﻗﻬﺎ وأﺳﺎﻟﻴﺒﻬﺎ أدواﻬﺗﺎ ،ﳑﺎ ﺟﻌﻠﻬﺎ ﻋﻠﻤﺎً ﻗﺎﺋﻤﺎً ﺑﺬاﺗﻪ ،ﺗﺘﺨﺬ اﻟﺒﺤﺚ اﻟﻌﻠﻤﻲ أﺳﻠﻮﺑﺎً وأداة رﺋﻴﺴﺔ ‹
ﻟﺘﻄﻮرﻫﺎ ،وأﺻﺒﺢ اﻟﻌﻤﻞ اﻟﱰﺑﻮي ﻻ ﻳﻘﺘﺼﺮ ﻓﻘﻂ ﻋﻠﻰ ﻧﻘﻞ اﳌﻌﻠﻮﻣﺎت ،ﺑﻞ ﴰﻠﺖ اﻟﻄﺮق واﻷﺳﺎﻟﻴﺐ اﻟﱵ ﲤﻜﻦ اﻟﻔﺮد ﻣﻦ اﻛﺘﺴﺎب اﳌﻌﺮﻓﺔ
ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﻧﺸﺎﻃﻪ اﻟﺬاﰐ.
ﺗﻄﻮرت اﳌﺴﺘﺤﺪﺛﺎت ﰲ ﳎﺎل ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺘﻌﻠﻴﻢ ،وازدادت أﳘﻴﺘﻬﺎ ،وﲞﺎﺻﺔ اﳊﺪﻳﺜﺔ ﻣﻨﻬﺎ ﻟﻜﻮ�ﺎ أداة ﻤﻟﺘﻤﻊ ﻟﺘﺤﻘﻴﻖ اﻟﺘﻨﻤﻴﺔ ﰲ ﻋﻤﻠﻴﱵ ‹
اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 186
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻇﻬﺮت أﳕﺎط وﺳﻴﺎﺳﺎت ﺟﺪﻳﺪة ﻟﻠﺘﻌﻠﻴﻢ ،ﻓﻈﻬﺮ اﻟﺘﻌﻠﻴﻢ اﳌﻔﺘﻮح ،واﻟﺘﻌﻠﻴﻢ ﻣﻦ ﺑﻌﺪ واﻟﺘﻌﻠﻢ اﳌﺴﺘﻤﺮ ﻣﺪى اﳊﻴﺎة. ‹
ﺗﻀﺎﻋﻔﺖ ﻣﺴﺆوﻟﻴﺎت اﳌﻌﻠﻤﲔ اﻟﺬﻳﻦ أﺻﺒﺢ ﻟﺰاﻣﺎً ﻋﻠﻴﻬﻢ اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻛﻞ ﻫﺬا اﻟﺘﻄﻮر اﻟﻌﻠﻤﻲ اﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﳍﺎﺋﻞ ،وﻟﺘﺤﻘﻴﻖ ﻫﺬا أﺻﺒﺢ ‹
اﳌﻌﻠﻢ ﰲ ﺳﺒﺎق ﻣﻊ اﻟﺰﻣﻦ ،وﻣﻦ ﻫﻨﺎ ﻧﺒﻊ اﺣﺘﻴﺎﺟﻪ اﻟﺸﺪﻳﺪ ﻻﺳﺘﺨﺪام ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺘﻌﻠﻴﻢ اﳊﺪﻳﺜﺔ ،اﻟﱵ ﺳﻮف ﻳﻮﻇﻔﻬﺎ ﺿﻤﻦ اﻟﻨﻈﺎم
اﻟﺘﻌﻠﻴﻤﻲ اﻟﺸﺎﻣﻞ ﻟﺘﺤﻘﻴﻖ أﻫﺪاﻓﻪ اﻟﱰﺑﻮﻳﺔ ﰲ أﻗﺼﺮ وﻗﺖ وﺑﺄﻓﻀﻞ اﻟﺴﺒﻞ.
إن اﻟﺜﻮرة اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ أﺣﺪﺛﺖ ﺗﻐﻴﲑات ﺟﺬرﻳﺔ ﰲ اﻟﻌﺎﱂ ،ﺣﻴﺚ ﺗﻨﺪﺛﺮ ﻣﻬﻦ وﲣﺼﺼﺎت ﻗﺪﳝﺔ وﺗﻨﺸﺄ ﻣﻬﻦ وﲣﺼﺼﺎت ﺟﺪﻳﺪة ﻳﻮﻣﻴﺎً، ‹
وﻣﻦ ﻫﻨﺎ ﻳﺄﰐ ﺗﻄﻮﻳﺮ اﻟﺘﻌﻠﻴﻢ ﻛﻀﺮورة ﺣﺘﻤﻴﺔ ﻟﻜﻮﻧﻪ اﻷداة اﻟﻘﺎدرة ﻋﻠﻰ ﺗﻄﻮﻳﺮ إﻣﻜﺎﻧﺎت اﻟﻔﺮد ﲟﺎ ﳝﻜﻨﻪ ﻣﻦ اﻟﺘﻔﺎﻋﻞ ﻣﻊ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ
اﻟﻌﺼﺮ.
إن ﻣﺜﻞ ﻫﺬﻩ اﻟﺘﺤﺪﻳﺎت ﲢﺘﺎج إﱃ ﻧﻮﻋﻴﺔ ﺟﺪﻳﺪة ﻣﻦ اﻟﺘﻌﻠﻴﻢ ،ﺗﻌﻠﻴﻢ ﺷﺎﻣﻞ وﻣﺘﻜﺎﻣﻞ ،ﻗﺎدر ﻋﻠﻰ ﺗﻴﺌﺔ اﻷﻓﺮاد ﻟﻠﻤﺸﺎرﻛﺔ اﻟﻌﻘﻠﻴﺔ ﰲ ﻋﺎﱂ ﻳﺘﺰاﻳﺪ
ﻓﻴﻪ ﺗﺄﺛﲑ اﻟﻌﻠﻢ واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ،ﻛﻤﺎ ﻳﺘﺤﺘﻢ ﻋﻠﻰ اﻟﺘﻌﻠﻴﻢ اﳌﺴﺘﻘﺒﻠﻲ أن ﻳﺴﺎﻫﻢ ﰲ إﻧﺸﺎء ﻗﻮاﻋﺪ ﻋﻠﻤﻴﺔ وﺗﻜﻨﻮﻟﻮﺟﻴﺔ ،وإﻋﺪاد اﻟﻜﻔﺎءات اﻟﻌﻠﻤﻴﺔ
واﻟﺘﻘﻨﻴﺔ اﻟﻜﺎﻓﻴﺔ ﻣﻦ أﺟﻞ اﻟﺘﻨﻤﻴﺔ اﻻﺟﺘﻤﺎﻋﻴﺔ ،ﻛﻤﺎ أن اﻟﺘﻄﻮر اﳌﻌﺮﰲ واﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﳌﺘﺴﺎرع ﻳﺴﺘﺪﻋﻲ اﻻﻋﺘﻤﺎد ﻋﻠﻰ ﻣﺒﺪأ اﻟﺘﻌﻠﻢ اﻟﺬاﰐ
ﻛﻬﺪف أﺳﺎﺳﻲ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،واﻻﻋﺘﻤﺎد ﻋﻠﻰ اﻟﻌﻤﻞ اﳉﻤﺎﻋﻲ ،وﺗﺒﺎدل اﻷﻓﻜﺎر ،واﻟﺘﺨﻄﻴﻂ اﳌﺸﱰك ،واﳊﺮﻳﺔ ﰲ اﲣﺎذ اﻟﻘﺮار ،واﻟﺘﻮﺟﻪ
ﻟﺘﺸﺠﻴﻊ اﻟﻄﻼب ﻋﻠﻰ اﻹﺑﺪاع واﻟﺘﻤﻴﺰ.
إﻧﻨﺎ ﰲ ﻇﻞ ﻫﺬﻩ اﻟﺘﺤﺪﻳﺎت وﻫﺬﻩ اﳌﺘﻐﲑات ﲝﺎﺟﺔ ﻣﺎﺳﺔ إﱃ ﲢﺴﲔ ﻣﺆﺳﺴﺎﺗﻨﺎ اﻟﺘﻌﻠﻴﻤﻴﺔ وﲢﻘﻴﻖ ﻣﻌﺎﻳﲑ اﳉﻮدة داﺧﻠﻬﺎ ،ﺣﻴﺚ إن ﻣﻔﻬﻮم
اﳉﻮدة ﰲ ﻤﻟﺎل اﻟﺘﻌﻠﻴﻤﻲ ﻳﻌﲏ اﳊﻜﻢ ﻋﻠﻰ ﻣﺴﺘﻮى ﲢﻘﻴﻖ اﻷﻫﺪاف ،ﻛﻤﺎ ان ﻗﻴﻤﺔ ﻫﺬا اﻹﳒﺎز وﻫﺬا اﳊﻜﻢ ﻳﺮﺗﺒﻂ ﺑﺎﻷﻧﺸﻄﺔ أو اﳌﺨﺮﺟﺎت
اﻟﱵ ﺗﺘﺴﻢ ﺑﺒﻌﺾ اﳌﻼﻣﺢ واﳋﺼﺎﺋﺺ ﰲ ﺿﻮء ﺑﻌﺾ اﳌﻌﺎﻳﲑ واﻷﻫﺪاف اﳌﺘﻔﻖ ﻋﻠﻴﻬﺎ.
ﻓﺈﱃ ﻣﱴ ﺗﻨﺎدي ﻛﺜﲑ ﻣﻦ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ﲟﻔﻬﻮم اﳉﻮدة ،ﰲ ﺣﲔ ﳒﺪ ﻫﺬﻩ اﳌﺆﺳﺴﺎت ﲟﻨﺄى ﻋﻦ ﺣﻘﻴﻘﺔ ﻫﺬا اﳌﻔﻬﻮم اﻟﺬي أﺻﺒﺢ
ﺿﺮورة ﺣﻀﺎرﻳﺔ ﻣﻠﺤﺔ؛ وﻣﻦ ﻫﻨﺎ ﻓﺈﻧﻪ ﳚﺐ اﻟﻘﻴﺎم ﺑﻌﻤﻞ ﻣﺆﺳﺴﻲ ﻳﻀﻤﻦ ﻟﻨﺎ ﻣﻌﺎﻳﲑ ﻣﻘﻨﻨﺔ ﻟﻀﻤﺎن اﳉﻮدة ﰲ ﻣﺆﺳﺴﺎﺗﻨﺎ اﻟﺘﻌﻠﻴﻤﻴﺔ .وﺑﺎﻟﻨﻈﺮ إﱃ
واﻗﻊ ﻣﺆﺳﺴﺎﺗﻨﺎ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ اﻟﻮﻃﻦ اﻟﻌﺮﰊ ،إرﻫﺎﺻﺎﻬﺗﺎ اﳌﺴﺘﻘﺒﻠﻴﺔ ،ﳒﺪ أﻧﻪ ﻋﻠﻰ اﻟﺮﻏﻢ ﳑﺎ ﺣﻘﻘﺘﻪ ﻣﻦ إﳒﺎزات ،ﻏﻠﺐ اﻟﻄﺎﺑﻊ اﻟﻜﻤﻲ ﻋﻠﻰ
ﻣﻌﻈﻤﻬﺎ ﰲ أﻛﺜﺮ اﻷﺣﻴﺎن ،ﻛﻤﺎ أ�ﺎ ﻻ ﺗﺰال ﻗﺎﺻﺮة ﻋﻦ ﲢﻘﻴﻖ اﻟﻄﻤﻮﺣﺎت ﺗﺎرة ،وﳐﻴﺒﺔ ﻟﻠﺘﻮﻗﻌﺎت ﺗﺎرة أﺧﺮى ،وﻳﻜﺎد ﻳﻨﺒﺊ ذﻟﻚ ﻛﻠﻪ ﺑﻌﻤﻖ
اﻷزﻣﺔ اﻟﱵ ﺗﻮاﺟﻪ ﺗﻠﻚ اﳌﺆﺳﺴﺎت ،وﻳﱪر اﳊﺎﺟﺔ اﳌﻠﺤﺔ ﻟﻠﺘﻄﻮﻳﺮ اﻟﺸﺎﻣﻞ ﻟﻜﺎﻓﺔ ﻋﻨﺎﺻﺮﻫﺎ ﺑﺪءاً ﺪﺧﻼﻬﺗﺎ وﻣﺮوراً ﻌﻤﻠﻴﺎﻬﺗﺎ واﻧﺘﻬﺎءً ﺑﻨﻮاﲡﻬﺎ
اﻟﺘﻌﻠﻴﻤﻴﺔ .وﻟﺴﻨﺎ ﲝﺎﺟﺔ إﱃ ﺗﻜﺮار إﺷﻜﺎﻟﻴﺎت وﻗﻀﺎﻳﺎ اﻷﻧﻈﻤﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ اﻟﻮﻃﻦ اﻟﻌﺮﰊ ،ﺣﻴﺚ إن ﻋﺪﻳﺪاً ﻣﻦ اﻟﺪراﺳﺎت واﻟﺒﺤﻮث ذات
اﻟﻌﻼﻗﺔ ﺑﺎﻟﻮﺿﻊ اﻟﺮاﻫﻦ أو اﻟﺮؤى اﻻﺳﺘﺸﺮاﻓﻴﺔ ﻗﺪ أﺳﻬﺒﺖ ﰲ ﻫﺬا اﻷﻣﺮ ﺳﻮاء أﻛﺎن ﻋﻠﻰ ﻣﺴﺘﻮى اﳌﻨﻈﻤﺎت واﳍﻴﺌﺎت واﻷﺟﻬﺰة اﻟﱰﺑﻮﻳﺔ ،أم
ﻋﻠﻰ ﻣﺴﺘﻮى اﳌﺒﺎدرات اﻟﻔﺮدﻳﺔ ﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺘﺨﺼﺼﲔ وأﺳﺎﺗﺬة اﳉﺎﻣﻌﺎت ﰲ ﳎﺎﻻت اﻟﱰﺑﻴﺔ ﰲ ﺳﺎﺋﺮ اﻟﺒﻠﺪان اﻟﻌﺮﺑﻴﺔ.
ﻳﻌﺘﱪ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻣﻮﺿﻮﻋﺎً ﻣﺘﻌﺪد اﻻﺧﺘﺼﺎﺻﺎت ،ﻳﺘﻌﻠﻖ ﺑﺎﻟﻌﺪﻳﺪ ﻣﻦ اﳊﻘﻮل اﳌﻌﺮﻓﻴﺔ اﳌﺨﺘﻠﻔﺔ] ،[491,492وﲢﺪﻳﺪاً ﻣﻨﻬﺠﻴﺎت اﻟﺒﺤﺚ
اﻟﻌﻠﻤﻲ اﻻﺟﺘﻤﺎﻋﻲ ” ،(Social Science Research Methods) “SSRMوﻧﻈﺮﻳﺎت ﻋﻠﻮم أﺻﻮل اﻟﺘﺪرﻳﺲ أو اﻟﻨﻈﺮﻳﺎت
اﻟﺒﻴﺪاﻏﻮﺟﻴﺔ ) ،(Pedagogical Theoriesاﻟﱵ ﺗﺘﺤﺪ ﻣﻊ ﺑﻌﻀﻬﺎ ﻟﺘﺸﻜﻞ ﻣﻮﺿﻮﻋﺎت أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ .اﻟﺸﻜﻞ 1-4ﻳﺒﲔ اﳌﺨﻄﻂ
187 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
اﻟﺘﻤﺜﻴﻠﻲ ﳌﻮﺿﻮع ﻣﺒﺤﺚ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ،ﺣﻴﺚ أن اﻟﻨﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ وﻣﻨﻬﺠﻴﺎت اﻟﺒﺤﺚ اﻟﺘﻌﻠﻴﻤﻲ ﻳﺘﻢ ﺗﻄﺒﻴﻘﻬﺎ ﻋﻠﻰ اﳌﻨﻬﺞ اﻟﺪراﺳﻲ
اﳍﻨﺪﺳﻲ ﻋﻠﻰ ﳏﻮري اﻟﺘﻌﻠﻢ واﻟﺘﻌﻠﻴﻢ وﻳﻨﺘﺞ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ.
Pedagogical Theories
Curricula
Engineering
Apply Engineering Results
Education
Educational Research Teaching & Learning
Methods
ﻳﻌﺘﱪ ﻣﺒﺤﺚ اﻟﺘﻌﻠﻴﻤﻲ اﳍﻨﺪﺳﻲ ﻓﺮع ﺟﺪﻳﺪ ﻣﻦ ﻓﺮوع اﻟﻌﻠﻢ اﻟﺬي ﻣﺎزال ﰲ ﻃﻮر اﻟﺘﺄﺳﻴﺲ] ،[491وﻻ ﻳﺰال اﳉﺪل ﻗﺎﺋﻤﺎً ﺣﻮل إﻣﻜﺎﻧﻴﺔ اﻋﺘﺒﺎر
ٍ
ﺑﺸﻜﻞ ﳚﻌﻞ ﻣﻨﻪ ﻋﻠﻤﺎً ﻗﺎﺋﻤﺎً ﲝﺪ ذاﺗﻪ ﻣﻦ ﺑﲔ اﻟﻌﻠﻮم واﳌﻌﺎرف].[493 اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﺗﻄﻮر
ﻳﺼﻒ] [494اﻟﺒﺤﺚ ﰲ اﳌﻤﺎرﺳﺎت اﻻﺑﺘﻜﺎرﻳﺔ اﻟﺘﺪرﻳﺒﻴﺔ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﰲ اﻟﻔﱰة ﺣﱴ ﻣﻨﺘﺼﻒ اﻟﺜﻤﺎﻧﻴﻨﺎت ﺑﺎﳌﻘﻮﻟﺔ اﻟﺸﻬﲑة "ﳓﻦ ﺟﺮﺑﻨﺎﻫﺎ
وأﺣﺒﺒﻨﺎﻫﺎ وﻛﺬﻟﻚ أﺣﺒﻬﺎ اﻟﻄﻼب".
إن ﻣﺒﺤﺚ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﳏﺪود ،إﻣﺎ ﻛﻤﻴﺎً أو ﻧﻮﻋﻴﺎً وذﻟﻚ ﺑﺴﺒﺐ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻌﻮاﻣﻞ ،ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :ﳛﺘﺎج ﻣﺒﺤﺚ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ
اﻟﻔﻌﺎل واﺎﺷﺮ ﻣﻌﺮﻓﺔ ﲟﺨﺘﻠﻒ اﻟﻨﻈﺮﻳﺎت واﻷﲝﺎث اﻟﻘﺎﺋﻤﺔ ﰲ اﺠﻤﻟﺎﻻت اﳍﻨﺪﺳﻴﺔ] ،[494إﺿﺎﻓﺔً إﱃ ذﻟﻚ ،ﻳﺘﻢ ﺗﻘﻴﻴﻢ اﳌﺪرﺳﲔ واﶈﺎﺿﺮﻳﻦ ﰲ
ﻟﺎل اﳍﻨﺪﺳﻲ اﻋﺘﻤﺎداً ﻋﻠﻰ دﻗﺔ أﲝﺎﺛﻬﻢ وﺟﻮدﻬﺗﺎ ،واﻟﻘﻠﻴﻞ ﻣﻦ ﻳﻜﺎﻓﺄ ﻻﻣﺘﻴﺎزﻩ أو ﳉﺪارﺗﻪ ﰲ ﺗﻘﺪﱘ ﲝﺚ ﰲ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ].[493-495
ﻳﻠﻌﺐ ﺗﻮﻓﺮ اﻟﺘﻤﻮﻳﻞ وﻛﺬﻟﻚ ﺗﻐﻴﲑ ﻧﻈﺎم اﳌﻜﺎﻓﺂت اﳋﺎص ﺑﺎﻟﱰﻗﻲ اﻟﻮﻇﻴﻔﻲ ﺿﻤﻦ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ اﳍﻨﺪﺳﻴﺔ ،وإﳚﺎد ﺗﻌﺎون ﻣﻊ ﺧﱪاء ﰲ
ﻋﻠﻢ اﻟﻨﻔﺲ وﻋﻠﻮم اﻟﱰﺑﻴﺔ واﻟﻌﻠﻮم اﻻﺟﺘﻤﺎﻋﻴﺔ ،دوراً أﺳﺎﺳﻴﺎً ﰲ ﺗﻘﺪﱘ ﻣﻨﺢ ﺗﻌﻠﻴﻤﻴﺔ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ] .[491,494,496ﻓﻤﺜﻼً ،إن ﺗﻮﻓﺮ اﻟﺘﻤﻮﻳﻞ
ﰲ اﳌﻤﻠﻜﺔ اﳌﺘﺤﺪة ﻟﺘﺄﺳﻴﺲ ﻣﺮﻛﺰ اﻷﲝﺎث اﳍﻨﺪﺳﻴﺔ] [497واﳌﺮﻛﺰ اﳍﻨﺪﺳﻲ ﻟﻺﺑﺪاع ﰲ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ] [498أﻋﻄﻰ دﻓﻌﺎً ﻫﺎﺋﻼً ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ
ﰲ ﻛﺎﻓﺔ أﳓﺎء اﳌﻤﻠﻜﺔ اﳌﺘﺤﺪة ،ﻓﻘﺪ ﺣﺎزت ﻋﻠﻰ اﻟﺼﺪارة ﰲ أورﺑﺎ ﰲ ﳎﺎﻻت اﻷﲝﺎث اﻟﺘﻌﻠﻴﻤﻴﺔ اﳍﻨﺪﺳﻴﺔ ،وﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ ﻤﻟﻼت اﻟﺒﺤﺜﻴﺔ
اﻟﱪﻳﻄﺎﻧﻴﺔ اﻷﻛﺎدﳝﻴﺔ اﳌﺘﺨﺼﺼﺔ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻣﺜﻞ:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 188
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻋﻠﻰ ﻣﺴﺘﻮى اﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة اﻷﻣﺮﻳﻜﻴﺔ ،ﻓﻘﺪ ﰎ ﺗﺄﺳﻴﺲ اﳉﻤﻌﻴﺔ اﻷﻣﺮﻳﻜﻴﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ) (ASEEﻋﺎم 1893ﻛﻤﺆﺳﺴﺔ ﻏﲑ رﲝﻴﺔ
ﻟﺪﻋﻢ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﰲ أﻣﺮﻳﻜﺎ] ،[499وﺗﻨﺸﺮ اﳉﻤﻌﻴﺔ ﳎﻠﺔ أﲝﺎث ﻋﺎﳌﻴﺔ ذات رﺗﺒﺔ ﻣﺮﻣﻮﻗﺔ ﺗﺪﻋﻰ ﺑـ “Journal of Engineering
” ،(JEE) Educationﻛﻤﺎ ﺧﺼﺺ ﻋﺪد ﻣﻦ اﳉﺎﻣﻌﺎت اﻷﻣﺮﻳﻜﻴﺔ اﳌﻌﺮوﻓﺔ ﻣﺜﻞ Purdue, Virginia Tech. University :أﻗﺴﺎﻣﺎً
ﺴﺘﻘﻠﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ،واﻟﱵ ﺗﺸﺒﻪ ﰲ ﻣﻮﺿﻮﻋﺎﻬﺗﺎ وﺑﻨﻴﺘﻬﺎ اﻷﻗﺴﺎم اﳍﻨﺪﺳﻴﺔ اﻷﺧﺮى )ﻛﺎﳍﻨﺪﺳﺔ اﻹﻟﻜﱰوﻧﻴﺔ واﳌﻴﻜﺎﻧﻴﻜﻴﺔ واﳌﻌﻠﻮﻣﺎﺗﻴﺔ
واﻟﻜﻴﻤﻴﺎﺋﻴﺔ وﻏﲑﻫﺎ( ،وﻗﺪ أﻃﻠﻘﺖ اﳉﺎﻣﻌﺎت اﳌﺬﻛﻮرة ﺑﺮاﻣﺞ ﻟﻠﻤﺎﺟﺴﺘﲑ واﻟﺪﻛﺘﻮراﻩ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ].[500
أﺳﱰاﻟﻴﺎ ﲤﻴﺰت ﰲ ﳎﺎل أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﺑﺎﻟﺼﺪارة ﺑﲔ دول ﻣﻨﻄﻘﺔ آﺳﻴﺎ وﺟﻨﻮب اﶈﻴﻂ اﳍﺎدي ،ﺣﻴﺚ ﻳﻌﻮد ﺗﺎرﻳﺦ ﺗﺄﺳﻴﺲ اﻟﺘﺨﺼﺺ
ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﰲ أﺳﱰاﻟﻴﺎ إﱃ اﻟﻌﺎم ،1989وﺗﻌﺘﱪ ﳎﻠﺔ أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ اﻻﺳﱰاﻟﻴﺔ Australasian Journal of ) AAEE
(Engineering Educationاﺠﻤﻟﺎﻻت اﳌﺮﻣﻮﻗﺔ ،ﻛﻤﺎ أن ﻫﻨﺎك ﻣﺮﻛﺰ ﻣﺘﺨﺼﺺ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﰲ ﺟﺎﻣﻌﺔ .Melbourne
أﻫﻢ اﳌﺆﲤﺮات ﰲ ﳎﺎل اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻫﻲ :ﻣﺆﲤﺮ اﳉﻤﻌﻴﺔ اﻷﻣﺮﻳﻜﻴﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ،ASEEوﻣﺆﲤﺮ ،FIEواﳌﺆﲤﺮ اﻟﺪوﱄ اﻟﺴﻨﻮي ﻟﻠﺘﻌﻠﻴﻢ
اﳍﻨﺪﺳﻲ ،ICEEواﳌﺆﲤﺮ اﻟﺪوﱄ ،IEEE-EDUCONوأﺧﲑاً ﻣﺆﲤﺮ اﳉﻤﻌﻴﺔ اﻷﺳﱰاﻟﻴﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ .AAEE
إن ﻣﺼﻄﻠﺢ ﺑﻴﺪاﻏﻮﺟﻴﺎ ﻳﺮﺟﻊ إﱃ ﻛﻠﻤﺔ ﻣﻦ أﺻﻞ ﻳﻮﻧﺎﱐ ﻣﻜﻮﻧﺔ ،ﻣﻦ ﺣﻴﺚ اﻻﺷﺘﻘﺎق اﻟﻠﻐﻮي ،ﻣﻦ ﺷﻘﲔ :“Péda” :وﺗﻌﲏ اﻟﻄﻔﻞ،
” :“Agôgéوﺗﻌﲏ اﻟﻘﻴﺎدة واﻟﺘﻮﺟﻴﻪ .وﺑﻨﺎءً ﻋﻠﻰ ﻫﺬا ،ﻛﺎن اﻟﺒﻴﺪاﻏﻮﺟﻲ ﻫﻮ اﻟﺸﺨﺺ اﳌﻜﻠﻒ ﲟﺮاﻗﺒﺔ اﻷﻃﻔﺎل وﻣﺮاﻓﻘﺘﻬﻢ ﰲ ﺧﺮوﺟﻬﻢ
ﻟﻠﺘﻜﻮﻳﻦ أو اﻟﻨﺰﻫﺔ ،واﻷﺧﺬ ﺑﻴﺪﻫﻢ وﻣﺼﺎﺣﺒﺘﻬﻢ -ﻛﺎﻧﺖ وﻇﻴﻔﺔ اﻟﻌﺒﻴﺪ ﰲ اﻟﻌﻬﺪ اﻟﻴﻮﻧﺎﱐ اﻟﻘﺪﱘ.
ﻟﻘﺪ أﺧﺬت ﻛﻠﻤﺔ "ﺑﻴﺪاﻏﻮﺟﻴﺎ" ﲟﻌﺎن ﻋﺪة ﻣﻦ ﺣﻴﺚ اﻻﺻﻄﻼح ،ﺣﻴﺚ اﻋﺘﱪﻫﺎ ” “E. Durkheimﻧﻈﺮﻳﺔ ﺗﻄﺒﻴﻘﻴﺔ ﺗﺮﺑﻮﻳﺔ ﺗﺴﺘﻌﲑ
ﻣﻔﺎﻫﻴﻤﻬﺎ ﻣﻦ ﻋﻠﻢ اﻟﻨﻔﺲ وﻋﻠﻢ اﻻﺟﺘﻤﺎع؛ اﻟﺒﺎﺣﺚ ” “A. Makarenkoاﻋﺘﱪﻫﺎ اﻟﻌﻠﻢ اﻷﻛﺜﺮ ﺟﺪﻟﻴﺔ اﻟﺬي ﻳﺮﻣﻲ إﱃ ﻫﺪف ﻋﻤﻠﻲ؛
وذﻫﺐ اﻟﺒﺎﺣﺚ ” “R. Hubertإﱃ أ�ﺎ ﻟﻴﺴﺖ ﻋﻠﻤﺎً وﻻ ﺗﻘﻨﻴﺔً وﻻ ﻓﻠﺴﻔﺔً وﻻ ﻓﻨﺎً ،ﺑﻞ ﻫﻲ ﻫﺬا ﻛﻠﻪ ﻣﻨﻈﻢ وﻓﻖ ﺗﻔﺼﻴﻼت
ﻣﻨﻄﻘﻴﺔ].[501,502
واﳌﻼﺣﻆ أن ﻫﺬﻩ اﻟﺘﻌﺎرﻳﻒ ،وﻛﺜﲑ ﻏﲑﻫﺎ ،ﺗﻘﻴﻢ دﻟﻴﻼ ﻗﻮﻳﺎً ﻋﻠﻰ ﺗﻌﻘﻴﺪ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ وﺻﻌﻮﺑﺔ ﺿﺒﻂ ﻣﻔﻬﻮﻣﻬﺎ ،ﳑﺎ ﻳﺪﻓﻊ إﱃ اﻻﻋﺘﻘﺎد أن ﺗﻠﻚ
اﻟﺘﻌﺎرﻳﻒ وﻏﲑﻫﺎ ،ﻟﻴﺴﺖ ﰲ واﻗﻊ اﻷﻣﺮ ﺳﻮى وﺟﻬﺎت ﻧﻈﺮ ﰲ ﲢﺪﻳﺪ ﻣﻔﻬﻮم "اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ" ،ﻟﺬا ﻓﺈﻧﻪ ﻣﻦ اﻟﺼﻌﺐ ﺗﻌﺮﻳﻒ "اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ"
ﺗﻌﺮﻳﻔﺎ ﺟﺎﻣﻌﺎً ﻣﺎﻧﻌﺎً ،وذﻟﻚ ﺴﺒﺐ ﺗﻌﺪد واﺧﺘﻼف دﻻﻻﻬﺗﺎ اﻻﺻﻄﻼﺣﻴﺔ ﻣﻦ ﺟﻬﺔ ،وﺑﺴﺒﺐ ﺗﺸﺎﺑﻜﻬﺎ وﺗﺪاﺧﻠﻬﺎ ﻣﻊ ﻣﻔﺎﻫﻴﻢ وﺣﻘﻮل ﻣﻌﺮﻓﻴﺔ
أﺧﺮى ﳎﺎورة ﳍﺎ ﻣﻦ ﺟﻬﺔ أﺧﺮى ،اﻷﻣﺮ اﻟﺬي ﻳﺆﻛﺪ ﻋﻠﻰ أن ﻋﻠﻮم اﻟﱰﺑﻴﺔ واﻟﺘﻌﻠﻴﻢ ﻻ ﺗﺰال ﻗﺎﺋﻤﺘﻬﺎ ﻣﻔﺘﻮﺣﺔ ﻻﺳﺘﻘﺒﺎل ﻋﻠﻮم أﺧﺮى.
-ﺣﻘﻞ ﻣﻌﺮﰲ ،ﻗﻮاﻣﻪ اﻟﺘﻔﻜﲑ اﻟﻔﻠﺴﻔﻲ واﻟﺴﻴﻜﻮﻟﻮﺟﻲ ،ﰲ ﻏﺎﻳﺎت وﺗﻮﺟﻬﺎت اﻷﻓﻌﺎل واﻷﻧﺸﻄﺔ اﳌﻄﻠﻮب ﳑﺎرﺳﺘﻬﺎ ﰲ وﺿﻌﻴﺔ اﻟﱰﺑﻴﺔ
واﻟﺘﻌﻠﻴﻢ ،ﻋﻠﻰ ﻛﻞ ﻣﻦ اﻟﻄﻔﻞ واﻟﺮاﺷﺪ – وﻫﻲ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﻟﻨﻈﺮﻳﺔ.
-ﻧﺸﺎط ﻋﻤﻠﻲ ،ﻳﺘﻜﻮن ﻣﻦ ﳎﻤﻮع اﳌﻤﺎرﺳﺎت واﻷﻓﻌﺎل اﻟﱵ ﻳﻨﺠﺰﻫﺎ ﻛﻞ ﻣﻦ اﳌﻌﻠﻢ واﳌﺘﻌﻠﻤﲔ – وﻫﻲ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﻟﺘﻄﺒﻴﻘﻴﺔ.
189 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن اﳌﺒﺪأ واﳍﺪف اﻷﺳﺎﺳﻲ ﻟﻠﺒﻴﺪاﻏﻮﺟﻴﺎ ﻫﻮ اﻟﺒﺤﺚ ﰲ اﳌﺸﻜﻼت اﻟﱰﺑﻮﻳﺔ واﻷﺑﻌﺎد اﳌﻌﺮﻓﻴﺔ ﻟﻠﺘﻌﻠﻢ ،إﺿﺎﻓﺔً إﱃ أﺑﻌﺎد أﺧﺮى ﻧﻔﺴﻴﺔ اﺟﺘﻤﺎﻋﻴﺔ.
ﻟﻘﺪ ﻧﺸﺄ ﻋﻦ اﳌﺪارس اﻟﻔﻠﺴﻔﻴﺔ وﻣﺪارس ﻋﻠﻮم اﻟﻨﻔﺲ اﳌﺨﺘﻠﻔﺔ ﻣﻈﺎﻫﺮ وﻣﻘﺎرﺑﺎت ﳐﺘﻠﻔﺔ ﻟﻠﺒﻴﺪاﻏﻮﺟﻴﺎ ،ﻛﻤﺎ وﺿﻌﺖ ﻃﺮق وأﳕﺎط ﳐﺘﻠﻔﺔ
ﻟﺘﺤﻘﻴﻘﻬﺎ.
إن ﲨﻴﻊ أﳕﺎط وﳕﺎذج اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ ﻳﺘﻢ ﺗﺼﻤﻴﻤﻬﺎ وﻓﻘﺎً ﳌﺒﺎدئ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ ،وﺗﺼﻨﻒ ﻫﺬﻩ اﻟﻨﻤﺎذج وﻓﻘﺎً ﻷﺳﺎﺳﻬﺎ اﻟﻔﻠﺴﻔﻲ واﻟﻨﻈﺮي ،ﻓﻤﻦ
ﻫﺬﻩ اﻟﻨﻤﺎذج ﻣﻦ ﻳﻨﻄﻠﻖ ﻣﻦ اﻟﻔﻠﺴﻔﺔ اﳌﻮﺿﻮﻋﻴﺔ ) ،(Objectivismﻣﺜﻞ اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ) ،(Behaviourismوﻣﻨﻬﺎ ﻣﻦ ﻳﻨﻄﻠﻖ ﻣﻦ
اﻟﻔﻠﺴﻔﺔ اﻟﺬاﺗﻴﺔ ) ،(Subjectivismﻣﺜﻞ اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ) ،(Constructivismوﻣﻨﻬﺎ ﻣﻦ ﻳﻨﻄﻠﻖ ﻣﻦ اﻟﻔﻠﺴﻔﺔ اﻹدراﻛﻴﺔ ،ﻣﺜﻞ اﻟﻨﻈﺮﻳﺔ
اﳌﻌﺮﻓﻴﺔ ) .(Congnitivisimﻓﻴﻤﺎ ﻳﻠﻲ ﻧﻘﺪم ﻣﻮﺟﺰاً ﳐﺘﺼﺮاً ﻟﻠﻨﻈﺮﻳﺎت واﻟﺘﻮﺟﻬﺎت اﻟﺴﺎﺋﺪة ﰲ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ.
ﻳﻌﺮف اﻟﺘﻌﻠﻢ ﻋﻠﻰ أﻧﻪ اﻟﻌﻤﻠﻴﺔ اﳊﻴﻮﻳﺔ اﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ اﻟﱵ ﺗﺘﺠﻠﻰ ﰲ ﲨﻴﻊ اﻟﺘﻐﲑات اﻟﺜﺎﺑﺘﺔ ﻧﺴﺒﻴﺎً ﰲ اﻷﳕﺎط اﻟﺴﻠﻮﻛﻴﺔ واﻟﻌﻤﻠﻴﺎت اﳌﻌﺮﻓﻴﺔ اﻟﱵ
ﲢﺪث ﻟﺪى اﻷﻓﺮاد ﻧﺘﻴﺠﺔ ﻟﺘﻔﺎﻋﻠﻬﻢ ﻣﻊ اﻟﺒﻴﺌﺔ اﳌﺎدﻳﺔ واﻻﺟﺘﻤﺎﻋﻴﺔ.
ﳝﻜﻦ اﻟﻨﻈﺮ إﱃ ﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻢ ﻋﻠﻰ أ�ﺎ ﳏﺎوﻻت ﻣﻨﻈﻤﺔ ﻟﺘﻮﻟﻴﺪ اﳌﻌﺮﻓﺔ ﺣﻮل اﻟﺴﻠﻮك اﻹﻧﺴﺎﱐ وﺗﻨﻈﻴﻤﻬﺎ وﲡﻤﻴﻌﻬﺎ ﰲ أﻃﺮ ﻣﻦ اﳊﻘﺎﺋﻖ
ﳌﺒﺎدئ واﻟﻘﻮاﻧﲔ ﻬﺑﺪف ﺗﻔﺴﲑ اﻟﻈﺎﻫﺮة اﻟﺴﻠﻮﻛﻴﺔ واﻟﺘﻨﺒﺆ ﻬﺑﺎ وﺿﺒﻄﻬﺎ.
ﻫﺬا وﲢﺪد ﻗﻴﻤﺔ اﻟﻨﻈﺮﻳﺔ ﲟﺪى اﻻﺧﺘﺒﺎر اﻟﺘﺠﺮﻳﱯ وﻟﻴﺲ ﻣﻦ ﺧﻼل اﻟﱪﻫﺎن اﳉﺪﱄ ،وﻫﻨﺎك ﻋﺪة ﻣﻌﺎﻳﲑ ﺗﺴﺘﺨﺪم ﻟﻠﺤﻜﻢ ﻋﻠﻰ اﻟﻘﻴﻤﺔ اﻟﻌﻠﻤﻴﺔ
ﻟﻠﻨﻈﺮﻳﺔ ،ﻣﻨﻬﺎ :اﻷﳘﻴﺔ ) ،(Importanceاﻟﺪﻗﺔ واﻟﻮﺿﻮح ) ،(Preciseness & Clarityاﻻﻗﺘﺼﺎدﻳﺔ واﻟﺒﺴﺎﻃﺔ ) & Parsimony
ﻳﻮﺟﺪ أرﺑﻊ ﻧﻈﺮﻳﺎت أﺳﺎﺳﻴﺔ ﻟﻠﺘﻌﻠﻢ ،ﺗﻨﻄﻠﻖ ﻛﻞ ﳎﻤﻮﻋﺔ ﻣﻨﻬﺎ ﰲ ﺗﻔﺴﲑﻫﺎ ﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻣﻦ ﲨﻠﺔ اﻓﱰاﺿﺎت ﳐﺘﻠﻔﺔ ،وﻫﻲ:
• اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ Behaviorismوﻬﺗﺘﻢ ﺑﺪراﺳﺔ اﻟﺘﻐﲑ اﻟﻈﺎﻫﺮ ﰲ اﻟﺴﻠﻮك ،وﻫﻲ ﺗﺮﻛﺰ ﻋﻠﻰ ﺗﻜﺮار اﻟﺴﻠﻮك اﳉﺪﻳﺪ إﱃ أن ﻳﺼﺒﺢ ﺳﻠﻮﻛﺎ
ﻔﻮﻳﺎ )آﻟﻴﺎ( .ﻳﻘﺪم اﶈﺘﻮى اﻟﻌﻠﻤﻲ ﻋﻠﻰ ﺣﺴﺐ ﺧﺼﺎﺋﺺ اﳌﺘﻌﻠﻤﲔ وﺣﺎﺟﺎﻬﺗﻢ.
• اﻟﻨﻈﺮﻳﺔ اﳌﻌﺮﻓﻴﺔ Cognitivismوﻬﺗﺘﻢ ﺑﺎﻟﻌﻤﻠﻴﺎت اﻟﻌﻘﻠﻴﺔ ،وﺗﻨﻈﺮ إﱃ اﻟﺘﻐﲑ اﳌﺸﺎﻫﺪ ﰲ اﻟﺴﻠﻮك ﻛﻤﺆﺷﺮ ﻋﻠﻰ ﻣﺎ ﳛﺪث داﺧﻞ ﻋﻘﻞ
اﳌﺘﻌﻠﻢ .ﻳﻘﺪم اﶈﺘﻮى اﻟﻌﻠﻤﻲ ﻋﻠﻰ ﺣﺴﺐ اﻟﺘﺴﺴﻞ اﳌﻨﻄﻘﻲ ﻟﻠﻤﺎدة اﻟﻌﻠﻤﻴﺔ ﺑﻐﺾ اﻟﻨﻈﺮ ﻋﻦ ﺧﺼﺎﺋﺺ اﳌﺘﻌﻠﻤﲔ وﺣﺎﺟﺎﻬﺗﻢ.
• اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ :Constructivismﺗﺮﻛﺰ ﻋﻠﻰ أﻧﻨﺎ ﻧﺒﲏ رؤﻳﺔ ﺷﺨﺼﻴﺔ ﻟﻠﻌﺎﱂ ﻣﻦ ﺣﻮﻟﻨﺎ ﺑﺎﻻﺳﺘﻨﺎد إﱃ ﺧﱪاﺗﻨﺎ اﳋﺎﺻﺔ ،وﻟﺬﻟﻚ ﻓﻬﻲ
ﺗُﻌﲎ ﺑﺈﻋﺪاد اﳌﺘﻌﻠﻢ ﳊﻞ اﳌﺸﻜﻼت ﰲ ﻣﻮاﻗﻒ ﺟﺪﻳﺪة .أي أن اﳌﺘﻌﻠﻢ ﻳﺒﲏ ﻣﻌﺮﻓﺘﻪ اﻟﻌﻠﻤﻴﺔ ﺑﻨﺎءً ﻋﻠﻰ ﺧﱪاﺗﻪ وﻣﻌﻠﻮﻣﺎﺗﻪ اﻟﺴﺎﺑﻘﺔ.
• اﻟﻨﻈﺮﻳﺔ اﻻرﺗﺒﺎﻃﻴﺔ :Connectivismﻧﻈﺮﻳﺔ ﺗﻌﻠﻢ ﻟﻠﻌﺼﺮ اﻟﺮﻗﻤﻲ ،ﺗﺮﻛﺰ ﻋﻠﻰ ﺗﺄﺛﲑ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ﻋﻠﻰ ﻃﺮﻳﻘﺔ ﻋﻴﺸﻨﺎ وﺣﻴﺎﺗﻨﺎ وﺗﻮاﺻﻠﻨﺎ.
ﲡﻤﻊ ﺑﲔ اﻟﻌﻨﺎﺻﺮ ذات اﻻرﺗﺒﺎط ﻟﻠﻌﺪﻳﺪ ﻣﻦ ﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻢ ،اﻟﺒﲎ اﻻﺟﺘﻤﺎﻋﻴﺔ ،واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ،ﺑﺪف إﳚﺎد ﻧﻈﺮﻳﺔ ﺗﻌﻠﻤﻴﺔ ﻗﻮﻳﺔ وﻓﻌﺎﻟﺔ
ﻟﻌﺼﺮ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺮﻗﻤﻲ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 190
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
اﻟﻔﺌﺔ اﻷوﱃ :اﻟﻨﻈﺮﻳﺎت اﻻرﺗﺒﺎﻃﻴﺔ وﺗﻀﻢ ﻧﻈﺮﻳﺔ " "Ivan Pavlovﰲ اﻻﺷﺮاط اﻟﻜﻼﺳﻴﻜﻲ ،وآراء ” “John Watsonﰲ اﻻرﺗﺒﺎط،
وﻧﻈﺮﻳﺔ ” “Aden Jethryﰲ اﻻﻗﱰان ،وﻧﻈﺮﻳﺔ ”“William Estes؛ وﺗﺆﻛﺪ ﲨﻴﻌﻬﺎ ﻋﻠﻰ أن اﻟﺘﻌﻠﻢ ﻫﻮ ﲟﺜﺎﺑﺔ ﺗﺸﻜﻴﻞ ارﺗﺒﺎﻃﺎت ﺑﲔ
ﻣﺜﲑات ﺑﻴﺌﻴﺔ واﺳﺘﺠﺎﺑﺎت ﻣﻌﻴﻨﺔ ،وﲣﺘﻠﻒ ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ ﰲ ﺗﻔﺴﲑ ﻃﺒﻴﻌﺔ اﻻرﺗﺒﺎﻃﺎت وﻛﻴﻔﻴﺔ ﺗﺸﻜﻠﻬﺎ.
اﻟﻔﺌﺔ اﻟﺜﺎﻧﻴﺔ :اﻟﻨﻈﺮﻳﺎت اﻟﻮﻇﻴﻔﻴﺔ وﺗﻀﻢ ﻧﻈﺮﻳﺔ ”) “Edward Thorndikeﳕﻮذج اﶈﺎوﻟﺔ واﳋﻄﺄ() “Clark Hull” ،ﻧﻈﺮﻳﺔ اﳊﺎﻓﺰ(،
وﻧﻈﺮﻳﺔ ”) “Burrhus Frederic Skinnerاﻟﺘﻌﻠﻢ اﻹﺟﺮاﺋﻲ( ،إذ ﺗﺆﻛﺪ ﻋﻠﻰ اﻟﻮﻇﺎﺋﻒ اﻟﱵ ﻳﺆدﻳﻬﺎ اﻟﺴﻠﻮك ﻣﻊ اﻻﻫﺘﻤﺎم ﺑﻌﻤﻠﻴﺎت
اﻻرﺗﺒﺎط اﻟﱵ ﺗﺘﺸﻜﻞ ﺑﲔ اﳌﺜﲑات واﻟﺴﻠﻮك.
ﻟﻠﻤﺪرﺳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﺧﺼﺎﺋﺺ ﲤﻴﺰﻫﺎ ﻋﻦ ﻏﲑﻫﺎ ﰲ ﺗﻔﺴﲑﻫﺎ ﻟﻠﺘﻌﻠﻢ وﻣﻦ أﺑﺮز ﺧﺼﺎﺋﺼﻬﺎ ﻣﺎ ﻳﻠﻲ:
ﳛﺪث اﻟﺘﻌﻠﻢ ﻋﻨﺪ اﻻﺳﺘﺠﺎﺑﺔ اﻟﺼﺤﻴﺤﺔ اﻟﱵ ﺗﺘﺒﻊ ﻣﺜﲑ ﻣﻌﲔ.
ﳝﻜﻦ اﻟﺘﺤﻘﻖ ﻣﻦ ﺣﺪوث اﻟﺘﻌﻠﻢ ﺑﺎﳌﻼﺣﻈﺔ اﳊﺴﻴﻪ ﻟﻠﻤﺘﻌﻠﻢ ﻋﻠﻰ ﻓﱰات زﻣﻨﻴﻪ.
ﻳﺮﻛﺰ ﻋﻠﻰ اﻟﻘﻴﺎﺳﺎت واﳌﻼﺣﻈﺎت اﻟﺴﻠﻮﻛﻴﺔ.
ﻳﺴﺘﺨﺪم ﻣﺒﺪأ :إن اﳌﺘﻌﻠﻢ ﺻﻨﺪوق ﻣﺎ ﳛﺪث ﺑﺪاﺧﻠﻪ ﻏﲑ ﻣﻌﻠﻮم.
ﻳﺮﻛﺰ ﻋﻠﻰ اﻟﻌﻼﻗﺔ ﺑﲔ ﻣﺘﻐﲑات اﻟﺒﻴﺌﻴﺔ واﻟﺴﻠﻮك.
اﻟﺘﻌﻠﻴﻢ ﻳﻌﺘﻤﺪ ﻋﻠﻰ اﺳﺘﺨﺪام اﻟﺘﻌﺰﻳﺰ واﳌﺘﺎﺑﻌﺔ ﻟﺴﻠﻮك اﳌﺘﻌﻠﻢ.
اﻟﺴﻠﻮك ﻳﻮﺟﻪ ﺑﺎﻷﻏﺮاض واﻟﻐﺎﻳﺎت.
اﻷﺳﺒﺎب ﺗﻌﺰى ﻟﻠﺴﻠﻮك.
ﻳﺘﻢ اﻟﺘﺤﺪﻳﺪ اﳌﺴﺒﻖ ﻟﻠﺸﺮوط اﻟﱵ ﲢﻘﻖ ﺣﺪوث اﻟﺴﻠﻮك.
191 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن اﳌﺒﺎدئ اﳋﺎﺻﺔ ﺑﺎﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ واﻟﱵ ﺗﺸﻜﻞ ﲟﺠﻤﻮﻋﻬﺎ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻢ ﻫﻲ]:[509-511
-1اﻟﻌﻮاﻗﺐ ) :(Consequencesﻳﺘﻀﻤﻦ أن اﻟﻌﻮاﻗﺐ اﻹﳚﺎﺑﻴﺔ ﺗﻘﻮي اﻟﺴﻠﻮك ﺑﻴﻨﻤﺎ ﺗﻌﻤﻞ اﻟﻌﻮاﻗﺐ اﻟﺴﻠﺒﻴﺔ ﻋﻠﻰ إﺿﻌﺎﻓﻪ ،ﻓﺎﳊﺎﻓﺰ اﳌﱰاﻓﻖ
ﻣﻊ ﻋﻮاﻗﺐ إﳚﺎﺑﻴﺔ ﻳﻌﺰز ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ )وﻳﻜﻮن ذﻟﻚ ﻋﻠﻰ ﺷﻜﻞ زﻳﺎدة ﰲ ﺗﻜﺮار اﻟﺴﻠﻮك(.
-2اﻟﺘﻌﺰﻳﺰ ) :(Reinforcersﻳﻌﻤﻞ ﻋﻠﻰ ﺗﻘﻮﻳﺔ اﻟﻨﺘﺎﺋﺞ ﺑﺘﻄﺒﻴﻖ ﻣﻌﺰزات ﺗﺰﻳﺪ ﻣﻦ ﺗﻜﺮار اﻟﺴﻠﻮك ،ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل اﳉﻮاﺋﺰ اﳌﻤﻨﻮﺣﺔ
ﻟﻺﳒﺎزات ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﳝﻜﻦ اﻋﺘﺒﺎرﻫﺎ ﻣﻌﺰز إﳚﺎﰊ ،وﻣﻦ ﻧﺎﺣﻴﺔ أﺧﺮى اﻟﻌﻘﻮﺑﺎت ﻫﻲ ﻣﻌﺰز ﺳﻠﱯ ﻳﻀﻌﻒ اﻟﺴﻠﻮك.
-3اﻻﺳﺘﺠﺎﺑﺔ اﻟﻔﻮرﻳﺔ ﻟﻠﻌﻮاﻗﺐ ) :(Immediacy of Consequencesﻳﺘﻀﻤﻦ أن اﻟﻌﻮاﻗﺐ اﳌﺘﺄﺧﺮة ﺗﻜﻮن أﻗﻞ ﺗﺄﺛﲑاً ﻋﻠﻰ اﻟﺴﻠﻮك ﻣﻦ
اﻟﻌﻮاﻗﺐ اﻟﻔﻮرﻳﺔ ،وﻟﺬﻟﻚ ﻓﺎﻟﺪﻋﻢ اﻟﻘﻠﻴﻞ اﻟﻔﻮري ﻳﻜﻮن ﺗﺄﺛﲑﻩ أﻛﱪ ﻣﻦ اﻟﺪﻋﻢ اﻟﻜﺒﲑ اﳌﺘﺄﺧﺮ].[512
-4اﻟﻌﻘﺎب ) :(Punishersوﻳﺘﻤﺜﻞ ﰲ اﳊﺪث اﻟﺬي ﻳﻌﻘﺐ اﻻﺳﺘﺠﺎﺑﺔ واﻟﺬي ﻳﺆدي إﱃ إﺿﻌﺎف اﻻﺳﺘﺠﺎﺑﺔ اﻟﱵ ﺗﻌﻘﺐ ﻇﻬﻮر اﻟﻌﻘﻮﺑﺔ،
أو اﻟﺘﻮﻗﻒ ﻋﻦ ﻫﺬﻩ اﻻﺳﺘﺠﺎﺑﺔ ،وﻳﻨﻘﺴﻢ اﻟﻌﻘﺎب إﱃ ﻗﺴﻤﲔ ﳘﺎ :اﻟﻌﻘﺎب اﻹﳚﺎﰊ ،واﻟﻌﻘﺎب اﻟﺴﻠﱯ.
-6اﻟﺘﺨﺎﻣﺪ ) :(Extinctionﻳﺘﻀﻤﻦ أن اﻟﺴﻠﻮك ﻳﺘﺨﺎﻣﺪ ﰲ ﺣﺎﻟﺔ اﻧﻌﺪام اﻟﺪﻋﻢ أو ﺗﻮﻗﻔﻪ ،ﻓﻤﺜﻼً :إذا ﰎ ﺳﺤﺐ أو إﻳﻘﺎف ﺟﺎﺋﺰة ﺧﺎﺻﺔ
ﺑﺎﻟﻨﺠﺎح ﻓﺈن اﳊﺎﻓﺰ ﻟﺘﺤﻘﻴﻖ درﺟﺎت ﻋﻠﻴﺎ ﻳﺘﻨﺎﻗﺺ.
-7ﺟﺪوﻟﺔ اﻟﺪﻋﻢ ) :(Schedule of Reinforcementﻳﺘﻀﻤﻦ ﻋﺪد ﻣﺮات ﺗﻘﺪﱘ اﻟﺪﻋﻢ واﻟﻔﱰات اﻟﺰﻣﻨﻴﺔ اﻟﱵ ﺗﺘﺨﻠﻠﻬﺎ وﻃﺒﻴﻌﺔ اﻟﺴﻠﻮك
اﻟﻜﺎﻣﻦ اﻟﺬي ﺳﻴﻨﺘﺞ ﻋﻦ ذﻟﻚ.
اﺳﺘﻨﺎداً إﱃ اﳌﺒﺎدئ اﳋﺎﺻﺔ ﺑﺎﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﻓﻴﻤﻜﻨﻨﺎ اﻟﻘﻮل أن اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﺗﻌﺘﱪ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻋﻤﻠﻴﺔ ﻣﺘﺄﺛﺮة ﺑﺎﳊﻮاﻓﺰ اﳌﻮﺟﻮدة ﰲ اﻟﺒﻴﺌﺔ
اﶈﻴﻄﺔ.
ﺗﻄﻮرت اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﻛﺎﺳﺘﺠﺎﺑﺔ ﻟﻠﻨﻤﻮذج اﻟﻐﲑ ﻓﻌﺎل اﳌﺘﻤﺜﻞ ﺑﺎﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ،وﻫﻲ ﲢﺎول أن ﺗﻔﻬﻢ اﻟﻌﻤﻠﻴﺎت اﻟﺪاﺧﻠﻴﺔ اﻟﻜﺎﻣﻨﺔ ،اﻟﱵ
ﲢﺪث ﰲ اﻟﻌﻘﻞ ﺑﺎﻻﺷﱰاك ﻣﻊ اﻟﺬاﻛﺮة ،وذﻟﻚ ﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ واﻛﺘﺴﺎب اﳌﻌﻠﻮﻣﺎت].[513-517
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 192
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﺗﻌﺘﱪ اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ أن اﻟﺘﻌﻠﻢ ﻋﺒﺎرة ﻋﻦ ﳎﻤﻮﻋﺔ ﻋﻤﻠﻴﺎت ﲢﺪث داﺧﻞ ﻋﻘﻞ اﻹﻧﺴﺎن ﺗﺸﻤﻞ اﻟﺘﺬﻛﺮ ،اﻟﺘﻔﻜﲑ ،اﻟﺘﺄﻣﻞ ،اﻟﺘﺠﺮﺑﺔ ،اﻟﺘﺤﻔﻴﺰ.
وﻳﻨﻈﺮ ﻣﺆﻳﺪو اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ إﱃ اﻟﺘﻌﻠﻴﻢ ﻋﻠﻰ أﻧﻪ ﻧﻮع ﻣﻦ أﻧﻮاع ﻣﻌﺎﳉﺔ اﻟﺒﻴﺎﻧﺎت.
ﺗﺸﱰك اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﻣﻊ اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﻓﻜﺎر وﲣﺘﻠﻔﺎن ﰲ ﺑﻌﺾ اﳉﻮاﻧﺐ ،ﻓﺎﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﺗﺮﻛﺰ ﻋﻠﻰ اﻟﺴﻠﻮك ﺑﺸﻜﻞ
أﺳﺎﺳﻲ ،واﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﺗﺮﻛﺰ ﻋﻠﻰ اﻟﻌﻘﻞ اﻟﺒﺸﺮي واﻵﻟﻴﺔ اﻟﱵ ﻳﻌﺎﰿ ﺑﺎ اﻟﻌﻘﻞ اﳌﻌﻄﻴﺎت اﻟﻘﺎدﻣﺔ ﻣﻦ اﳊﺲ ،ﻛﻤﺎ ﺗﺪف اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ
إﱃ ﻓﻬﻢ اﻟﻌﻤﻠﻴﺎت اﻟﱵ ﲢﺪث داﺧﻞ ﻣﺦ اﻹﻧﺴﺎن ﺑﻮﺟﻮد اﶈﻔﺰ واﻟﺬي ﻳﺘﻤﺜﻞ ﺑﺎﳌﺪﺧﻼت ،ﻓﻴﻤﺮ ﺑﻌﻤﻠﻴﺎت اﳌﻌﺎﳉﺔ اﻟﱵ ﻳﻘﻮم ﺑﺎ اﻟﻌﻘﻞ ﳍﺬﻩ
اﳌﺪﺧﻼت ،وﺗﻨﺘﻬﻲ ﺑﺮد اﻟﻔﻌﻞ وﻳﺘﻤﺜﻞ ﺑﺎﳌﺨﺮﺟﺎت.
ﻋﻨﺎﺻﺮ اﻹدراك ﻫﻲ :ﻧﻈﺮﻳﺔ اﻟﺘﺸﻔﲑ اﳌﺰدوﺟﺔ ) ،(Dual Coding Theoryوﻋﺎﻣﻞ اﻟﻨﺴﻴﺎن ) ،(Forgetting Factorوﳕﻮذج ﻣﻌﺎﳉﺔ
اﳌﻌﻠﻮﻣﺎت ) ،(Information Processing Modelواﻟﱵ ﺳﺘﺴﺘﺨﺪم ﻻﺣﻘﺎً ﰲ اﻷﻃﺮوﺣﺔ ﻟﺸﺮح اﻟﻨﺘﺎﺋﺞ اﻟﺘﺠﺮﻳﺒﻴﺔ أو ﻟﻠﻨﻤﺬﺟﺔ
).(Modeling
193 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﺗﻘﺪم ﻋﺎﻣﺔ ﺑﺄن ﻣﻌﺪل اﻟﻨﺴﻴﺎن ﻳﻜﻮن أﻋﻠﻰ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻜﻢ ﻟﻠﻤﻌﻠﻮﻣﺎت اﳌﺘﻌﻠﻤﺔ ﺣﺪﻳﺜﺎً ،وﻳﺘﻨﺎﻗﺺ اﳌﻌﺪل ﻣﻊ ﻣﺮور اﻟﺰﻣﻦ ،اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ
][526
إن ﻣﻌﺪل اﻟﻨﺴﻴﺎن ﳝﻜﻦ أن ﻳﺰداد ﺗﺒﻌﺎً ﻟﻌﺪة أﺳﺒﺎب :ﻋﻨﺪ دراﺳﺔ اﳌﺴﺎﺋﻞ اﳌﻌﻘﺪة] ،[527ﻋﻨﺪﻣﺎ ﻳﻜﻮن اﳌﺘﻌﻠﻢ ﰲ ﻣﺰاج ﺳﻲء] ،[528ﻗﻠﺔ اﻟﻮﻇﺎﺋﻒ
واﻻﺧﺘﺒﺎرات واﻟﺘﻘﻴﻴﻤﺎت] ،[529ﻗﻠﺔ اﻟﻨﻮم] ،[530ﺷﺮب اﻟﻜﺤﻮل ﰲ ﻓﱰة اﻟﺘﻌﻠﻢ].[531
Shiffrinﻋﻠﻰ اﻟﺬاﻛﺮة اﻟﺒﺸﺮﻳﺔ] ،[514وﻗﺪ ﻻﻗﺖ ﻫﺬﻩ اﻟﻨﻈﺮﻳﺔ رواﺟﺎً واﺳﻌﺎً ﺧﻼل اﻟﻌﻘﻮد اﻟﻘﻠﻴﻠﺔ اﳌﺎﺿﻴﺔ] ،[532وﺗﺸﺮح ﻫﺬﻩ اﻟﻨﻈﺮﻳﺔ آﻟﻴﺔ ﺗﻠﻘﻲ
اﳌﻌﻠﻮﻣﺔ واﺧﺘﺰا�ﺎ ﰲ اﻟﻌﻘﻞ اﻟﺒﺸﺮي ،ﺣﻴﺚ ﻳﺘﻢ اﺳﺘﻘﺒﺎل اﳌﻌﻠﻮﻣﺔ ﻋﻦ ﻃﺮﻳﻖ اﳊﻮاس إﱃ ﻣﺎ ﻳﺴﻤﻰ ﺑـﺎﳌﺴﺠﻞ اﳊﺴﻲ )(Sensory Register
ﰲ اﻟﺪﻣﺎغ اﻟﺒﺸﺮي ،ﻣﻌﻈﻢ ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت ﻳﺘﻢ ﲡﺎﻫﻠﻬﺎ إذا ﱂ ﺗﻜﻦ ﻣﻬﻤﺔ ،ﺑﻌﺾ اﳌﻌﻠﻮﻣﺎت ﲢﻔﻆ ﻟﻔﱰة ﻗﺼﲑة ﰲ ﻣﺎ ﻳﺴﻤﻰ ﺑﺎﻟﺬاﻛﺮة ﻗﺼﲑة
اﻷﻣﺪ ) (Short-term Memoryوﻳﺘﻢ ﻧﺴﻴﺎ�ﺎ ﺑﻌﺪ ذﻟﻚ ،ﺟﺰء أﺧﺮ ﻣﻦ اﳌﻌﻠﻮﻣﺎت ﻳﺘﻢ ﲣﺰﻳﻨﻬﺎ ﰲ ﻣﺎ ﻳﺴﻤﻰ ﺑﺎﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ
) (Long-term Memoryﻴﺚ ﻳﺘﻢ اﻻﺣﺘﻔﺎظ ﻬﺑﺎ ﻟﻔﱰات ﻃﻮﻳﻠﺔ.
اﻟﺸﻜﻞ 3-4ﻳﻮﺿﺢ آﻟﻴﺔ ﲣﺰﻳﻦ اﳌﻌﻠﻮﻣﺎت ﰲ اﻟﺬاﻛﺮة اﻟﺒﺸﺮﻳﺔ ،ﺣﻴﺚ ﺗﺼﻞ اﳌﻌﻠﻮﻣﺎت ﰲ اﻟﺒﺪاﻳﺔ إﱃ ﺣﻮاس اﻹﻧﺴﺎن ﻛﺘﻨﺒﻴﻪ ﺧﺎرﺟﻲ ،وﻣﻦ ﰒ
ﺗﻌﱪ إﱃ اﳌﺴﺠﻞ اﳊﺴﻲ ﺣﻴﺚ ﻳﺘﻢ ﲡﺎﻫﻞ ﺟﺰء ﻣﻨﻬﺎ ﻣﺒﺎﺷﺮة ،واﳉﺰء اﻵﺧﺮ ﻳﻌﱪ ﺑﺎﲡﺎﻩ ﻓﻀﺎء اﻟﺬاﻛﺮة ﻗﺼﲑة اﻷﻣﺪ ،وﻫﻨﺎ ﺳﻴﺘﻢ ﻧﺴﻴﺎن ﺑﻌﺾ
اﳌﻌﻠﻮﻣﺎت واﻟﺒﻌﺾ اﻵﺧﺮ ﺳﺘﺘﻢ ﻋﻤﻠﻴﺔ إﻋﺎدة ﻣﻌﺎﳉﺘﻪ ﻟﻴﺼﺒﺢ ﺟﺎﻫﺰاً ﻟﻠﺘﺨﺰﻳﻦ ﰲ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ.
Long Term
Memory
Retrieval
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 194
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻓﻴﻤﺎ ﻳﻠﻲ ﻧﻮﺿﺢ أﻫﻢ ﻋﻨﺎﺻﺮ ﻧﻈﺮﻳﺔ ﻣﻌﺎﳉﺔ اﻟﺒﻴﺎﻧﺎت اﳋﺎﺻﺔ ﺑﺎﻟﺬاﻛﺮة.
ﻟﻠﺬاﻛﺮة ﻗﺼﲑة اﻷﻣﺪ ﺳﻌﺔ ﳏﺪدة ،وﻻ ﳝﻜﻦ اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺔ ﻓﻴﻬﺎ ﻷﻛﺜﺮ ﻣﻦ 30ﺛﺎﻧﻴﺔ ،اﻟﺴﻌﺔ اﻟﻄﺒﻴﻌﻴﺔ ﳍﺬﻩ اﻟﺬاﻛﺮة ﻫﻲ ﻣﻦ 5~9ﺑﺘﺎت
ﻣﻦ اﳌﻌﻠﻮﻣﺎت ،ﲟﻌﲎ آﺧﺮ ،ﻳﺴﺘﻄﻴﻊ اﻹﻧﺴﺎن أن ﻳﻔﻜﺮ ﲞﻤﺴﺔ إﱃ ﺗﺴﻌﺔ أﺷﻴﺎء ﻓﻘﻂ ﰲ ٍ
آن واﺣﺪ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﻟﺬاﻛﺮة ﻗﺼﲑة اﻷﻣﺪ ﻫﻲ
اﻟﻘﻨﺎة اﻟﱵ ﺗﻨﻘﻞ اﳌﻌﻠﻮﻣﺎت ﻣﻦ اﳌﺴﺠﻞ اﳊﺴﻲ إﱃ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ.
إن ﳏﺪودﻳﺔ ﺳﻌﺔ ذاﻛﺮة اﻟﻌﻤﻞ ﺗﻌﲏ أن ﻫﻨﺎك ﻧﻘﻄﺔ ﺣﺮﺟﺔ ﰲ ﻫﺬﻩ اﻟﻌﻤﻠﻴﺔ ،أي أن اﳌﻌﻠﻮﻣﺎت اﻟﱵ ﺗﺪوم ﻟﻔﱰة أﻃﻮل ﰲ ذاﻛﺮة اﻟﻌﻤﻞ ﺗﻜﻮن
ﻟﺪﻳﻬﺎ ﻓﺮﺻﺔ أﻛﱪ ﻟﻴﺘﻢ ﻧﻘﻠﻬﺎ إﱃ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ ،وﻋﻠﻰ ﻛﻞ ﺣﺎل ﻓﺎﳊﺪ اﻷﻋﻈﻤﻲ ﻟﺒﻘﺎء اﳌﻌﻠﻮﻣﺔ ﰲ ذاﻛﺮة اﻟﻌﻤﻞ ﻫﻮ 30ﺛﺎﻧﻴﺔ ﻛﻤﺎ
ذﻛﺮﻧﺎ ﺳﺎﺑﻘﺎً.
ﻫﻨﺎك ﻃﺮﻳﻘﺔ ﻹﻋﺎدة ﲣﺰﻳﻦ اﳌﻌﻠﻮﻣﺎت ﰲ ذاﻛﺮة اﻟﻌﻤﻞ وﻫﻲ إﻋﺎدة اﻟﺘﻔﻜﲑ ﰲ اﳌﻌﻠﻮﻣﺔ ﻣﺮة ﺑﻌﺪ ﻣﺮة ،وﻫﺬﻩ اﻟﻌﻤﻠﻴﺔ ﺗﺪﻋﻰ "اﻟﺘﻜﺮار أو إﻋﺎدة
اﻟﺴﺮد" ) ،(Rehearsalﺣﻴﺚ ﺗﻘﻮم ذاﻛﺮة اﻟﻌﻤﻞ ﺑﺎﺳﺘﺪﻋﺎء اﳌﻌﻠﻮﻣﺎت ﳎﺪداً ﻣﻦ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ ﻟﻴﺘﻢ اﻟﻌﻤﻞ ﻋﻠﻴﻬﺎ وﻣﻌﺎﳉﺘﻬﺎ .ﺗﺸﺒﻪ
ذاﻛﺮة اﻟﻌﻤﻞ ﰲ ﻋﻤﻠﻴﺎت ﻣﻌﺎﳉﺔ اﳌﻌﻠﻮﻣﺎت اﻟﺬاﻛﺮة اﻟﻌﺸﻮاﺋﻴﺔ RAMﰲ اﳊﻮاﺳﻴﺐ اﻟﺸﺨﺼﻴﺔ ).(PCs
اﻟﻌَﺮﺿﻴﺔ ) (Episodic Memoryﺎﻷﺣﺪاث اﳊﺮﻛﻴﺔ واﻟﺴﻤﻌﻴﺔ واﻟﺒﺼﺮﻳﺔ اﻟﱵ ﳝﺮ ﻬﺑﺎ اﻹﻧﺴﺎن ،أي أن اﻟﺬاﻛﺮة َ
اﻟﻌَﺮﺿﻴﺔ ﲢﻮي ﲣﺘﺺ اﻟﺬاﻛﺮة َ
أﺷﻜﺎﻻً ﻣﻦ اﳋﱪات واﻟﺘﺠﺎرب اﳌﺨﺘﻠﻔﺔ ﻣﺮﺗﺒﺔ ﲝﺴﺐ ﻣﻜﺎن وزﻣﺎن ﺣﺪوﺛﻬﺎ.
اﻟﺬاﻛﺮة اﻟﺪﻻﻟﻴﺔ ) (Semantic Memoryﲣﺘﺺ ﺑﺎﻷﻣﻮر اﻟﱵ ﺗﻌﻮد ﻟﺼﻔﺎت اﻋﺘﺒﺎرﻳﺔ وﺣﻘﺎﺋﻖ وﻣﻌﻠﻮﻣﺎت ﻋﺎﻣﺔ ،واﻟﱵ ﻳﺘﻢ ﺗﻨﻈﻴﻤﻬﺎ ﲨﻴﻌﺎً
ﻛﺸﺒﻜﺔ ﻣﻦ اﻷﻓﻜﺎر اﳌﱰاﺑﻄﺔ ﻣﻊ ﺑﻌﻀﻬﺎ أو اﻟﻌﻼﻗﺎت وﻳﻄﻠﻖ ﻋﻠﻴﻬﺎ ﺑ ـ.[538]Schemata
195 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
أﻣﺎ اﻟﺬاﻛﺮة اﻹﺟﺮاﺋﻴﺔ ) (Procedural Memoryﻓﻬﻲ اﳌﻜﺎن اﻟﺬي ﻳﻘﻮم اﻹﻧﺴﺎن ﻓﻴﻪ ﺑﺘﺨﺰﻳﻦ اﳋﻮارزﻣﻴﺎت اﻟﻼزﻣﺔ ﻟﺘﻨﻔﻴﺬ ﻣﻬﻤﺎت ﻣﻌﻴﻨﺔ،
وﻫﺬﻩ اﻹﺟﺮاءات ﻳﺘﻢ ﲣﺰﻳﻨﻬﺎ ﻋﻠﻰ ﺷﻜﻞ ﺛﻨﺎﺋﻴﺎت ﻣﺆﻟﻔﺔ ﻣﻦ "اﳌﺜﲑ-اﻻﺳﺘﺠﺎﺑﺔ" ).(stimuli-response
واﻟﱵ ﺗﻘﱰح أن اﻟﻌَﺮﺿﻴﺔ واﻟﺬاﻛﺮة اﻹﺟﺮاﺋﻴﺔ ﻗﺎدا إﱃ إﳚﺎد ﻧﻈﺮﻳﺔ اﻟﺘﺸﻔﲑ اﳌﺰدوج )(Dual Coding Theory
][539
إن ﻣﺒﺪأي اﻟﺬاﻛﺮة َ
اﻟﻌَﺮﺿﻴﺔ ،وﺑﺸﻜﻞ ﻟﻔﻈﻲ ) (Verballyﻣﺮﺗﺒﻂ ﺑﺎﻟﺬاﻛﺮة اﻟﺪﻻﻟﻴﺔ وﻬﺑﺬا
اﳌﻌﻠﻮﻣﺎت ﻳﺘﻢ ﲣﺰﻳﻨﻬﺎ ﺑﺸﻜﻞ ﻣﺮﺋﻲ ) (Visuallyﻣﺮﺗﺒﻂ ﺑﺎﻟﺬاﻛﺮة َ
اﻟﺸﻜﻞ ﻳﺘﻢ اﺳﺘﻌﺎدة اﳌﻌﻠﻮﻣﺔ ﺑﺸﻜﻞ أﻓﻀﻞ ﻣﻦ اﻟﱵ ﰎ ﲣﺰﻳﻨﻬﺎ ﺑﻄﺮﻳﻘﺔ واﺣﺪة.
3-2-2-4-4آﺛﺎر ﻧﻈﺮﻳﺔ ﻣﻌﺎﳉﺔ اﳌﻌﻠﻮﻣﺎت ﻋﻠﻰ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻢ ):(Implications of the IPT on Education
إن ﻓﻬﻢ آﻟﻴﺔ ﻋﻤﻞ اﻟﺬاﻛﺮة اﻟﺒﺸﺮﻳﺔ واﻟﻔﺮﺿﻴﺎت اﻟﱵ ﺗﻘﺴﻢ ﻫﺬﻩ اﻟﺬاﻛﺮة إﱃ ﻋﺪة أﻧﻮاع ﳐﺘﻠﻔﺔ ﳝﻜﻦ أن ﻳﺆﺛﺮ ﻋﻠﻰ اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ
) (Educational Designﻣﻦ ﺟﻮاﻧﺐ ﳐﺘﻠﻔﺔ ﻛﻤﺎ ﺳﻨﺒﲔ ﻓﻴﻤﺎ ﻳﻠﻲ...
ﻣﻦ ﺧﻼل اﺳﺘﺨﺪام اﻷﻧﺸﻄﺔ اﻟﻔﻌﺎﻟﺔ اﻷﺧﺮى اﳌﺮﺗﺒﻄﺔ ﺑﺎﻟﺬاﻛﺮة اﻟﻌﺮﺿﻴﺔ )ﻛﺎﳌﺸﺎرﻳﻊ وﻋﻤﻠﻴﺎت اﶈﺎﻛﺎة واﻟﻌﻤﻞ اﳉﻤﺎﻋﻲ( وذﻟﻚ ﺧﻼل ﻛﺎﻣﻞ
ﻓﱰة اﻟﺘﻌﻠﻢ ،ﻳﻨﺘﺞ ﻋﻨﻪ أﻳﻀﺎً ﺗﻌﺰﻳﺰ ﰲ اﻟﺘﻌﻠﻢ واﳋﱪات اﳌﻜﺘﺴﺒﺔ.
أﻣﺎ ﺑﺎﻟﻨﺴﺒﺔ ﻷﺛﺮ ﻣﺒﺪأ schemataﻋﻠﻰ اﻟﺘﻌﻠﻴﻢ ،ﻓﻴﺘﻤﺜﻞ ﺑﺄن اﳌﻌﻠﻮﻣﺎت ﳝﻜﻦ ﺗﺜﺒﻴﺘﻬﺎ ﺑﺸﻜﻞ أﻓﻀﻞ ﰲ اﻟﺬاﻛﺮة إذا ﻛﺎﻧﺖ ﻣﺮﺗﺒﺔ ﻣﺴﺒﻘﺎً وﻓﻖ
أن ﻣﻌﺪل اﺳﺘﻌﺎدة اﳌﻌﻠﻮﻣﺎت ﻳﺘﻨﺎﻗﺺ ﺑﺸﻜﻞ ﻛﺒﲑ ﰲ اﻷﺳﺎﺑﻴﻊ اﻟﻘﻠﻴﻠﺔ اﻷوﱃ ﻣﻦ ][542
ﺧﻄﺔ ﻣﻌﻴﻨﺔ أو وﻓﻖ ﻣﻨﻬﺞ ﳏﺪد] .[541وﻗﺪ وﺟﺪ
ﺗﻠﻘﻴﻬﺎ ،وﻟﻜﻦ اﳌﻌﻠﻮﻣﺎت اﳌﺜﺒﺘﺔ ﺑﻌﺪ ذﻟﻚ ﺗﺪوم ﻟﻔﱰات ﻃﻮﻳﻠﺔ -ﻫﺬا ﻳﺒﲔ أن ﻋﻤﻠﻴﺔ إﻋﺎدة ﲣﺰﻳﻦ اﳌﻌﻠﻮﻣﺔ ﺑﻌﺪ ﻋﺪة أﺳﺎﺑﻴﻊ ﳝﻜﻦ أن ﺗﺴﺘﺨﺪم
ﻟﺘﺜﺒﻴﺖ اﳌﻌﻠﻮﻣﺎت ﰲ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ.
ﺗﺮﺑﻮﻳﺔ ﺟﺪة ﺗﺴﺘﻄﻴﻊ أن ﺗﺪرس اﻟﻨﻤﻮ اﻻﺟﺘﻤﺎﻋﻲ ﻟﻠﻤﻌﺮﻓﺔ ﻋﱪ اﻟﺘﻘﻨﻴﺎت اﳊﺪﻳﺜﺔ وﻫﻮ ﻣﺎ ﻧﻄﻠﻖ ﻋﻠﻴﻪ "اﳌﻌﺮﻓﺔ اﺠﻤﻟﺘﻤﻌﻴﺔ اﳌﺴﺘﺪاﻣﺔ" ،وﲢﻤﻞ
ﻫﺬﻩ اﻟﻨﻈﺮﻳﺔ ﺻﻔﺔ "اﻻﺗﺼﺎﻟﻴﺔ" ) Connectivismوﺗﻨﺎﻗﺶ اﻟﺘﻌﻠﻢ ﺑﻮﺻﻔﻪ ﺷﺒﻜﺔ ﻣﻦ اﳌﻌﺎرف اﻟﺸﺨﺼﻴﺔ اﻟﱵ ﻳﺘﻢ إﻧﺸﺎؤﻫﺎ ﻬﺑﺪف ﻣﺸﺎرﻛﺔ
اﻟﻨﺎس ﰲ اﻟﺘﻨﺸﺌﺔ اﻻﺟﺘﻤﺎﻋﻴﺔ واﻟﺘﻔﺎﻋﻞ ﻋﻠﻰ ﺷﺒﻜﺔ اﻟﻮﻳﺐ ،ﻛﻤﺎ أ�ﺎ ﺗﺴﻌﻰ ﺟﺎﻫﺪة ﻟﻠﺘﻐﻠﺐ ﻋﻠﻰ اﻟﻘﻴﻮد اﳌﻔﺮوﺿﺔ ﻋﻠﻰ اﻟﻨﻈﺮﻳﺎت اﻟﺴﻠﻮﻛﻴﺔ
اﻹدراﻛﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻋﻦ ﻃﺮﻳﻖ ﲡﻤﻴﻊ اﻟﻌﻨﺎﺻﺮ اﻟﺒﺎرزة ﻣﻦ اﻷﻃﺮ اﻟﺜﻼث :اﻻﺟﺘﻤﺎﻋﻴﺔ واﳌﻌﺮﻓﻴﺔ واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ ،ﻬﺑﺪف اﺳﺘﺤﺼﺎل ﻧﻈﺮﻳﺎت ﺟﺪﻳﺪة
ودﻳﻨﺎﻣﻴﻜﻴﺔ ﻟﺒﻨﺎء ﻧﻈﺮﻳﺔ اﻟﺘﻌﻠﻢ ﰲ اﻟﻌﺼﺮ اﻟﺮﻗﻤﻲ] .[543ﻋﻠﻰ ﻛﻞ ﺣﺎل ﻣﺎ ﺗﺰال ﻫﺬﻩ اﻟﻨﻈﺮﻳﺔ ﰲ ﻃﻮر اﻷﲝﺎث وﱂ ﻳﺜﺒﺖ ﳍﺎ أي ﺗﻄﺒﻴﻖ ﺣﻘﻴﻘﻲ.
اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﻟﺒﻨﺎﺋﻴﺔ ) (Constructivist pedagogyﺗﻌﺪ ﻣﻦ أﻫﻢ وأﻛﺜﺮ اﳌﺪاﺧﻞ واﻻﲡﺎﻫﺎت اﻟﱰﺑﻮﻳﺔ اﳊﺪﻳﺜﺔ اﻟﱵ ﺗﻠﻘﻰ رواﺟﺎً واﺳﻌﺎً
واﻫﺘﻤﺎﻣﺎً ﻣﺘﺰاﻳﺪاً ﰲ اﻟﻔﻜﺮ اﻟﱰﺑﻮي واﻟﺘﺪرﻳﺴﻲ اﳌﻌﺎﺻﺮ ،وﻫﻲ ﺗﺘﺪاﺧﻞ ﻣﻊ اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﰲ ﻛﺜﲑ ﻣﻦ اﻟﻨﻘﺎط ،إﻻ أ�ﺎ ﲢﻮي ﳕﻮذﺟﺎً
][544
ﴰﻮﻟﻴﺎً ﻟﻠﱰﺑﻴﺔ واﻟﺘﻌﻠﻢ ،وﻫﻲ ﲢﺎول أن ﺗﺜﺒﺖ أن اﻟﻮاﻗﻊ ﻻ ﳝﻜﻦ أن ﻳﺒﲎ ﰲ اﻟﺪﻣﺎغ اﻟﺒﺸﺮﻳﺔ دون ﺧﻮض ﻫﺬا اﻟﻮاﻗﻊ واﻟﺘﻌﺮض ﻟﻠﺨﱪات اﳌﻮﺟﻮدة
ﻓﻴﻪ].[545
ﻋﻠﻰ ﻋﻜﺲ اﻟﱰﻛﻴﺰ اﻟﺪﻗﻴﻖ ﻟﻠﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﻋﻠﻰ اﻵﻟﻴﺎت اﻟﺪاﺧﻠﻴﺔ اﻟﱵ ﺗﺮاﻓﻖ ﻋﻤﻠﻴﺎت اﻟﺘﻌﻠﻢ ،ﻓﺈن اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﺗﻔﻬﻢ اﻟﺘﻌﻠﻢ ﻋﻠﻰ أﻧﻪ
ﻋﻤﻠﻴﺔ ﻣﻌﺮﻓﻴﺔ ﺑﻨﺎﺋﻴﺔ ﺗﺘﻢ ﻣﻦ ﻗﺒﻞ اﻷﻓﺮاد أﻧﻔﺴﻬﻢ] ،[545-553ﻓﺎﻟﺘﻌﻠﻢ ﳚﺐ أن ﻳﺮﺗﻜﺰ ﻋﻠﻰ اﳌﺒﺎدئ واﳌﻔﺎﻫﻴﻢ اﳌﺴﺘﻨﺪة إﱃ ﻗﺮاﺋﻦ ﺑﺪﻻً ﻣﻦ إﻣﻼء
ﻘﺎﺋﻖ اﺠﻤﻟﺮدة][554؛ ﰲ ﻫﺬا اﻟﻨﻮع ﻣﻦ اﻛﺘﺴﺎب اﳌﻌﺮﻓﺔ ﻳﻘﻮم اﻟﻄﻼب ﺑﺮﺑﻂ اﳌﻌﺎرف اﳉﺪﻳﺪة ﲟﻌﺎرف ﻣﻮﺟﻮدة ﻟﺪﻳﻬﻢ ﺑﺸﻜﻞ ﻣﺴﺒﻖ .ﻛﺬا
ﺗﺆﻛﺪ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﻟﺒﻨﺎﺋﻴﺔ ﻋﻠﻰ اﻟﺘﻔﺎﻋﻞ اﻻﺟﺘﻤﺎﻋﻲ ﻟﻠﻄﺎﻟﺐ ﻣﻊ زﻣﻼﺋﻪ وﻣﻊ ﻣﻌﻠﻤﻪ] ،[555وﳚﺐ اﻷﺧﺬ ﺑﻌﲔ اﻻﻋﺘﺒﺎر أﺳﻠﻮب اﻟﺘﻌﻠﻢ اﳌﻔﻀﻞ
ﻟﺪى اﻟﻄﺎﻟﺐ].[556
إن اﳌﺪرﺳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﳍﺎ أﻛﺜﺮ ﻣﻦ ﻣﻨﻈﻮر ﰲ اﻟﺘﻌﻠﻢ ،ﻓﻬﻲ ﺑﺸﻜﻞ ﻋﺎم ﺗﺆﻛﺪ ﻋﻠﻰ أن اﻟﻔﺮد ﻳﻔﺴﺮ اﳌﻌﻠﻮﻣﺎت واﻟﻌﺎﱂ ﻣﻦ ﺣﻮﻟﻪ ﺑﻨﺎءً ﻋﻠﻰ رؤﻳﺘﻪ
اﻟﺸﺨﺼﻴﺔ ،وأن اﻟﺘﻌﻠﻢ ﻳﺘﻢ ﻣﻦ ﺧﻼل اﳌﻼﺣﻈﺔ واﳌﻌﺎﳉﺔ واﻟﺘﻔﺴﲑ أو اﻟﺘﺄوﻳﻞ ،وﻣﻦ ﰒ ﻳﺘﻢ اﳌﻮاﺋﻤﺔ أو اﻟﺘﻜﻴﻴﻒ ﻟﻠﻤﻌﻠﻮﻣﺎت ﺑﻨﺎء ﻋﻠﻰ اﻟﺒﻨﻴﺔ
اﳌﻌﺮﻓﻴﺔ ﻟﺪى اﻟﻔﺮد ،وإن ﺗﻌﻠﻢ اﻟﻔﺮد ﻳﺘﻢ ﻋﻨﺪﻣﺎ ﻳﻜﻮن ﰲ ﺳﻴﺎﻗﺎت ﺣﻘﻴﻘﻴﺔ واﻗﻌﻴﺔ وﺗﻄﺒﻴﻘﺎت ﻣﺒﺎﺷﺮة ﻟﺘﺤﻘﻴﻖ اﳌﻌﺎﱐ ﻟﺪﻳﻪ.
اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﺗﻨﻈﺮ إﱃ اﻟﺘﻌﻠﻢ ﻋﻠﻰ أﻧﻪ ﻋﻤﻠﻴﺔ ﺑﻨﺎء ﻣﺴﺘﻤﺮة وﻧﺸﻄﺔ وﻏﺮﺿﻴﺔ ،أي أ�ﺎ ﺗﻘﻮم ﻋﻠﻰ اﺧﱰاع اﳌﺘﻌﻠﻢ ﻟﱰاﻛﻴﺐ ﻣﻌﺮﻓﻴﺔ ﺟﺪﻳﺪة أو
إﻋﺎدة ﺑﻨﺎء ﺗﺮاﻛﻴﺒﻪ أو ﻣﻨﻈﻮﻣﺘﻪ اﳌﻌﺮﻓﻴﺔ اﻋﺘﻤﺎداً ﻋﻠﻰ ﻧﻈﺮﺗﻪ إﱃ اﻟﻌﺎﱂ ،واﻟﺘﻌﻠﻴﻢ ﻟﻴﺲ ﻋﻤﻠﻴﺔ ﺗﺮاﻛﻤﻴﺔ ﻟﻠﻤﻌﺮﻓﺔ ،ﺑﻞ ﻋﻤﻠﻴﺔ إﺑﺪاع ﲢﺪث ﺗﻐﻴﲑات
ﺛﻮرﻳﺔ ﰲ اﻟﱰاﻛﻴﺐ اﳌﻌﺮﻓﻴﺔ اﳌﻮﺟﻮدة ﻟﺪى اﳌﺘﻌﻠﻢ ،ﺣﻴﺚ ﺗﺒﲎ اﳌﻌﺮﻓـﺔ ﺑﻨﺸﺎط اﳌﺘﻌﻠﻤﲔ ﺑﻮاﺳﻄﺔ ﺗﻜﺎﻣﻞ اﳌﻌﻠﻮﻣﺎت واﳋﱪات اﳉﺪﻳﺪة ﻣﻊ ﻓﻬﻤﻬـﻢ
اﻟﺴﺎﺑـﻖ.
وﳝﺜﻞ اﻟﻔﻜﺮ اﻟﺒﻨﺎﺋﻲ ﺗﻮﻟﻴﻔﺎً أو ﺗﺰاوﺟﺎً ﺑﲔ ﺛﻼﺛﺔ ﳎﺎﻻت ﻫﻲ :ﻋﻠﻢ اﻟﻨﻔﺲ اﳌﻌﺮﰲ ) ،(Cognitive Psychologyوﻋﻠﻢ اﻟﻨﻔﺲ اﻟﻨﻤﻮ
) ،(Developmental Psychologyواﻷﻧﺜﺮوﺑﻮﻟﻮﺟﻴﺎ )(Anthropologyﻓﻘﺪ أﺳﻬﻢ اﺠﻤﻟﺎل اﻷول ﺑﻔﻜﺮة أن اﻟﻌﻘﻞ ﻳﻜﻮن ﻧﺸﻄﺎً ﰲ
ﺑﻨﺎء ﺗﻔﺴﲑاﺗﻪ ﻟﻠﻤﻌﺮﻓﺔ وﺗﻜﻮﻳﻦ اﺳﺘﺪﻻﻻﺗﻪ ﻣﻨﻬﺎ؛ ﺎ أﺳﻬﻢ اﺠﻤﻟﺎل اﻟﺜﺎﱐ ﺑﻔﻜﺮة ﺗﺒﺎﻳﻦ ﺗﺮﻛﻴﺒﺎت اﻟﻔﺮد ﰲ ﻣﻘﺪرﺗﻪ ﻋﻠﻰ اﻟﺘﻨﺒﺆ ﺗﺒﻌﺎً ﻟﻨﻤﻮﻩ اﳌﻌﺮﰲ؛
ﺎ اﺠﻤﻟﺎل اﻟﺜﺎﻟﺚ ﻓﻘﺪ أﺳﻬﻢ ﺑﻔﻜﺮة أن اﻟﺘﻌﻠﻢ ﳛﺪث ﺑﺼﻮرة ﻃﺒﻴﻌﻴﺔ ﺑﺎﻋﺘﺒﺎرﻩ ﻋﻤﻠﻴﺔ ﺛﻘﺎﻓﻴﺔ اﺟﺘﻤﺎﻋﻴﺔ ﻳﺪﺧﻞ ﻓﻴﻬﺎ اﻷﻓﺮاد ﻛﻤﻤﺎرﺳﲔ اﺟﺘﻤﺎﻋﲔ
ﻣﻌﲎ ،وﺣﻞ ﺸﻜﻼﻬﺗﻢ ﺑﺼﻮرة ذات ﻣﻐﺰى. ٍ
ﻳﻌﻤﻠﻮن ﺳﻮﻳﺎً ﻹﳒﺎز ﻣﻬﺎم ذات ً
197 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن أﻓﻜﺎر اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﱂ ﺗﺒﺪأ ﻣﻦ ﻓﺮاغ أو ﻣﻦ ﻧﻘﻄﺔ اﻟﺼﻔﺮ ،ﻓﻬﻲ ﻟﻴﺲ ﻧﺒﺘﺎً ﳕﺎ ﻓﺠﺄ ًة ﰲ ﳎﺎل اﳌﻌﺮﻓﺔ ،وإﳕﺎ ﺗﻌﻮد ﺟﺬورﻫﺎ إﱃ ﻋﺪة ﻋﻘﻮد
ﻣﺎﺿﻴﺔ ،ﺣﻴﺚ ﳍﺎ ﺟﺬور ﺗﺎرﳜﻴﺔ ﻗﺪﳝﺔ ﲤﺘﺪ إﱃ ﻋﻬﺪ ﺳﻘﺮاط ،ﻟﻜﻨﻬﺎ ﺗﺒﻠﻮرت ﰲ ﺻﻴﻐﺘﻬﺎ اﳊﺎﻟﻴﺔ ﻋﻠﻰ ﺿﻮء ﻧﻈﺮﻳﺎت وأﻓﻜﺎر اﻟﻌﺪﻳﺪ ﻣﻦ
اﻟﺒﺎﺣﺜﲔ اﻟﱰﺑﻮﻳﲔ ) ،(Glassersfeld, Piaget, Vico, Dewey, Vygotskyإﻻ أن اﻷﲝﺎث اﻟﺘﻄﺒﻴﻘﻴﺔ واﻟﺘﺠﺎرب ﻋﻠﻰ ﻫﺬﻩ اﻟﻨﻈﺮﻳﺔ
ﺑﺪأت ﰲ ﺑﺪاﻳﺔ اﻟﺘﺴﻌﻴﻨﺎت].[548
ﻳﻌﺘﱪ ﻋﺎﱂ اﻟﻨﻔﺲ واﻟﻔﻴﻠﺴﻮف واﳌﺼﻠﺢ اﻟﱰﺑﻮي اﻷﻣﺮﻳﻜﻲ ” [545,556] “John Deweyﻣﻦ أﻫﻢ رواد اﻟﺘﻌﻠﻴﻢ اﻟﺒﻨﺎﺋﻲ ،وﻗﺪ ﺷﺪد ﻋﻠﻰ اﳊﺎﺟﺔ
إﱃ إﺻﻼح اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وأن ﻳﺘﻌﻠﻢ اﻟﻄﻼب اﻟﺘﻔﻜﲑ اﻟﻨﻘﺪي ) – (Critical Thinkingاﻟﺬي ﻫﻮ أﻋﻠﻰ ﻣﺴﺘﻮﻳﺎت اﻟﺘﻔﻜﲑ اﻟﱵ
ﺗﺪف إﱃ ﻗﺮع رﻛﻴﺰة ﻣﺸﻜﻠﺔ ﻣﺎ ،ﰒ ﲢﻠﻴﻠﻬﺎ ﻣﻨﻄﻘﻴﺎً ﻟﻠﻮﺻﻮل إﱃ اﳊﻞ اﳌﺒﺘﻐﻰ ،وذﻟﻚ ﻣﻦ ﺧﻼل اﺳﺘﺨﺪام ﻣﻬﺎرات اﻟﺘﻔﻜﲑ اﻟﻨﻘﺪي )ﲤﻴﻴﺰ
اﳊﻘﺎﺋﻖ ،اﻟﺘﻔﺮﻳﻖ ﺑﲔ اﳌﻌﻠﻮﻣﺎت اﳌﺘﻌﻠﻘﺔ ﺑﺎﳌﻮﺿﻮع ،اﳌﺮوﻧﺔ ،اﳌﻼﺣﻈﺔ ،ﺻﻴﺎﻏﺔ اﻷﺳﺌﻠﺔ ،اﻟﺘﻤﻴﻴﺰ ﺑﲔ اﻻﻓﱰاﺿﺎت اﻟﺼﺤﻴﺤﺔ واﳋﺎﻃﺌﺔ( وﻣﻌﺎﻳﲑﻩ
)اﻟﻮﺿﻮح ،اﻟﺼﺤﺔ ،اﻟﺪﻗﺔ ،اﻻﺗﺴﺎع ،اﳌﻨﻄﻖ( – ﺑﺪﻻً ﻣﻦ اﻟﺪروس اﳊﻔﻈﻴﺔ ﻤﻟﺮدة.
ﺗﻼ ” “John Deweyﻇﻬﻮر اﻟﻌﺎﱂ اﻟﺴﻮﻳﺴﺮي ” ،[549]“Jean Piagetاﻟﺬي ﻳﻌﺘﱪ ﻣﻦ أﻋﻈﻢ رواد اﻟﻨﻈﺮﻳﺔ اﳌﻌﺮﻓﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ،وﻳﻌﺘﱪ أول
ﺑﻨﺎﺋﻲ ﻷﻧﻪ ﻗﺎل :إن اﳌﻌﺮﻓﺔ ﺗﺒﲎ ﰲ ﻋﻘﻞ اﳌﺘﻌﻠﻢ وﺗﺘﻄﻮر ﺑﻨﻔﺲ اﻟﻄﺮﻳﻘﺔ اﻟﱵ ﺗﺘﻄﻮر ﺑﺎ اﻟﺒﻴﻮﻟﻮﺟﻴﺔ؛ وﻟﺬﻟﻚ اﺳﺘﺨﺪم ﺑﻌﺾ اﳌﺼﻄﻠﺤﺎت
اﻟﺒﻴﻮﻟﻮﺟﻴﺔ ﻣﺜﻞ اﻟﺘﻤﺜﻞ واﳌﻮاءﻣﺔ ﻋﻨﺪ ﺗﻔﺴﲑﻩ ﻟﻠﺘﻌﻠﻢ اﻹﻧﺴﺎﱐ.
أﻃﻠﻖ Piagetﻣﺼﻄﻠﺢ ”) “Constructivist Epistemologyﻋﻠﻢ اﳌﻌﺮﻓﻴﺎت اﻟﺒﻨﺎﺋﻲ( ﻟﻠﺘﻌﺒﲑ ﻋﻦ ﻧﻈﺮﻳﺘﻪ ﰲ اﻟﺘﻌﻠﻢ ،اﻟﱵ ﻳﺮى ﻓﻴﻬﺎ أن
اﻟﺘﻌﻠﻢ ﻋﻤﻠﻴﺔ ﻣﺴﺘﻤﺮة ﻣﻦ زﻋﺰﻋﺔ اﺳﺘﻘﺮار اﻟﺘﻮازن اﻟﻌﻘﻠﻲ ) ،(Destabilizing Mental Equilibriumوذﻟﻚ ﻋﻨﺪﻣﺎ ﺗﺘﺼﺎدم اﳊﻘﺎﺋﻖ
اﳉﺪﻳﺪة ،ﰒ ﻳﺘﻢ اﺳﺘﻌﺎدة اﻟﺘﻮازن إﻣﺎ ﺑﺎﺳﺘﻴﻌﺎب ﺗﻠﻚ اﳊﻘﺎﺋﻖ أو ﺑﺎﻟﺘﻜﻴﻒ ﻣﻌﻬﺎ ،وﻫﺬا ﺑﺪورﻩ ﻳﺮﻓﻊ ﻣﻦ ﺳﻮﻳﺔ اﻟﺘﻔﻜﲑ .وﻋﻠﻴﻪ أﻛﺪ أﻳﻀﺎً
][549
ﻋﺎﱂ اﻟﻨﻔﺲ اﻟﺮوﺳﻲ ” “Vygotskyﻋﻠﻰ أن ﺗﻜﻮﻳﻦ اﳌﻌﺮﻓﺔ ﳛﺪث ﺑﻌﺪ ﻋﻤﻠﻴﺔ اﺧﺘﻼل اﻟﺘﻮازن ).[557](Disequilibrium
ﲤﺜﻞ ﻧﻈﺮﻳﺔ Piagetاﻟﺘﻌﻠﻢ اﳌﻌﺮﰲ اﳌﻼﻣﺢ اﻟﻌﺎﻣﺔ ﳌﻨﻈﻮر اﻟﺒﻨﺎﺋﻴﺔ اﻟﺴﻴﻜﻮﻟﻮﺟﻲ ﻋﻦ اﳌﻌﺮﻓﺔ واﻛﺘﺴﺎﻬﺑﺎ ،وﻣﻮﺟﺰ ﻫﺬﻩ اﻟﻨﻈﺮﻳﺔ" :إن ﻋﻤﻠﻴﺔ
اﻛﺘﺴﺎب اﳌﻌﺮﻓﺔ ﺗﻌﺪ ﻋﻤﻠﻴﺔ ﺑﻨﺎﺋﻴﺔ ﻧﺸﻄﺔ وﻣﺴﺘﻤﺮة ﺗﺘﻢ ﻣﻦ ﺧﻼل اﻟﺘﻌﺪﻳﻞ ﰲ اﳌﻨﻈﻮﻣﺎت أو اﻟﱰاﻛﻴﺐ اﳌﻌﺮﻓﻴﺔ ﻟﻠﻔﺮد ﻣﻦ ﺧﻼل آﻟﻴﺎت ﻋﻤﻠﻴﺎت
اﻟﺘﻌﻠﻢ اﻟﺬاﰐ )اﻟﺘﻤﺜﻴﻞ واﳌﻮاءﻣﺔ( وﺗﺴﺘﻬﺪف ﺗﻜﻴﻔﻪ ﻣﻊ اﻟﻀﻐﻮط اﳌﻌﺮﻓﻴﺔ اﻟﺒﻴﺌﻴﺔ".
وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻟﺘﻌﻠﻢ اﳌﻌﺮﰲ ﻫﻮ ﺑﺎﻟﺪرﺟﺔ اﻷوﱃ ﻋﻤﻠﻴﺔ ﺗﻨﻈﻴﻢ ذاﺗﻴﺔ ﻟﻠﱰاﻛﻴﺐ اﳌﻌﺮﻓﻴﺔ ﻟﻠﻔﺮد ﻬﺗﺪف إﱃ ﻣﺴﺎﻋﺪﺗﻪ ﻋﻠﻰ اﻟﺘﻜﻴﻒ ،ﲟﻌﲎ أن اﻟﻜﺎﺋﻦ
اﳊﻲ ﻳﺴﻌﻰ ﻟﻠﺘﻌﻠﻢ ﻣﻦ أﺟﻞ اﻟﺘﻜﻴﻒ ﻣﻊ اﻟﻀﻐﻮط اﳌﻌﺮﻓﻴﺔ اﳌﻤﺎرﺳﺔ ﻋﻠﻰ ﺧﱪة اﻟﻔﺮد ﺧﻼل ﺗﻔﺎﻋﻠﻪ ﻣﻊ ﻣﻌﻄﻴﺎت اﻟﻌﺎﱂ اﻟﺘﺠﺮﻳﱯ ،وﻫﺬﻩ
اﻟﻀﻐﻮط ﻏﺎﻟﺒﺎً ﻣﺎ ﺗﺆدي إﱃ ﺣﺎﻟﺔ ﻣﻦ اﻻﺿﻄﺮاب أو اﻟﺘﻨﺎﻗﻀﺎت اﳌﻌﺮﻓﻴﺔ ﻟﺪى اﻟﻔﺮد ،وﻣﻦ ﰒ ﳛﺎول اﻟﻔﺮد ﻣﻦ ﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ ﲟﺎ
ﺗﺸﻤﻠﻪ ﻣﻦ ﻋﻤﻠﻴﱵ اﳌﻤﺎﺛﻠﺔ واﳌﻮاءﻣﺔ اﺳﺘﻌﺎدة ﺣﺎﻟﺔ اﻟﺘﻮازن اﳌﻌﺮﰲ ،وﻣﻦ ﰒ ﲢﻘﻴﻖ اﻟﺘﻜﻴﻒ ﻣﻊ اﻟﻀﻐﻮط اﳌﻌﺮﻓﻴﺔ.
ﻟﻘﺪ أﻋﺎد ” [561]“Von Glasersfeldﺗﻌﺮﻳﻒ ﻣﻔﻬﻮم اﳌﻌﺮﻓﺔ اﻟﺒﻨﺎﺋﻴﺔ وذﻟﻚ ﺑﺎﻋﺘﺒﺎرﻫﺎ ذات وﻇﻴﻔﺔ ﺗﻜﻴﻔﻴﺔ ﲤﻴﻞ إﱃ أن ﺗﻜﻮن ﻧﻔﻌﻴﺔ ،وﺑﺬﻟﻚ
ﻓﺎﳌﻌﺮﻓﺔ ﻳﺘﻢ ﺑﻨﺎؤﻫﺎ ﺑﺼﻮرة ﻧﺸﻄﺔ ﺑﻮاﺳﻄﺔ اﳌﺘﻌﻠﻢ ،ﻓﻬﻲ ﻟﻴﺴﺖ اﻛﺘﺸﺎﻓﺎً ﻟﻮاﻗﻊ ﻣﺴﺘﻘﻞ ﻋﻦ اﻟﺸﺨﺺ ،وﻳﺘﻢ ﺗﺄﻛﻴﺪ ﻫﺬﻩ اﳌﻌﺮﻓﺔ وﺗﺄﻳﻴﺪﻫﺎ ﺑﻮاﺳﻄﺔ
ﻛﻞ ﻣﻦ اﻟﻌﻤﻞ اﻟﻨﻈﺮي واﻟﺘﻄﺒﻴﻘﻲ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 198
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
وﻣﻦ ﻫﻨﺎ ﻧﺸﺄت اﻟﺒﻨﺎﺋﻴﺔ وأﺻﺒﺢ ﻫﻨﺎك اﻫﺘﻤﺎم واﺳﻊ ﺑﺎﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ،ﺣﻴﺚ ﺗﺆﻛﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪراﺳﺎت ﰲ ﺗﺪرﻳﺲ اﻟﻌﻠﻮم ﻋﻠﻰ اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ
وﳕﺎذﺟﻬﺎ اﻟﺘﺪرﻳﺴﻴﺔ].[562,563
إن اﻟﺮﻛﺎﺋﺰ اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﻳﻘﻮم ﻋﻠﻴﻬﺎ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ] [548,558ﳝﻜﻦ ﺗﻠﺨﻴﺼﻬﺎ ﲟﺎﻳﻠﻲ:
-ﳚﺐ أن ﻳﺮﺗﺒﻂ اﻟﺘﻌﻠﻢ ﺑﺒﻴﺌﺔ اﻟﻌﺎﱂ اﳊﻘﻴﻘﻲ.
-اﻟﺘﻔﺎﻋﻞ اﻻﺟﺘﻤﺎﻋﻲ ﺟﺰء ﻫﺎم ﻣﻦ اﻟﺘﻌﻠﻢ.
-اﺧﺘﻴﺎر اﻟﻌﻨﺎﺻﺮ اﳌﻘﺮر ﺗﻌﻠﻴﻤﻬﺎ ﲝﻴﺚ ﺗﻜﻮن ذات ﺻﻠﺔ ﺑﺎﳌﺘﻌﻠﻢ.
-اﺧﺘﻴﺎر اﻟﻌﻨﺎﺻﺮ اﳌﻘﺮر ﺗﻌﻠﻴﻤﻬﺎ ﲝﻴﺚ ﺗﻜﻮن ﻣﺮﺗﺒﻄﺔ ﲟﻌﺎرف ﺳﺎﺑﻘﺔ ﻟﺪى اﳌﺘﻌﻠﻢ.
-ﻣﻦ اﳌﻬﻢ ﺗﻮﻓﲑ آﻟﻴﺎت ﺗﻘﻴﻴﻢ ﻣﺴﺘﻤﺮة وﻣﻴﺴﺮة.
-ﳚﺐ ﺗﻨﻤﻴﺔ وﺗﻌﺰﻳﺰ اﻻﺳﺘﻘﻼﻟﻴﺔ ﻋﻨﺪ اﻟﻄﻼب ﻣﻦ ﺧﻼل ﺗﺸﺠﻴﻊ اﻟﺘﻌﻠﻢ اﻟﺬاﰐ اﳌﻨﻈﻢ ،اﺣﱰام اﻟﺬات ،اﻟﺘﺤﻔﻴﺰ.
-ﳚﺐ أن ﻳﻠﻌﺐ اﳌﻌﻠﻢ دور ﻗﺎﺋﺪ اﻷورﻛﺴﱰا وﻻ ﻳﻜﻮن ﻛﻤﻦ ﻳﻠﻘﻲ اﳋﻄﺎﺑﺎت.
-ﳚﺐ أن ﻳﻀﻊ اﳌﻌﻠﻤﻮن ﺗﺼﻮرات ﳐﺘﻠﻔﺔ وأﺷﻜﺎﻻً ﺟﺪﻳﺪة ﻟﻠﻤﻮاد اﻟﱵ ﻳﻘﻮﻣﻮن ﺑﺘﺪرﻳﺴﻬﺎ.
ﻣﻦ اﻟﻀﺮوري أﻳﻀﺎً اﺳﺘﺨﺪام ﻣﺎ ﻳﺴﻤﻲ ﺑﺎﻟﺘﻌﻠﻴﻢ اﻟﻮاﻗﻌﻲ ) (Authentic teachingواﻟﺬي ﻳﻌﲏ ﻋﺮض ﻣﺸﻜﻼت وﻗﻀﺎﻳﺎ ﻣﻦ اﻟﻮاﻗﻊ؛
ﻟﻴﻘﻮم اﻟﻄﻼب ﺑﺘﻘﺪﱘ اﳊﻠﻮل ﳍﺎ ،ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ،إدﺧﺎل ﲡﺎرب ﺣﻘﻴﻘﻴﺔ ﻛﺘﻠﻚ اﳌﻮﺿﺤﺔ ﰲ اﻟﻔﺼﻞ اﻟﺴﺎدس ﺿﻤﻦ اﶈﺎﺿﺮات اﻟﻨﻈﺮﻳﺔ
وإﻋﻄﺎء اﻟﻄﻼب اﻟﻮﻗﺖ ﻻﺧﺘﺒﺎرﻫﺎ وﺗﻘﺪﱘ اﻟﻨﺘﺎﺋﺞ ﻳﻌﺪ ﻣﻦ ﳕﺎذج ﻋﻠﻢ اﻟﱰﺑﻴﺔ اﻟﺒﻨﺎﺋﻲ.
ﻳﻬﺘﻢ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ اﳌﻌﺮﰲ ﲜﻤﻴﻊ أوﺟﻪ اﻟﺘﻌﻠﻴﻢ وﺑﻌﻨﺎﺻﺮﻩ اﳌﺨﺘﻠﻔﺔ اﳌﻮﺿﺤﺔ ﻓﻴﻤﺎ ﻳﺄﰐ ﻣﻦ اﻟﻔﻘﺮات.
199 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن اﳌﺘﻌﻠﻢ ﰲ اﻟﻨﻤﻮذج اﻟﺒﻨﺎﺋﻲ أﻛﺜﺮ ﻧﺸﺎﻃﺎً ،وﻳﺆدي دور اﻟﻌﺎﱂ ﰲ اﻟﺒﺤﺚ واﻟﺘﻨﻘﻴﺐ ﻻﻛﺘﺸﺎف اﳊﻠﻮل اﳌﻨﺎﺳﺒﺔ ﻟﻠﻤﺸﻜﻼت اﻟﱵ ﺗﻮاﺟﻬﻪ ،ﻓﻬﻮ
ﻳُﻌﺪ ﳏﻮر ﻫﺬا اﻟﻨﻤﻮذج وﻣﺮﻛﺰ اﻫﺘﻤﺎﻣﻪ .وﺑﻨﺎء ﻋﻠﻰ ذﻟﻚ ،ﻓﺎﳌﺘﻌﻠﻢ ﻳﺆدي دوراً ﻧﺸﻄﺎً ﰲ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻤﻪ ،وﳝﺘﺪ ﻧﺸﺎﻃﻪ ﺣﱴ ﺑﻌﺪ اﻟﺘﻌﻠﻢ ﳌﺮﺣﻠﺔ
ﺗﻘﻮﱘ ﺗﻌﻠﻤﻪ ذاﺗﻴﺎً؛ وﺗﺄﻛﻴﺪاً ﻋﻠﻰ ذﻟﻚ ﻳﻘﻮل " :Piagetﺣﱴ ﺗﻔﻬﻢ؛ ﻻﺑﺪ ﻟﻚ أن ﺗﻜﺘﺸﻒ ،وﺗﻌﻴﺪ ﺑﻨﺎء ﻣﺎ ﺗﻌﻠﻤﺖ ،وﻳﺼﺒﺢ ذﻟﻚ ﻣﺘﺎﺣﺎً،
ﻋﻨﺪﻣﺎ ﺗﺆﻫﻞ اﳌﺘﻌﻠﻢ ﻟﻺﺑﺪاع واﻹﻧﺘﺎج ،وﻟﻴﺲ اﻟﺘﻜﺮار".
وﻫﻜﺬا ﳒﺪ أن أدوار اﳌﻌﻠﻢ ﻣﺘﻌﺪدة ،ﺣﻴﺚ ﻳﻘﻊ ﻋﻠﻰ ﻋﺎﺗﻘﻪ ﺗﻮﻓﲑ ﺑﻴﺌﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺗﱪز اﻻﺧﺘﻼف ﺑﲔ اﳋﱪات اﳊﺎﻟﻴﺔ ﻟﻠﻄﻼب واﳋﱪات اﳉﺪﻳﺪة
اﻟﱵ ﻳﺘﻌﺮﺿﻮن ﳍﺎ و ﳝﺮون ﻬﺑﺎ ،وﲤﺜﻞ ﻫﺬﻩ اﻟﺒﻴﺌﺔ ﲢﺪﻳﺎً ﻟﻠﻤﺘﻌﻠﻢ ﺗﺪﻓﻌﻪ ﻟﻠﺘﻌﻠﻢ وﲢﺜﻪ ﻋﻠﻴﻪ ،ﻛﻤﺎ ﻳﺮاﻋﻲ اﳌﻌﻠﻢ ﲣﺼﻴﺺ وﻗﺖ ٍ
ﻛﺎف ﻟﻠﺘﻌﻠﻢ ﲝﻴﺚ
ﻳﺴﻤﺢ ﻟﻠﻄﻼب ﺑﺎﻟﺘﻔﻜﲑ ﰲ اﳋﱪات اﳉﺪﻳﺪة ﺑﺸﻜﻞ أﻛﺜﺮ ﻋﻤﻘﺎً وﺗﺄﻣﻼً ووﺿﻌﻬﺎ ﰲ ﻧﺴﻖ واﺣﺪ ﻣﻊ اﳋﱪات اﻟﺴﺎﺑﻘﺔ ،واﳍﺪف ﻣﻦ ﻫﺬﻩ
اﻷدوار ﲨﻴﻌﺎً وﻓﻘﺎً ﻟﻠﻨﻤﻮذج اﻟﺒﻨﺎﺋﻲ ﻫﻮ ﲤﻜﲔ اﳌﺘﻌﻠﻢ ﻣﻦ ﺑﻨﺎء ﻣﻌﺮﻓﺘﻪ وﻣﺸﺎرﻛﺘﻪ ﰲ ﻣﺴﺆوﻟﻴﺔ إدارة اﻟﺘﻌﻠﻢ وﺗﻘﻮﳝﻪ؛ ﻓﻬﻮ اﻟﺬي ﻳﺘﻮﺻﻞ ﺑﻨﻔﺴﻪ
إﱃ ﺑﻨﺎء اﳌﻔﺎﻫﻴﻢ اﻟﱵ ﺗﺘﻌﻠﻖ ﲟﻮﺿﻮع اﻟﺘﻌﻠﻢ؛ وﻫﻮ اﻟﺬي ﻳﻘﻮم ﲟﻤﺎرﺳﺔ اﻟﺘﺠﺮﺑﺔ ﻓﻴﺤﺎول اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﳌﻔﺎﻫﻴﻢ وﻃﺮح اﻷﺳﺌﻠﺔ واﻟﺒﺤﺚ ﻋﻦ
اﻷﺟﻮﺑﺔ وﻣﻘﺎرﻧﺘﻬﺎ.
واﳌﻌﻠﻢ ﻋﻨﺪﻣﺎ ﳜﻄﻂ ﻟﺘﻨﻔﻴﺬ أﺣﺪ اﻟﺪروس ﺑﺎﺳﺘﺨﺪام ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﻋﻠﻴﻪ أن ﻳﺮاﻋﻲ اﳌﺒﺎدئ اﻟﺘﺎﻟﻴﺔ اﻟﱵ:
ﳛﺪد اﳌﻔﻬﻮم اﳌﺮاد اﻟﻄﻼب.
ﻳﻘﻮم ﺑﺼﻴﺎﻏﺔ ﺑﻌﺾ اﳌﺸﻜﻼت واﻟﺼﻌﻮﺑﺎت اﻟﱵ ﻗﺪ ﻳﻘﺎﺑﻠﻬﺎ اﻟﻄﻼب ﰲ ﻛﻞ ﻣﺮﺣﻠﺔ ﻣﻦ ﻣﺮاﺣﻞ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 200
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻛﺘﺎﺑﺔ ﻗﺎﺋﻤﺔ ﺑﻜﻞ ﻣﺎ ﳝﻜﻦ ﺗﻮﻓﲑﻩ ﻣﻦ اﳋﱪات اﳊﺴﻴﺔ وﺛﻴﻘﺔ اﻟﺼﻠﺔ ﺑﺎﳌﻔﻬﻮم اﳌﺮاد ﺗﻌﻠﻤﻪ.
ﳛﺪد اﻷﺳﺌﻠﺔ اﻟﱵ ﺗﻌﺮض ﻋﻠﻰ اﻟﻄﻼب واﻟﱵ ﺗﺆدي إﱃ ﺷﻌﻮرﻫﻢ ﺑﺎﳊﺎﺟﺔ إﱃ اﻟﺒﺤﺚ واﻟﺘﻨﻘﻴﺐ ﻟﻠﻮﺻﻮل ﻟﻠﺤﻞ.
ﻳﺸﺠﻊ ﻃﻼﺑﻪ ﻋﻠﻰ اﻟﺘﻌﺎون واﻟﻌﻤﻞ اﳉﻤﺎﻋﻲ ﻣﻦ ﺧﻼل ﺗﻘﺴﻴﻢ اﻟﻄﻼب إﱃ ﳎﻤﻮﻋﺎت ﻣﺘﻨﺎﻇﺮة.
ﳜﻄﻂ ﳌﺮﺣﻠﺔ اﻻﺳﺘﻜﺸﺎف واﻻﺑﺘﻜﺎر ﺑﺎﺧﺘﻴﺎر ﻋﺪد ﻣﻦ اﳋﱪات اﳊﺴﻴﺔ اﳌﺘﺒﺎﻳﻨﺔ ﻣﻦ ﺣﻴﺚ اﻟﺸﻜﻞ واﳌﺮﺗﺒﻄﺔ ﺑﺎﶈﺘﻮى اﻟﺘﻌﻠﻴﻤﻲ،
وإﻋﻄﺎء اﻟﻄﻼب اﻟﻮﻗﺖ اﳌﻨﺎﺳﺐ ﻟﻠﻘﻴﺎم ﺑﺄﻧﺸﻄﺔ ﻫﺬﻩ اﳌﺮﺣﻠﺔ وﲢﻘﻴﻖ اﻷﻫﺪاف ﻣﻨﻬﺎ.
ﳜﻄﻂ ﳌﺮﺣﻠﺔ اﻗﱰاح اﻟﺘﻔﺴﲑات واﳊﻠﻮل ﻣﻦ ﺧﻼل ﺟﻠﺴﺎت اﳊﻮار ﺑﲔ اﳌﻌﻠﻢ واﻟﻄﻼب.
ﳜﻄﻂ ﳌﺮﺣﻠﺔ اﲣﺎذ اﻹﺟﺮاء ﺑﺘﻮﺟﻴﻪ ﻃﻼﺑﻪ ﱃ ﺗﻄﺒﻴﻖ ﻣﺎ ﺗﻌﻠﻤﻮﻩ ﻣﻦ ﺧﱪات ﰲ ﺣﻴﺎﻬﺗﻢ اﻟﻌﻤﻠﻴﺔ.
ﻳﺘﻘﺒﻞ أﺧﻄﺎء ﻃﻼﺑﻪ وﻻ ﻳﻌﻨﻔﻬﻢ ﻋﻠﻴﻬﺎ ،وﻳﻘﻮم ﺑﺘﻮﺟﻴﻪ اﻟﺘﻼﻣﻴﺬ إﱃ ﺗﺼﺤﻴﺢ اﻷﺧﻄﺎء ﲢﺖ ﺗﻮﺟﻴﻬﻪ وإرﺷﺎدﻩ.
201 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن ﻣﻦ أﻫﻢ اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﻟﱵ ﺗﻘﻮم ﻋﻠﻰ اﻟﺒﻨﺎﺋﻴﺔ ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ.
ﻟﻨﺠﺎح ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﲟﺎ ﻳﻨﻌﻜﺲ إﳚﺎﺑﺎً ﻋﻠﻰ اﳌﺘﻌﻠﻤﲔ؛ ﻓﺈن ﻫﻨﺎك ﳑﻴﺰات ﳍﺬا اﻟﻨﻤﻮذج ﻳﻨﺒﻐﻲ ﺗﻮﻇﻴﻔﻬﺎ ﺑﺸﻜﻞ ﺟﻴﺪ
ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﺣﻴﺚ ﻳﺘﻤﻴﺰ ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﺑﻌﺪة ﻣﻴﺰات ﻛﻤﺎ ﻳﻠﻲ:
ﳚﻌﻞ ﻣﻦ اﳌﺘﻌﻠﻢ ﻣﺮﻛﺰاً ﻟﻠﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﻓﻬﻮ ﻣﻄﺎﻟﺐ ﺑﺎﻟﺒﺤﺚ واﻟﺘﻘﺼﻲ ﻟﻜﻲ ﻳﺼﻞ إﱃ اﳌﻔﺎﻫﻴﻢ ﺑﻨﻔﺴﻪ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 202
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻳﺘﻴﺢ ﻓﺮﺻﺔ ﻟﻠﻤﺘﻌﻠﻤﲔ ﻟﻠﺘﻔﺎﻋﻞ اﻹﳚﺎﰊ ﻣﻊ ﻗﻀﺎﻳﺎ ﺸﻜﻼت اﺠﻤﻟﺘﻤﻊ اﻟﺬي ﻳﻌﻴﺶ ﻓﻴﻪ.
ﻳﺘﻴﺢ ﻓﺮﺻﺔ أﻣﺎم اﳌﺘﻌﻠﻤﲔ ﳌﻤﺎرﺳﺔ ﻋﻤﻠﻴﺎت اﻟﻌﻠﻢ اﳌﺨﺘﻠﻔﺔ ﻣﺜﻞ اﳌﻼﺣﻈﺔ واﻻﺳﺘﻨﺘﺎج وﻓﺮض اﻟﻔﺮوض واﺧﺘﺒﺎر ﺻﺤﺘﻬﺎ وﻏﲑﻫﺎ ﻣﻦ
ﻋﻤﻠﻴﺎت اﻟﻌﻠﻢ.
ﻳﺘﻢ اﻟﺘﻌﻠﻢ ﻣﻦ ﺧﻼﻟﻪ ﰲ ﺟﻮ دﳝﻘﺮاﻃﻲ ﻳﺘﻴﺢ اﻟﻔﺮﺻﺔ ﻟﻠﺘﻔﺎﻋﻞ اﻟﻨﺸﻂ ﺑﲔ اﻟﺘﻼﻣﻴﺬ وﺑﻌﻀﻬﻢ ﺑﻌﻀﺎً وﺑﲔ اﻟﺘﻼﻣﻴﺬ واﳌﻌﻠﻢ.
ﺑﻂ اﻟﻨﻤﻮذج ﺑﲔ اﻟﻌﻠﻢ واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ؛ ﳑﺎ ﻳﻮﺿﺢ اﻟﺮؤﻳﺔ أﻣﺎم اﳌﺘﻌﻠﻤﲔ إﱃ دور اﻟﻌﻠﻢ ﰲ ﺣﻞ ﻣﺸﻜﻼت اﺠﻤﻟﺘﻤﻊ.
ﻳﺘﻢ اﻟﻌﻤﻞ ﻣﻦ ﺧﻼﻟﻪ ﰲ ﳎﻤﻮﻋﺎت؛ ﳑﺎ ﻳﻨﻤﻲ روح اﻟﺘﻌﺎون واﻟﻌﻤﻞ اﻟﺘﻌﺎوﱐ اﻹﳚﺎﰊ.
ﻳﺘﻄﻠﺐ ﻣﻦ اﳌﺘﻌﻠﻤﲔ إﻋﻄﺎء أﻛﱪ ﻗﺪر ﻣﻦ اﳊﻠﻮل ﻟﻠﻤﺸﻜﻠﺔ اﻟﻮاﺣﺪة؛ ﳑﺎ ﳚﻌﻞ اﳌﺘﻌﻠﻤﻮن ﰲ ﺣﺎﻟﺔ ﺗﻔﻜﲑ ﻣﺴﺘﻤﺮ؛ ﳑﺎ ﻳﺆدي إﱃ ﺗﻨﻤﻴﺔ
اﻟﺘﻔﻜﲑ ﺑﺄﻧﻮاﻋﻪ ﻟﺪى اﳌﺘﻌﻠﻤﻮن.
ﻳﺘﻴﺢ اﻟﻔﺮﺻﺔ أﻣﺎم اﳌﺘﻌﻠﻤﲔ ﻟﺘﺼﺤﻴﺢ اﳌﻔﺎﻫﻴﻢ اﳋﺎﻃﺌﺔ اﻟﱵ ﻗﺪ ﻳﺼﻠﻮن إﻟﻴﻬﺎ ﻣﻦ ﺧﻼل ﺟﻠﺴﺎت اﳊﻮار.
ﺗﺘﻮﻓﺮ ﻣﻦ ﺧﻼﻟﻪ اﻷﺳﺌﻠﺔ اﻟﱵ ﲢﻔﺰ اﳌﺘﻌﻠﻤﲔ ﻟﻠﺮﺟﻮع إﱃ اﳌﺼﺎدر اﳌﺘﻨﻮﻋﺔ ﻟﻠﻤﻌﻠﻮﻣﺎت ﻟﻠﻮﺻﻮل إﱃ ﺗﺪﻋﻴﻢ ﻟﻠﺘﻔﺴﲑات اﻟﱵ ﺗﻮﺻﻠﻮا إﻟﻴﻬﺎ
ﻟﻈﺎﻫﺮة أو ﻣﺸﻜﻠﺔ ﻣﻌﻴﻨﺔ.
ﻳﻘﺘﺼﺮ دور اﳌﻌﻠﻢ ﻋﻠﻰ ﺗﻨﻈﻴﻢ ﺑﻴﺌﺔ اﻟﺘﻌﻠﻢ واﻟﺘﻮﺟﻴﻪ واﻹرﺷﺎد وﻣﻨﺴﻖ ﳉﻠﺴﺎت اﳊﻮار.
ﻳﺰود ﻫﺬا اﻟﻨﻤﻮذج اﻟﺘﻼﻣﻴﺬ ﺑﻮﺳﺎﺋﻞ اﻟﺘﻘﻮﱘ اﳌﺨﺘﻠﻔﺔ ﻣﻦ ﺧﻼل ﻣﺮﺣﻠﺔ اﻟﺘﻘﻮﱘ ،وذﻟﻚ ﺑﺎﺳﺘﺨﺪام اﺧﺘﺒﺎرات ﻣﻘﻨﻨﺔ.
ﻳﺴﻤﺢ ﻫﺬا اﻟﻨﻤﻮذج ﻻﺳﺘﺨﺪام اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻨﺎﺷﻂ واﻟﺘﺠﺎرب وﻋﺮض اﻷﻓﻼم اﻟﺘﻌﻠﻴﻤﻴﺔ واﺳﺘﺨﺪام اﻟﻮﺳﺎﺋﻞ اﳌﺨﺘﻠﻔﺔ اﻟﱵ ﺗﺴﺎﻋﺪ ﰲ
ﺗﻌﻠﻢ اﻟﺘﻼﻣﻴﺬ.
ﻳﻌﺘﱪ ﻫﺬا اﻟﻨﻤﻮذج ﺷﺎﻣﻼً ﻟﻠﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﻣﻦ ﺧﻼل ﺑﻨﺎء اﻟﺘﻼﻣﻴﺬ ﻟﻠﻤﻌﺮﻓﺔ ﺑﺄﻧﻔﺴﻬﻢ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﻣﺮاﺣﻠﻪ اﳌﺨﺘﻠﻔﺔ.
إن أﺷﻜﺎل وﺻﻴﻎ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﻣﺘﻌﺪدة ،ﺣﻴﺚ ﺗﺘﻀﻤﻦ :اﻟﻔﱰات اﻟﺘﺪرﻳﺒﻴﺔ ) ،(Internshipsاﻷﻟﻌﺎب ) ،(Gamesاﻟﺘﻮﻇﻴﻒ اﳌﻴﺪاﱐ
) ،(Field Placementsاﳌﻬﻤﺎت ) ،(Assignmentsﲤﺜﻴﻞ اﻷدوار ) ،(Role Playingاﻟﺘﻌﺎون ﰲ ﺣﻞ اﳌﺴﺎﺋﻞ ) Cooperative
،(Problem Solvingاﻟﺘﻌﻠﻢ اﳌﺮﺗﻜﺰ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ ) ،(Project-based Learningﺗﻌﻠﻢ اﳋﺪﻣﺎت )،(Service Learning
اﻟﺪراﺳﺎت اﳌﺨﱪﻳﺔ ) ،(Laboratory Studiesاﳌﺸﺎرﻳﻊ اﳌﻴﺪاﻧﻴﺔ ) ،(Field Projectsاﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ )،(Experiential Learning
وأﺷﻜﺎل أﺧﺮى ﻛﺜﲑة ﳝﻜﻦ أن ﺗﺼﻨﻒ ﺿﻤﻦ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ.
إﱃ اﳌﺰﻳﺪ ﻣﻦ اﻷﲝﺎث اﻻﺧﺘﺒﺎرﻳﺔ ﻋﻠﻰ ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ اﻟﱵ ﲡﺬب اﻟﻄﻼب ﺧﻼل اﻟﻌﻤﻠﻴﺔ ][548
ﻳﺪﻋﻮ اﻟﺒﺎﺣﺚ Richardson
اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﺣﻴﺚ أن ﻣﺜﻞ ﻫﺬﻩ اﻷﲝﺎث ﺳﻮف ﺗﺴﺎﻋﺪ ﻋﻠﻰ ﺻﻮغ ﻧﻈﺮﻳﺔ ﺗﻘﺪم ﺑﺪورﻫﺎ ﻓﻬﻤﺎً ووﺻﻔﺎً ﻟﻠﺘﺪرﻳﺒﺎت اﻟﻔﻌﺎﻟﺔ ﰲ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ.
اﻟﻘﺴﻢ اﻟﺘﺎﱄ ﻳﻘﺪم اﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻤﻲ اﻟﺒﻨﺎﺋﻲ اﻟﺘﺠﺮﻳﱯ اﻟﺬي ﻫﻮ ﻣﻦ أﺷﻬﺮ وأﻗﻮى اﻟﻨﻤﺎذج اﳌﺬﻛﻮرة.
203 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﻣﻨﺸﺄ اﻟﻌﻠﻮم اﳌﺘﻘﺪﻣﺔ ،ذﻟﻚ أن اﻻﺧﱰاﻋﺎت واﻻﻛﺘﺸﺎﻓﺎت اﻟﺒﺸﺮﻳﺔ اﻷوﱃ ﻛﺎﻧﺖ ﺣﺼﻴﻠﺔ اﻟﺘﺠﺎرب أﻛﺜﺮ ﻣﻦ ﻛﻮ�ﺎ ﻧﺎﲡﺔ ﻋﻦ ﻧﻈﺮﻳﺎت .وﻣﻊ
ﻋﻠﻰ دور اﻟﺘﺠﺎرب ][556
ذﻟﻚ ﱂ ﻳﻌﺘﱪ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﻛﻤﻨﻬﺠﻴﺔ ﺑﻴﺪاﻏﻮﺟﻴﺔ )ﺗﺮﺑﻮﻳﺔ( ﺑﻨﺎﺋﻴﺔ ﺣﱴ اﻟﻘﺮن اﻟﻌﺸﺮﻳﻦ] ،[545ﺣﻴﺚ أﻛﺪ اﻟﺒﺎﺣﺚ
اﳊﻘﻴﻘﻴﺔ واﻟﻮاﻗﻌﻴﺔ ﰲ اﻟﺘﻌﻠﻴﻢ ﺧﻼل اﻟﻌﺸﺮﻳﻨﻴﺎت واﻟﺜﻼﺛﻴﻨﻴﺎت ﻣﻦ اﻟﻘﺮن اﳌﺎﺿﻲ ،وﻻﺣﻘﺎً ﺧﻼل ﻓﱰﰐ اﻟﺴﺘﻴﻨﺎت واﻟﺴﺒﻌﻴﻨﺎت ازدﻫﺮت ﻧﻈﺮﻳﺔ
اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﻛﻨﺘﻴﺠﺔ ﻟﺘﻀﺎﻓﺮ ﺟﻬﻮد اﻟﻌﺪﻳﺪ ﻣﻦ ﻋﻠﻤﺎء اﻟﻨﻔﺲ وﻋﻠﻤﺎء اﻻﺟﺘﻤﺎع واﻟﱰﺑﻮﻳﲔ واﻟﺬﻳﻦ ﻣﻦ أﳘﻬﻢ]Piaget, Hahn, :[545
إن اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺑﺄﺑﺴﻂ ﺗﻌﺎرﻳﻔﻪ ﳝﻜﻦ أن ﻳﻔﻬﻢ ﻋﻠﻰ أﻧﻪ ﲢﺼﻴﻞ اﳌﻌﺮﻓﺔ ﻣﻦ ﺧﻼل اﻟﺘﺠﺮﺑﺔ ،وﻣﺼﻄﻠﺢ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﻣﺼﻄﻠﺢ ﻋﺎم ﳝﻜﻦ
أن ﻳﻨﺪرج ﲢﺘﻪ ﻃﻴﻒ واﺳﻊ ﻣﻦ اﻟﻨﺸﺎﻃﺎت ،وﻗﺪ ﻗﺪﻣﺖ ﺧﻼل اﻟﻌﻘﻮد اﻷرﺑﻌﺔ اﳌﺎﺿﻴﺔ اﻟﻌﺪﻳﺪ ﻣﻦ ﳕﺎذج اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ) Experiential
(Learning Modelsواﻟﱵ ﺗﻨﻘﺴﻢ ﻓﻴﻬﺎ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ إﱃ ﻣﺮاﺣﻞ ﻣﺘﻤﺎﻳﺰة] ،[545,559,560وﻧﻘﺪم ﰲ ﻣﺎ ﻳﻠﻲ ﶈﺔ ﻣﻮﺟﺰة ﻋﻦ ﳕﺎذج اﻟﺘﻌﻠﻢ
اﻟﺘﺠﺮﻳﱯ.
ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ اﳌﻜﻮن ﻣﻦ ﻣﺮﺣﻠﺘﲔ واﻟﺬي ﻳﺆﻛﺪ ﻋﻠﻰ اﻟﺘﻔﺎﻋﻞ ﺑﲔ اﻟﺘﺠﺮﺑﺔ ) (Experienceواﻧﻌﻜﺎﺳﻬﺎ
).[559](Reflection
ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺛﻼﺛﻲ اﳌﺮاﺣﻞ :اﻟﺘﺠﺮﺑﺔ ،اﻧﻌﻜﺎﺳﻬﺎ ،اﻟﺘﺨﻄﻴﻂ اﳌﺴﺘﻘﺒﻠﻲ ﰲ ﺿﻮء ﻧﺘﺎﺋﺞ اﻟﺘﺠﺮﺑﺔ ،واﻟﺬي ﻳﺸﲑ إﱃ أن
اﻟﺘﺨﻄﻴﻂ اﳌﺴﺘﻘﺒﻠﻲ ﻟﻠﺘﺠﺎرب ﺳﻮف ﻳﺴﺎﻋﺪ ﰲ زﻳﺎدة ﻧﺎﺗﺞ وﺣﺼﻴﻠﺔ اﻟﺘﻌﻠﻢ].[566
ﺑﲎ ﻋﻠﻰ ﻧﻈﺮﻳﺔ اﻟﻌﺎﱂ Kolbﰲ ﻛﺘﺎﺑﻪ ﻋﻦ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،واﻟﺬي اﻗﺘُﺒﺲ ﻋﻨﻪ ﰲ اﻷوراق اﻟﺒﺤﺜﻴﺔ ﻣﺎ ﻳﺰﻳﺪ ﻋﻦ 6000ﻣﺮة
][545
Deweyﰲ اﻟﺘﻌﻠﻴﻢ] [556ودراﺳﺎت Lewinﰲ ﻋﻠﻢ اﻟﻨﻔﺲ اﻻﺟﺘﻤﺎﻋﻲ] [567ﻟﻴﻄﻮر ﳕﻮذﺟﺎً ﻟﻠﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺑﺄرﺑﻌﺔ ﻣﺮاﺣﻞ ﻫﻲ:
اﳋﱪات اﳌﻠﻤﻮﺳﺔ ) ،(Concrete Experienceاﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ ) ،(Reflective Observationﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة
) ،(Abstract Conceptualizationاﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ).(Active Experimentation
Pfeiffer and Jonsﻗﺪﻣﺎ ﳕﻮذﺟﺎً ﻟﻠﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﲞﻤﺴﺔ ﻣﺮاﺣﻞ ﻫﻲ] :[568اﻟﺘﺠﺮﻳﺐ ) ،(Experiencingاﻟﻨﺸﺮ
Joplin اﻗﱰح ﳕﻮذﺟﺎً ﻟﻠﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﲞﻤﺴﺔ ﻣﺮاﺣﻞ ﻣﺘﻀﻤﻨﺎً اﻟﻨﻮاﺣﻲ اﻟﺘﺎﻟﻴﺔ] :[569اﻟﱰﻛﻴﺰ ) ،(Focusاﻹﺟﺮاء )،(Action
اﻟﺪﻋﻢ ) ،(Supportاﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ) ،(Feedbackاﺳﺘﺨﻼص اﳌﻌﻠﻮﻣﺎت ).(Debriefing
ﻗﺪم اﻟﺒﺎﺣﺜﺎن Priest & Grassﳕﻮذﺟﺎً ﻟﻠﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺑﺴﺘﺔ ﻣﺮاﺣﻞ ﻫﻲ] :[560اﻻﺧﺘﺒﺎر ) ،(Experienceاﺳﺘﻘﺮاء اﻟﻨﺘﺎﺋﺞ
) ،(Induceاﻟﺘﻌﻤﻴﻢ ) ،(Generalizeاﻟﺘﻄﺒﻴﻖ ) ،(Applyاﻟﺘﻘﻴﻴﻢ ).(Evaluate
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 204
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻋﺮف Kolbاﻟﺘﻌﻠﻢ ﻋﻠﻰ أﻧﻪ "اﻟﻌﻤﻠﻴﺔ اﻟﱵ ﻳﺘﻢ ﻣﻦ ﺧﻼﳍﺎ ﺗﻜﻮﻳﻦ اﳌﻌﺮﻓﺔ ﻣﻦ ﺧﻼل ﺗﻨﺎﻗﻞ اﳋﱪات"] ،[545واﻋﺘﻤﺪ ﻋﻠﻰ أﲝﺎث ﻛﻞ ﻣﻦ
)أﳘﻴﺔ ﻧﺸﺎط اﻟﺸﺨﺺ أﺛﻨﺎء ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ()[549]Piaget ،اﻟﺬﻛﺎء ][567
)[556]Deweyﺿﺮورة ﺑﻨﺎء اﻟﺘﻌﻠﻢ ﻋﻠﻰ أﺳﺎس اﻟﺘﺠﺮﺑﺔ(Lewin ،
ﻫﻮ ﻧﺘﻴﺠﺔ اﻟﺘﻔﺎﻋﻞ ﺑﲔ اﻟﺸﺨﺺ واﻟﺒﻴﺌﺔ( ،واﻗﱰح أن اﻟﺘﻌﻠﻢ اﻟﻔﻌﺎل ﳚﺐ أن ﳝﺮ ﺑﺄرﺑﻌﺔ أﻃﻮار:
205 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﻃﺒﻘﺎً ﻟﻨﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،ﻓﺎﻟﺘﻌﻠﻢ اﻷﻣﺜﻞ ﳛﺪث ﻋﻨﺪﻣﺎ ﻳﺘﻮﻓﺮ ﻟﻠﻤﺘﻌﻠﻢ ﺗﻮزان ﻣﻼﺋﻢ ﻟﻤﺮاﺣﻞ اﻟﺴﺎﺑﻘﺔ ،وﻬﺑﺬﻩ اﳌﺮاﺣﻞ ﺗﺘﻢ ﻋﻤﻠﻴﺔ
ﺗﻜﻮﻳﻦ اﳌﻌﺮﻓﺔ ﻣﻦ ﺧﻼل ﻧﻘﻞ اﳋﱪات ،وﻫﺬا ﻳﺘﻄﻠﺐ ﻣﻦ اﻷﻓﺮاد أوﻻً اﻛﺘﺸﺎف أو ﺗﺼﻮر أو إدراك اﳌﻌﺮﻓﺔ ،وﺑﻌﺪﺋﺬ ﻳﻨﺒﻐﻲ ﺣﺪوث ﻣﺮﺣﻠﺔ اﻟﺒﻨﺎء
ﻹﻛﻤﺎل ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ .ﺗﺪﻋﻰ ﻋﻤﻠﻴﺔ دﻣﺞ اﳌﺮاﺣﻞ اﻷرﺑﻌﺔ اﻟﺴﺎﺑﻘﺔ ﺑﺪورة Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ) Kolb’s Experiential Learning
ﺗﻌﺘﻤﺪ ﻧﻈﺮﻳﺔ ﻛﻮﻟﺐ ذات اﻷﻃﻮار اﻷرﺑﻌﺔ ﳕﻮذﺟﺎً ﺑﺒﻌﺪﻳﻦ :ﺑﻌﺪ إدراك اﳌﻌﺮﻓﺔ ،وﺑﻌﺪ ﻧﻘﻞ اﳌﻌﺮﻓﺔ.
اﶈﻮر اﻟﻌﻤﻮدي ﳝﺜﻞ ﺑﻌﺪ إدراك اﳌﻌﺮﻓﺔ ) – (Knowledge-graspingﻛﻴﻒ ﳓﺲ ) (Fellingوﻧﻔﻜﺮ ) ،(Thinkingواﻟﺬي ﻣﻦ ﺧﻼﻟﻪ
ﳝﻜﻦ ﲢﺼﻴﻞ اﳌﻌﺮﻓﺔ:
إﻣﺎ ﻋﱪ اﻹدراك ) :(Apprehensionوﻫﻮ اﳊﺪ اﻷﻗﺼﻰ ﻟﻠﺘﺠﺮﺑﺔ اﳊﺴﻴﺔ ،أي أ�ﺎ ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻷﺣﻜﺎم اﻟﺼﺎدرة ﻋﻦ اﻟﺸﻌﻮر،
ﻓﻘﺪ وﺟﺪ اﳌﺘﻌﻠﻤﻮن ﻋﻤﻮﻣﺎً أن اﻟﻄﺮق اﻟﻨﻈﺮﻳﺔ ﻏﲑ ﳎﺪﻳﺔ ،وﻟﺬﻟﻚ ﻓﻬﻢ ﻳﻔﻀﻠﻮن ﻣﻌﺎﳉﺔ ﻛﻞ ﺣﺎﻟﺔ ﻋﻠﻰ اﻧﻔﺮاد ،وﻳﺘﻌﻠﻤﻮن ﺑﺸﻜﻞ
أﻓﻀﻞ ﻣﻦ ﺧﻼل أﻣﺜﻠﺔ ﻣﻌﻴﻨﺔ ،وذﻟﻚ ﻋﻦ ﻃﺮﻳﻖ اﻻﺗﺼﺎل ﻣﻊ اﻟﻨﻈﺎﺋﺮ وﻟﻴﺲ ﻋﻦ ﻃﺮﻳﻖ اﳌﺮاﺟﻊ ،ﻓﺎﻟﻘﺮاءات اﻟﻨﻈﺮﻳﺔ ﻟﻴﺴﺖ ﳎﺪﻳﺔ
داﺋﻤﺎً ،ﺑﻴﻨﻤﺎ اﻟﻌﻤﻣﻊ اﺠﻤﻟﻤﻮﻋﺔ واﻟﺘﻐﺬﻳﺔ اﻻﺳﱰﺟﺎﻋﻴﺔ ﻣﻦ اﻟﻨﻈﲑ ﺗﺆدي ﻏﺎﻟﺒﺎً إﱃ اﻟﻨﺠﺎح.
أو ﻋﱪ اﻟﻔﻬﻢ اﻟﺸﻤﻮﱄ ) :(Comprehensionﻮ اﳊﺪ اﻷﻗﺼﻰ ﻟﻠﻤﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة وﻛﻴﻒ أ�ﺎ ﺗﺘﻨﺎﺳﺐ ﻣﻊ ﲡﺎرﺑﻨﺎ اﳋﺎﺻﺔ،
وﳝﻴﻞ ﻫﺆﻻء اﻷﻓﺮاد ﻛﺜﲑاً ﻟﻠﺘﻜﻴﻒ ﻣﻊ اﻷﺷﻴﺎء واﻟﺮﻣﻮز ﰲ ﺣﲔ أن ﻟﺪﻳﻬﻢ َﻣﻴﻼً ﺿﻌﻴﻔﺎً ﳓﻮ اﻟﺘﻜﻴﻒ ﻣﻊ أﺷﺨﺎص آﺧﺮﻳﻦ ،ﻓﻬﻢ
ﻳﺘﻌﻠﻤﻮن ﺑﺸﻜﻞ أﻓﻀﻞ ﻣﻦ ﺧﻼل اﳌﺮاﺟﻊ واﳊﺎﻻت اﻟﺘﻌﻠﻴﻤﻴﺔ ﻏﲑ اﻟﺸﺨﺼﻴﺔ واﻟﱵ ﺗﺆﻛﺪ ﻋﻠﻰ اﻟﻨﻈﺮﻳﺔ واﻟﺘﺤﻠﻴﻞ اﻟﺘﻨﻈﻴﻤﻲ ،ﻛﻤﺎ
أ�ﻢ ﻗﻠﻴﻠﻮ اﻻﺳﺘﻔﺎدة ﻣﻦ ﻃﺮق اﻟﺘﻌﻠﻢ ﺑﺎﻻﻛﺘﺸﺎف -أي اﳌﻤﺎرﺳﺔ اﻟﻌﻤﻠﻴﺔ ﰒ اﻟﻨﻈﺮﻳﺔ -ﻏﲑ اﳌﻨﻈﻤﺔ ﻛﺎﻟﺘﻤﺎرﻳﻦ ،وﺗﺴﺎﻋﺪ ﻛﻞ ﻣﻦ
دراﺳﺎت اﳊﺎﻟﺔ واﻟﻘﺮاءات اﻟﻨﻈﺮﻳﺔ وﲤﺎرﻳﻦ اﻟﺘﻔﻜﲑ اﻻﻧﻌﻜﺎﺳﻲ ﻫﺬا اﻟﻨﻮع ﻣﻦ اﳌﺘﻌﻠﻤﲔ.
اﶈﻮر اﻷﻓﻘﻲ ﳝﺜﻞ ﳏﻮر ﻧﻘﻞ أو ﺑﻨﺎء اﳌﻌﺮﻓﺔ ) – (Knowledge Transformation/Constructionﻛﻴﻒ ﻧﺘﺄﻣﻞ )(Watching
وﻛﻴﻒ ﻧﻔﻌﻞ ) ،(Doingواﻟﺬي ﻣﻦ ﺧﻼﻟﻪ ﻳﺘﻢ ﻧﻘﻞ أو ﺑﻨﺎء اﳌﻌﺮﻓﺔ:
إﻣﺎ ﻣﻦ ﺧﻼل اﻟﻌﺰم ) :(Intentionوﻫﻮ اﳊﺪ اﻷﻗﺼﻰ ﻟﻠﻤﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ وﻛﻴﻒ ﺳﺘﺆﺛﺮ ﻋﻠﻰ ﺑﻌﺾ ﻣﻈﺎﻫﺮ ﺣﻴﺎﺗﻨﺎ ،وﻳﻌﺘﻤﺪ
ﻫﺆﻻء اﻷﻓﺮاد ﻛﺜﲑاً ﻋﻠﻰ اﳌﻼﺣﻈﺔ أﺛﻨﺎء إﺻﺪار اﻷﺣﻜﺎم ،وﻫﻢ ﻳﻔﻀﻠﻮن اﳊﺎﻻت اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﱵ ﺗﺄﺧﺬ ﺷﻜﻞ اﶈﺎﺿﺮات ،واﻟﱵ
ﺗﺴﻤﺢ ﻟﻠﻤﺮاﻗﺒﲔ اﳌﻮﺿﻮﻋﻴﲔ وﻏﲑ اﳌﺘﺤﻴﺰﻳﻦ ﺑﺄن ﻳﺄﺧﺬوا أدوارﻫﻢ ،وﻳﺘﺼﻒ ﻫﺆﻻء اﻷﻓﺮاد ﺑﺄ�ﻢ اﻧﻄﻮاﺋﻴﻮن ،ﻟﺬا ﻓﺈن اﶈﺎﺿﺮات
ﺗﺴﺎﻋﺪ ﻫﺆﻻء اﳌﺘﻌﻠﻤﲔ )ﻓﻬﻢ ﺑﺼﺮﻳﻮن وﲰﻌﻴﻮن( ،وﳛﺘﺎج ﻫﺆﻻء اﳌﺘﻌﻠﻤﲔ ﻟﺘﻘﻴﻴﻢ أداﺋﻬﻢ وﻓﻘﺎً ﳌﻌﺎﻳﲑ ﺧﺎرﺟﻴﺔ.
أو ﻣﻦ ﺧﻼل اﻟﺘﻮﺳﻊ ) :(Extensionوﻫﻮ اﻻﺧﺘﺒﺎر اﻟﻔﻌﺎل ﰲ ﺣﺎﻟﺔ ﺟﺪﻳﺪة وﻛﻴﻒ ﺗﻘﺪم ﻟﻨﺎ ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت ﻃﺮﻗﺎً ﺟﺪﻳﺪة ﻟﻠﻌﻤﻞ
ﺑﺎ ،وﻳﺘﻌﻠﻢ ﻫﺆﻻء اﻷﻓﺮاد ﺑﺸﻜﻞ أﻓﻀﻞ ﻋﻨﺪ ﲤﻜﻨﻬﻢ ﻣﻦ اﻻﻧﺸﻐﺎل ﺑﺄﺷﻴﺎء ﻛﺎﳌﺸﺎرﻳﻊ أو اﳌﻨﺎﻗﺸﺎت ﰲ ﳎﻤﻮﻋﺔ ،ﻓﻬﻢ ﻳﻜﺮﻫﻮن
اﳊﺎﻻت اﻟﺘﻌﻠﻴﻤﻴﺔ اﳋﺎﻣﻠﺔ ﻛﺎﶈﺎﺿﺮات ،ﺣﻴﺚ ﳝﻴﻞ ﻫﺆﻻء اﻷﺷﺨﺎص ﻟﻴﻜﻮﻧﻮا ﻣﺘﺸﻮﻗﲔ ،ﻓﻬﻢ ﻳﺮﻏﺒﻮن ﺑﺘﺠﺮﻳﺐ ﻛﻞ ﺷﻲء،
وﻳﺴﺎﻋﺪ ﻫﺆﻻء اﳌﺘﻌﻠﻤﲔ ﻛﻞ ﻣﻦ ﺣﻞ اﳌﺸﻜﻠﺔ واﳌﻨﺎﻗﺸﺎت ﺿﻤﻦ ﳎﻤﻮﻋﺔ ﺻﻐﲑة واﻟﺘﻐﺬﻳﺔ اﻻﺳﱰﺟﺎﻋﻴﺔ ﻣﻦ اﻟﻨﻈﲑ واﻟﻮاﺟﺒﺎت
اﻟﺸﺨﺼﻴﺔ ،وﻳﺮﻏﺐ ﻫﺬا اﳌﺘﻌﻠﻢ ﺑﺮؤﻳﺔ ﻛﻞ ﺷﻲء وﲢﺪﻳﺪ ﻣﻌﺎﻳﲑﻩ اﳋﺎﺻﺔ ﺣﻮل اﻟﻌﻼﻗﺔ ﺑﺎﳌﻮﺿﻮع.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 206
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
إن ﻓﺮﺿﻴﺔ Kolbﺣﻮل اﻟﻄﺒﻴﻌﺔ ﺛﻨﺎﺋﻴﺔ اﻟﺒﻌﺪ ﻟﻌﻤﻠﻴﺔ ﺑﻨﺎء اﳌﻌﺮﻓﺔ ﺑﻨﻴﺖ اﻋﺘﻤﺎداً ﻋﻠﻰ اﻷدﻟﺔ اﳌﺘﻘﺎرﺑﺔ ﻣﻦ اﻟﻔﻠﺴﻔﺔ ) (Philosophyوﻋﻠﻢ
اﻟﻨﻔﺲ -اﻟﺴﻴﻜﻮﻟﻮﺟﻴﺎ ) (Psychologyوﻋﻠﻢ اﻟﻮﻇﺎﺋﻒ -اﻟﻔﺴﻴﻮﻟﻮﺟﻴﺎ ) ،[545](Physiologyوﻗﺒﻞ ﻫﺬﻩ اﻟﻔﺮﺿﻴﺔ ﱂ ﺗﺴﺘﻄﻊ اﻷﲝﺎث
اﻟﺘﻔﺮﻳﻖ ﺑﲔ ﻋﻤﻠﻴﺔ اﻛﺘﺴﺎب اﳌﻌﺮﻓﺔ وﻋﻤﻠﻴﺔ ﻧﻘﻞ اﳌﻌﺮﻓﺔ ،وإﳕﺎ دﳎﺘﻬﻤﺎ ﰲ ﳏﻮر واﺣﺪ.
ﻟﻘﺪ ﻣﻴﺰ Kolbﺑﲔ اﻹدراك ) (Apprehensionواﻟﻔﻬﻢ اﻟﺸﻤﻮﱄ ) (Comprehensionﻛﻨﻤﻮذﺟﲔ ﻣﺴﺘﻘﻠﲔ ﻟﺘﺤﺼﻴﻞ اﳌﻌﺮﻓﺔ ،وﺑﲔ
اﻟﻌﺰم ) (Intentionواﻟﺘﻮﺳﻊ ) (Extensionﻛﻨﻤﻮذﺟﲔ ﻣﺴﺘﻘﻠﲔ ﻟﻨﻘﻞ اﳌﻌﺮﻓﺔ ،ﺑﻞ إﻧﻪ ﺻﺮح ﺑﺄن ﻟﻠﻤﺮاﺣﻞ اﻷرﺑﻌﺔ اﻟﺴﺎﺑﻘﺔ ﻧﻔﺲ اﻟﺪور
اﳍﺎم ﺟﺪاً ﰲ اﳌﺴﺎﳘﺔ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،وﻫﺬا اﻟﺘﺼﺮﻳﺢ ﻳﻌﺎرض ﻣﺒﺪأ Piagetاﻟﺬي ﻳﻌﺘﱪ اﻟﻔﻬﻢ اﻟﺸﻤﻮﱄ واﻟﻌﺰم ﻋﻠﻰ أ�ﻤﺎ ﻋﺎﻣﻼن أﺳﺎﺳﻴﺎن
واﻟﺒﺎﻗﻲ ﻋﻮاﻣﻞ داﻋﻤﺔ].[549
ﺑﺎﻟﻨﻈﺮ إﱃ ﻃﺮق اﻟﺘﻌﻠﻴﻢ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﺴﺎﺋﺪة ﰲ اﻟﺘﻌﻠﻴﻢ اﻟﻌﺎﱄ ،ﳝﻜﻦ أن ﻧﺮى ﺑﻮﺿﻮح أن ﳕﻮذج Piagetاﳋﺎص ﺑﺎﻟﻔﻬﻢ اﻟﺸﻤﻮﱄ-اﻟﻌﺰم
) (Comprehension-Intentionﻫﻮ اﻷﻛﺜﺮ ﺷﻴﻮﻋﺎً ،إذ أن ﻃﺮاﺋﻖ اﻟﺘﻌﻠﻴﻢ اﻟﺘﻘﻠﻴﺪﻳﺔ ﻻ ﺗﺰال ﺗﺮﻛﺰ ﻋﻠﻰ ﺗﻠﻘﲔ اﻟﻌﻠﻢ اﻟﻨﻈﺮي ﺿﻤﻦ
اﻟﺼﻔﻮف ﺑﺎﻟﺸﻜﻞ اﻟﺘﻘﻠﻴﺪي وﺗﺮﻛﺰ أﻳﻀﺎً ﻋﻠﻰ ﻧﺘﺎﺋﺞ ﻫﺬا اﻟﺘﻠﻘﲔ ﻣﻦ ﺧﻼل اﻻﻣﺘﺤﺎﻧﺎت اﻟﻜﺘﺎﺑﻴﺔ.
وﻋﻠﻰ اﻟﻌﻜﺲ ﻣﻦ ذﻟﻚ ،ﺗﺆﻛﺪ ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺑﺸﺪة ﻋﻠﻰ ﲣﺼﻴﺺ أوﻗﺎت ﻣﺘﻮازﻧﺔ ﺿﻤﻦ اﻟﺼﻒ ﻟﻜﻞ ﻃﻮر ﻣﻦ أﻃﻮار
ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ :اﻹدراك ) ،(Apprehensionاﻟﻔﻬﻢ اﻟﺸﻤﻮﱄ ) ،(Comprehensionاﻟﻌﺰم واﻟﺘﺼﻤﻴﻢ ) ،(Intentionاﻟﺘﻮﺳﻊ
).(Extension
إن ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺗﻘﺪم إﻃﺎراً ﻧﻈﺮﻳﺎً ﳝﻜﻦ اﺳﺘﺨﺪاﻣﻪ ﻟﺘﺼﻤﻴﻢ اﺳﱰاﺗﻴﺠﻴﺎت ﺗﻌﻠﻢ وﺗﻌﻠﻴﻢ ﺗﺘﻼءم ﺑﺎﻟﺸﻜﻞ اﻷﻣﺜﻞ ﻣﻊ
ﻋﻤﻠﻴﺎت ﲢﺼﻴﻞ وﺗﻜﻮﻳﻦ اﳌﻌﺮﻓﺔ ﰲ اﻟﻌﻘﻞ اﻟﺒﺸﺮي – أي أن اﳌﺰج ﺑﲔ اﻟﻌﻨﺎﺻﺮ اﳌﺬﻛﻮرة ﺳﺎﺑﻘﺎً وإدﺧﺎﳍﺎ إﱃ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻳﻌﻄﻴﻨﺎ
ﻣﺴﺘﻮﻳﺎت أﻓﻀﻞ ﻟﻠﺘﻌﻠﻢ.
ﺑﻨﺎءً ﻋﻠﻴﻪ ،ﺳﻨﺴﺘﺨﺪم ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﻛﻨﻤﻮذج ﺗﻌﻠﻴﻤﻲ ﻳﺸﺮح ﺿﻌﻒ اﻟﻨﺘﺎﺋﺞ اﻟﱵ ﻳﻘﺪﻣﻬﺎ اﻟﺘﻌﻠﻴﻢ اﻟﻘﺎﺋﻢ ﺣﺎﻟﻴﺎً ،وﻧﺴﺘﻔﻴﺪ ﻣﻨﻪ
أﻳﻀﺎً ﰲ ﺗﻄﻮﻳﺮ ﳕﻮذج ﺗﻌﻠﻴﻤﻲ ﺟﺪﻳﺪ وﻣﺒﺘﻜﺮ ﻻﺣﻘﺎً ﰲ ﻫﺬا اﻟﻔﺼﻞ.
ﻳﻠﺨﺺ اﳌﻌﺮﻓﺔ اﳉﺪﻳﺪة وﻳﺮﺑﻂ ﺑﲔ اﳌﻔﺎﻫﻴﻢ اﻟﺴﺎﺑﻘﺔ واﳌﻔﺎﻫﻴﻢ ّ وﻣﻴﺴﺮ ،وﻳﺴﺎﻋﺪ اﻟﻄﺎﻟﺐ ﻋﻠﻰ رﺑﻂ ﻣﻼﺣﻈﺎﺗﻪ
وﻣﻮﺟﻪّ ،ﻣﺮﺷﺪّ ، ﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة
ّ
اﳉﺪﻳﺪة اﻟﱵ ﺗﻌﻠّﻤﻬﺎ ،وﳛﺎول ﺻﻴﺎﻏﺔ ﻣﺒﺪأ أو ﻧﻈﺮﻳﺔ. ﺑﻴﺎﻧﺎﺗﻪ ﺑﺎﳋﱪات اﻟﺴﺎﺑﻘﺔ اﻟﱵ ﻣﺮّ ﻬﺑﺎ.
ﺗﻮﺻﻞ
ﻳﻌﻤﻢ اﻟﻨﺘﺎﺋﺞ اﻟﱵ ّ
وﻳﻘﻮم أﻋﻤﺎل اﻟﻄﻼّب وﻧﺘﺎﺋﺠﻬﻢ ،ﻳﻄﺒّﻖ ﻣﺎ ﺗﻌﻠّﻤﻪ ﰲ ﻣﻮاﻗﻒ ﺟﺪﻳﺪة ،وﻣﻦ ﰒّ ّ
وﻣﻴﺴﺮّ ،
ّ وﻣﻮﺟﻪ،
ّ ﻣﺮﺷﺪ،
اﻟﺘﺠﺮﻳﺐ اﻟﻨﺸﻂ
إﻟﻴﻬﺎ. وﻳﺰودﻫﻢ ﺑﺎﻟﺘﻐﺬﻳﺔ اﻻﺳﱰﺟﺎﻋﻴﺔ ).(Feedback
ّ
207 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن اﻟﻄﺒﻴﻌﺔ اﻟﺪورﻳﺔ ﻟﻨﻤﻮذج Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،وﺗﺄﻛﻴﺪﻩ ﻋﻠﻰ ﻃﻮرﻳﻦ ﻣﻦ أﻃﻮار ﲢﻘﻴﻖ اﳋﱪة واﻟﻠﺬان ﳘﺎ :ﻃﻮر اﻟﺘﺠﺮﺑﺔ اﳌﻠﻤﻮﺳﺔ
” ،“CEوﻃﻮر اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ” ،“AEﺟﻌﻠﺖ ﻣﻨﻪ ﻣﻨﺎﺳﺒﺎً ﺑﺸﻜﻞ ﻛﺒﲑ ﻟﻠﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ] ،[571,572وﺑﺬﻟﻚ ﻳﺸﲑ [494]Wankatإﱃ أﻧﻪ
ﰲ اﳌﻘﺎﻻت اﳌﻨﺸﻮرة ﰲ ﳎﻼت أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ؛ ﻣﻦ اﻷﲝﺎث اﳍﺎﻣﺔ اﳌﺘﻌﻠﻘﺔ ﺑﺎﻟﺘﻌﻠﻴﻢ ][545
ﻛﺜﲑاً ﻣﺎ ﻳﺘﻢ اﻻﺳﺘﺸﻬﺎد ﺑﻨﻈﺮﻳﺔ Kolb
اﳍﻨﺪﺳﻲ واﻟﱵ أﺟﺮﻳﺖ ﻣﺆﺧﺮاً واﺳﺘﺨﺪﻣﺖ ﻧﻈﺮﻳﺔ Kolbﳝﻜﻦ أن ﳒﺪ اﻷﲝﺎث] ،[572-578وﰲ ﻣﻌﻈﻢ اﳊﺎﻻت ﻛﺎﻧﺖ ﻧﺘﺎﺋﺞ اﻟﺒﺤﺚ إﳚﺎﺑﻴﺔ.
وأوﺟﺪ [574]Kamis & Topiﻃﺮﻳﻘﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻧﻈﺮﻳﺔ Kolbﰲ ﺣﻞ اﳌﺴﺎﺋﻞ واﳌﺸﺎﻛﻞ وأوﺿﺤﺎ ﺑﺄ�ﺎ ﻓﻌﺎﻟﺔ.
أﻣﺎ [572]Benderﻓﺸﺮح اﻟﺪور اﻷﺳﺎﺳﻲ ﻟﻨﻈﺮﻳﺔ Kolbﰲ إﻋﺎدة ﺻﻴﺎﻏﺔ اﻷﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ ﻗﺴﻢ اﻟﺘﺼﻤﻴﻢ اﳍﻨﺪﺳﻲ اﻟﺘﺎﺑﻊ ﳉﺎﻣﻌﺔ
ﺑﺮﻟﲔ اﻟﺘﻘﻨﻴﺔ ) (Technical University of Berlinوﺳﻠﻂ اﻟﻀﻮء ﻋﻠﻰ أﳘﻴﺔ أﺧﺬ اﻷﺑﻌﺎد اﻷرﺑﻌﺔ ﻟﻠﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻨﻈﺮﻳﺔ Kolb
ﺑﺈﻋﺎدة ﺑﻨﺎء ﲬﺴﺔ ﻣﻘﺮرات ﰲ اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ اﻷﺳﺎﺳﻴﺔ واﺳﺘﺨﺪﻣﻮا ﻧﻈﺮﻳﺔ Kolbﻛﺨﻠﻔﻴﺔ ﺑﻴﺪاﻏﻮﺟﻴﺔ ][575
ﻛﻤﺎ ﻗﺎم Lagoudas
ﻟﻌﻤﻠﻴﺔ إﻋﺎدة اﻟﺒﻨﺎء ،وﻗﺪ اﻋﺘﻤﺪوا ﻋﻠﻰ ﺑﺮاﻣﺞ اﻟﻜﻮﻣﺒﻴﻮﺗﺮ وﻋﻤﻠﻴﺎت اﶈﺎﻛﺎة ﻟﺘﻄﺒﻴﻖ اﻟﻨﻈﺮﻳﺔ.
أﻣﺎ Plettﻓﺄﻋﺎدوا ﺗﺼﻤﻴﻢ ﺛﻼﺛﺔ ﻣﻘﺮرات ﻫﻨﺪﺳﻴﺔ ﺑﻨﺎء ﻋﻠﻰ ﻧﻈﺮﻳﺎت Kolbوﻋﻠﻰ ﻧﻈﺎم اﻟـ 4-MATوﻛﺎن اﻟﺘﺼﻤﻴﻢ ﻧﺎﺟﺤﺎً
][579 ][576
ﻧﻈﺮﻳﺔ Kolbأﻳﻀﺎً ﻛﺄﺳﺎس ﺗﺮﺑﻮي ﻟﺘﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻄﻼب ،وﰲ أﺣﺪ اﻟﻨﺘﺎﺋﺞ اﻷﺳﺎﺳﻴﺔ ﰲ ][577
واﺳﺘﺨﺪم David
اﻟﺪراﺳﺔ وﺟﺪوا أن إﻋﻄﺎء اﻟﺘﺠﺎرب اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻄﻼب ﺑﺸﻜﻞ ﻣﺘﻮازن اﻋﺘﻤﺎداً ﻋﻠﻰ اﳌﺮاﺣﻞ اﻷرﺑﻌﺔ ﻟﻨﻈﺮﻳﺔ Kolbأدى إﱃ ﺗﻌﻠﻴﻢ أﻋﻤﻖ
واﺣﺘﻔﺎظ أﻃﻮل ﺑﺎﳌﻌﻠﻮﻣﺎت ﻋﻨﺪ اﻟﻄﻼب.
وﻗﺎم [578]Sticeأﻳﻀﺎً ﺑﻮﺿﻊ ﻃﺮاﺋﻖ ﺗﻌﻠﻴﻤﻴﺔ ﺿﻤﻦ اﻟﺼﻒ ﺗﺘﻼءم ﻣﻊ اﳌﺮاﺣﻞ اﻷرﺑﻌﺔ ﻟﻨﻈﺮﻳﺔ Kolbﻟﺘﺤﺴﲔ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻟﺪى ﻃﻼب
اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 208
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻳﻌﺘﱪ وﺻﻔﺎً ﻟﻠﻌﻤﻠﻴﺎت اﻟﺘﻜﻴﻔﻴﺔ اﳌﻨﺎﺳﺒﺔ واﻟﱵ ﲡﻌﻞ ﻣﻦ اﻟﺮد ﻣﺴﺘﺠﻴﺒﺎً ﳌﺜﲑات اﻟﺒﻴﺌﺔ اﳌﺘﻨﻮﻋﺔ ﲟﺎ ﻳﺘﻼءم ﻣﻊ ﺧﺼﺎﺋﺼﻪ اﻻﻧﻔﻌﺎﻟﻴﺔ واﻻﺟﺘﻤﺎﻋﻴﺔ
واﳉﺴﻤﻴﺔ].[587
ﺑﺸﻜﻞ ﻋﺎم ﺘﻌﻠﻢ اﻷﻓﺮاد وﻓﻘﺎً ﻟﺘﻔﻀﻴﻼﻬﺗﻢ وﻃﺮﻗﻬﻢ اﳋﺎﺻﺔ ،وﻋﻠﻢ اﻟﺘﻌﻠﻴﻢ اﻟﺒﻨﺎﺋﻲ ﻳﻘﺮ ﺑﺎﻻﺧﺘﻼﻓﺎت اﳌﻮﺟﻮدة ﺑﲔ اﳌﺘﻌﻠﻤﲔ ،وﻳﺆﻛﺪ ﻋﻠﻰ أﳘﻴﺔ
أﺧﺬ ﻫﺬﻩ اﻻﺧﺘﻼﻓﺎت ﺑﻌﲔ اﻻﻋﺘﺒﺎر ﻋﻨﺪ ﺗﺼﻤﻴﻢ اﳌﻨﺎﻫﺞ واﻟﻨﺸﺎﻃﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ.
ﰲ ﺿﻮء ﻧﻈﺮﻳﺔ Kolbاﻟﱵ ﻧﺎﻗﺸﻨﺎﻫﺎ ﺳﺎﺑﻘﺎً ﻟﺪﻳﻨﺎ ﻃﺮﻳﻘﺘﲔ ﻟﺘﺤﺼﻴﻞ أو اﻛﺘﺴﺎب اﳌﻌﺮﻓﺔ :اﻹدراك ) ،(Apprehensionاﻟﻔﻬﻢ اﻟﺸﻤﻮﱄ
) ،(Comprehensionوﻃﺮﻳﻘﺘﲔ أﻳﻀﺎً ﻟﻨﻘﻞ اﳌﻌﺮﻓﺔ :اﻟﻌﺰم ) ،(Intentionاﻟﺘﻮﺳﻊ ) ،(Extensionوﳍﺬا ﳒﺪ أن اﻷﻓﺮاد ﳝﻴﻠﻮن إﱃ
اﻛﺘﺴﺎب اﳌﻌﺮﻓﺔ وﻓﻘﺎً ﻟﺘﻮازﻧﺎت ﳐﺘﻠﻔﺔ ﺿﻤﻦ اﶈﻮر اﳌﻤﺜﻞ ﻟﻠﺘﺤﺼﻴﻞ اﳌﻌﺮﰲ ) ،(Prehensionوﺑﺎﳌﺜﻞ أﻳﻀﺎً ﻳﻨﺰﻋﻮن إﱃ ﻧﻘﻞ اﳌﻌﺮﻓﺔ ﺑﺘﻮازﻧﺎت
ﳐﺘﻠﻔﺔ ﻣﻦ اﻟﻌﺰم ) (Intentionواﻟﺘﻮﺳﻊ ).(Extension
ﻟﻘﺪ وﺟﺪ Kolbأن آﻟﻴﺔ اﳉﻤﻊ ﻣﺎ ﺑﲔ اﻟﻄﺮﻳﻘﺔ اﻟﱵ ﻳﺪرك ﺑﺎ اﻟﻨﺎس واﻟﻄﺮﻳﻘﺔ اﻟﱵ ﻳﻌﺎﳉﻮن ﺑﺎ ﻫﻲ اﻟﱵ ّ
ﺗﻜﻮن اﻟﺸﻜﻞ اﳌﺘﻮازن اﻷﻣﺜﻞ ﻟﻨﻤﻂ
اﻟﺘﻌﻠﻢ ،ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :ﻗﺪ ﺗﺒﺪأ دورة اﻟﺘﻌﻠﻢ ﺑﺎﻻﻧﺸﻐﺎل اﻟﺸﺨﺼﻲ ﻟﻠﻤﺘﻌﻠﻢ ﺑﺎﻟﺘﺠﺎرب اﳌﺎدﻳﺔ ،ﰒ ﻳﺮاﻗﺐ اﳌﺘﻌﻠﻢ ﻫﺬﻩ اﻟﺘﺠﺮﺑﺔ ﺑﺎﺣﺜﺎً ﻋﻦ
اﳌﻌﲎ ،وﻣﻦ ﰒ ﻳﻄﺒﻖ اﳌﺘﻌﻠﻢ ﻫﺬﻩ اﻟﻨﺘﻴﺠﺔ ﻣﻊ ﻣﺸﻜﻼت أﺧﺮى ﺸﺎﻬﺑﺔ واﻟﱵ ﺗﻨﺘﻬﻲ ﺑﺘﺠﺎرب ﻣﺎدﻳﺔ ﺟﺪﻳﺪة ،وﻗﺪ ﺗﺒﺪأ دورة اﻟﺘﻌﻠﻢ ﻣﻦ ﺟﺪﻳﺪ
ﺑﺘﺠﺎرب ﺟﺪﻳﺪة وﳐﺘﻠﻔﺔ ،ورﻏﻢ أن Kolbﻗﺪ ﻓﻜﺮ ﺑﺬﻩ اﻷﳕﺎط ﻋﻠﻰ أ�ﺎ ﺳﻠﺴﻠﺔ ﻣﺘﺼﻠﺔ ﳝﺮ ﺑﺎ اﻟﺸﺨﺺ ﻣﻊ اﻟﻮﻗﺖ ﺧﻼل اﻟﺘﻌﻠﻢ ،إﻻ أن
ﻫﻨﺎك أﺷﺨﺎﺻﺎً ﻳﻔﻀﻠﻮن وﻳﻌﺘﻤﺪون ﳕﻄﺎً واﺣﺪاً دون اﻟﺒﻘﻴﺔ.
وﻋﻠﻴﻪ ﻳﻌﺮف Kolbأﺳﻠﻮب اﻟﺘﻌﻠﻢ ﺑﺄﻧﻪ اﻟﻄﺮﻳﻘﺔ اﻟﱵ ﻳﺴﺘﺨﺪﻣﻬﺎ اﻟﻄﺎﻟﺐ ﰲ إدراك وﻣﻌﺎﳉﺔ اﳌﻌﻠﻮﻣﺎت أﺛﻨﺎء ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،وﻳﻘﺴﻤﻬﺎ إﱄ
أرﺑﻌﺔ أﳕﺎط]:[545, 584-586
-ﳕﻂ اﻟﺘﻌﻠﻢ اﻟﺘﺒﺎﻋﺪي ) :(Divergent Learning Styleوﻫﻮ اﻛﺘﺴﺎب اﳌﻌﻠﻮﻣﺔ ﻣﻦ ﺧﻼل اﻹدراك واﻟﻔﻬﻢ ) (CEوﲢﻮﻳﻠﻬﺎ إﱃ
ﻣﻌﺮﻓﺔ ﻣﻦ ﺧﻼل اﻟﻌﺰم ) – (ROأي ﻳﻀﻢ ﺧﻄﻮات اﻟﺘﻌﻠﻢ ﻣﻦ اﳋﱪات اﳌﻠﻤﻮﺳﺔ واﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ .ﻳﻌﺘﱪ ﻫﺆﻻء اﻷﺷﺨﺎص
"ﻣﺘﺄﻣﻠﻮن" وﻟﺪﻳﻬﻢ اﻟﻘﺪرة ﻋﻠﻰ ﻳﺔ اﳊﺎﻻت اﺠﻤﻟﺮدة ﻣﻦ زواﻳﺎ ﻧﻈﺮ ﳐﺘﻠﻔﺔ وﻛﺜﲑة ،ﻓﻬﻢ ﻳﻬﺘﻤﻮن ﺑﺎﻛﺘﺸﺎف ﺳﺒﺐ اﳊﺎﻟﺔ "ﳌﺎذا؟"،
اﻗﱰاﻬﺑﻢ ﻣﻦ أي ﺣﺎﻟﺔ ﻳﻜﻮن ﻟﻠﻤﺮاﻗﺒﺔ أﻛﺜﺮ ﻣﻦ اﻟﺘﻄﺒﻴﻖ ،وﻳﻔﻀﻠﻮن أن ﻳﺄﺧﺬوا اﳌﻌﻠﻮﻣﺎت اﻟﱵ ﺗﻘﺪم إﻟﻴﻬﻢ ﺑﻄﺮﻳﻘﺔ ﺗﻔﺼﻴﻠﻴﺔ ﺗﻨﻈﻴﻤﻴﺔ
وﺑﺄﺳﻠﻮب ﻣﻨﻄﻘﻲ ،ﺬﻟﻚ ﻫﻢ ﲝﺎﺟﺔ ﻟﻠﻮﻗﺖ ﻣﻦ أﺟﻞ اﻟﺘﻔﻜﲑ ﺑﺎﳌﻮﺿﻮع ،وﺗﻜﻤﻦ ﻧﻘﺎط ﻗﻮﻬﺗﻢ ﺑﺎﻟﻘﺪرة ﻋﻠﻰ اﻟﺘﺨﻴﻞ ،وﺗﺘﻀﻤﻦ
اﻟﻄﺮق اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻨﻤﻂ اﻟﺘﻜﻴﻔﻲ :ﻃﺮﻳﻘﺔ اﶈﺎﺿﺮة اﻟﱵ ﺗﺮﻛﺰ ﻋﻠﻰ أﺷﻴﺎء ﻣﻌﻴﻨﺔ ﻛﻨﻘﺎط اﻟﻘﻮة واﻟﻀﻌﻒ واﺳﺘﺨﺪاﻣﺎت اﻟﻨﻈﺎم ،اﳊﺎﻻت
اﻟﱵ ﺗﺴﺘﺪﻋﻲ ﺗﻮﻟﻴﺪ اﻟﻜﺜﲑ ﻣﻦ اﻷﻓﻜﺎر ﻣﺜﻞ ﺟﻠﺴﺎت اﻟﻌﺼﻒ اﻟﺬﻫﲏ ،اﻟﻌﻤﻞ ﰲ ﳎﻤﻮﻋﺔ ﳉﻤﻊ اﳌﻌﻠﻮﻣﺎت.
209 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﺣﻞ اﳌﺸﻜﻼت.
ﳝﻴﻠﻮن ﳉﻤﻊ اﳌﻌﻠﻮﻣﺎت ﺑﺄﻧﻔﺴﻬﻢ واﺳﺘﺨﺪام اﳋﻴﺎل ﰲ ّ
ﻗﺎدرﻳﻦ ﻋﻠﻰ ﺗﻮﻟﻴﺪ اﻷﻓﻜﺎر وﻣﻨﺎﻗﺸﺘﻬﺎ.
ﻳﻔﻀﻠّﻮن اﻟﻌﻤﻞ ﰲ ﳎﻤﻮﻋﺎت وﳛﺮﺻﻮن ﻋﻠﻰ اﻟﺘﻐﺬﻳﺔ اﻟﺮاﺟﻌﺔ.
-ﳕﻂ اﻟﺘﻌﻠﻢ اﻟﺘﻜﻴﻔﻲ ) :(Accommodative Learning Styleوﻫﻮ اﻛﺘﺴﺎب اﳌﻌﻠﻮﻣﺔ ﻣﻦ ﺧﻼل اﻹدراك أو اﻟﻔﻬﻢ )(CE
وﲢﻮﻳﻠﻬﺎ إﱃ ﻣﻌﺮﻓﺔ ﻣﻦ ﺧﻼل اﻟﺘﻮﺳﻊ ) – (AEأي ﻳﻀﻢ ﺧﻄﻮات اﻟﺘﻌﻠﻢ ﻣﻦ اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل واﳋﱪات اﳌﻠﻤﻮﺳﺔ .ﻳﻌﺘﱪ ﻫﺆﻻء
اﻷﺷﺨﺎص "ﻋﻤﻠﻴﻮن" وﻟﺪﻳﻬﻢ اﻟﻘﺪرة ﻋﻠﻰ اﻟﺘﻌﻠﻢ ﻣﻦ اﻟﺘﺠﺎرب اﻟﺸﺨﺼﻴﺔ ﺑﺸﻜﻞ أﺳﺎﺳﻲ وﻳﻬﺘﻤﻮن ﺑﺎﻟﺴﺆال" :ﻣﺎذا ﺳﻴﺤﺪث ﻟﻮ
ﻤﺖ ﻬﺑﺬا؟" ،وﻫﻢ ﳚﻴﺒﻮن أﻧﻔﺴﻬﻢ ﺑ ــ":أﻧﺎ ﻣﺼﻤﻢ ﻋﻠﻰ اﻟﻘﻴﺎم ﺑﺄي ﺷﻲء" -أي أ�ﻢ ﻣﺘﻔﻮﻗﻮن ﰲ اﻟﺘﻜﻴﻒ ﻣﻊ ﻇﺮوف ﺣﺎﻟﻴﺔ
ﻣﻌﻴﻨﺔ وﻳﺒﺤﺜﻮن ﻋﻦ ﻣﻌﲎ ﻟﻠﺘﺠﺮﺑﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ وﻳﻔﻜﺮون ﻓﻴﻤﺎ ﻳﺴﺘﻄﻴﻌﻮن اﻟﻘﻴﺎم ﺑﻪ ،ﲤﺎﻣﺎً ﻛﻤﺎ ﻗﺎم ﺑﻪ أﺷﺨﺎص آﺧﺮﻳﻦ ،وﻳﻌﺘﱪ ﻫﺆﻻء
اﳌﺘﻌﻠﻤﻮن ﺟﻴﺪﻳﻦ ﰲ اﻷﻣﻮر اﳌﻌﻘﺪة وﻗﺎدرﻳﻦ ﻋﻠﻰ ﻣﻼﺣﻈﺔ اﻟﻌﻼﻗﺎت ﺑﲔ ﻣﻈﺎﻫﺮ اﻟﻨﻈﺎم اﳌﺘﻌﺪدة ،وﻫﻢ ﳝﻴﻠﻮن ﳊﻞ اﳌﺸﺎﻛﻞ ﺑﺪﻳﻬﻴﺎً
ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﻣﻌﻠﻮﻣﺎت اﻵﺧﺮﻳﻦ ،وﻫﻨﺎك ﳎﻤﻮﻋﺔ ﻣﺘﻨﻮﻋﺔ ﻣﻦ اﻟﻄﺮق اﻟﱵ ﺗﻨﺎﺳﺐ ﻫﺬا اﻷﺳﻠﻮب اﻟﺘﻌﻠﻴﻤﻲ ،وﻟﻜﻦ ﻣﻦ اﶈﺘﻤﻞ أن
ﻳﻜﻮن أي ﺷﻲء ﻳﻌﺰز اﻻﻛﺘﺸﺎف اﳌﺴﺘﻘﻞ ﻫﻮ اﻷﻛﺜﺮ ﺗﻔﻀﻴﻼً.
-ﳕﻂ اﻟﺘﻌﻠﻢ اﻻﺳﺘﻴﻌﺎﰊ ) :(Assimilative Learning Styleوﻫﻮ اﻛﺘﺴﺎب اﳌﻌﻠﻮﻣﺔ ﻣﻦ ﺧﻼل اﻻﺳﺘﻴﻌﺎب ) (ACوﲢﻮﻳﻠﻬﺎ إﱃ
ﻣﻌﺮﻓﺔ ﻣﻦ ﺧﻼل اﻟﻌﺰم ) – (ROأي ﻳﻀﻢ ﺧﻄﻮات اﻟﺘﻌﻠﻢ ﻣﻦ اﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ وﲢﺪﻳﺪ ﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة .ﻳﻌﺘﱪ ﻫﺆﻻء اﻷﺷﺨﺎص
"ﻧﻈﺮﻳﻮن" ﻷ�ﻢ أﻛﺜﺮ اﻫﺘﻤﺎﻣﺎً ﺑﺎﳌﻔﺎﻫﻴﻢ واﻷﻓﻜﺎر ﻤﻟﺮدة ،وﻳﻬﺘﻤﻮن ﺑﺎﻹﺟﺎﺑﺔ ﻋﻦ اﻟﺴﺆال" :ﻣﺎذا ﻟﻮ؟" ،ﻓﻬﻢ اﻷﻓﻀﻞ ﰲ ﻓﻬﻢ ﻧﻄﺎق
واﺳﻊ ﻣﻦ اﳌﻌﻠﻮﻣﺎت ووﺿﻌﻬﺎ ﰲ ﳕﺎذج ﻧﻈﺮﻳﺔ ﻣﻨﻄﻘﻴﺔ ﳐﺘﺼﺮة ،ﻓﻬﻢ ﻻ ﻳﻜﺘﺸﻔﻮن اﻟﻨﻈﺎم ﺑﺸﻜﻞ ﻋﺸﻮاﺋﻲ ،وإﳕﺎ ﻳﺮﻏﺒﻮن ﺑﺎﳊﺼﻮل
ﻋﻠﻰ اﳊﻞ اﻟﺼﺤﻴﺢ ﳌﺸﻜﻠﺘﻬﻢ ،وﻫﻢ ﳛﺒﻮن اﻹﻟﻘﺎء اﻟﺪﻗﻴﻖ واﳌﻨﻈﻢ ﻟﻠﻤﻌﻠﻮﻣﺎت ،وﳝﻴﻠﻮن ﻻﺣﱰام ﻣﻌﺮﻓﺔ اﳋﺒﲑ ،وﺗﺘﻀﻤﻦ اﻟﻄﺮق
اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﱵ ﺗﻨﺎﺳﺐ اﻻﺳﺘﻴﻌﺎﰊ :اﶈﺎﺿﺮات ،اﺳﺘﻜﺸﺎف اﻟﻨﻤﺎذج اﻟﺘﺤﻠﻴﻠﻴﺔ ،وأﺧﺬ اﻟﻮﻗﺖ ﻟﻠﺘﻔﻜﲑ ﰲ اﻷﺷﻴﺎء.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 210
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
-ﳕﻂ اﻟﺘﻌﻠﻢ اﻟﺘﻘﺎرﰊ ) :(Convergent Learning Styleوﻫﻮ اﻛﺘﺴﺎب اﳌﻌﻠﻮﻣﺔ ﻣﻦ ﺧﻼل اﻻﺳﺘﻴﻌﺎب ) (ACوﲢﻮﻳﻠﻬﺎ إﱃ
ﻣﻌﺮﻓﺔ ﻣﻦ ﺧﻼل اﻟﺘﻮﺳﻊ ) – (AEأي ﻳﻀﻢ ﺧﻄﻮات اﻟﺘﻌﻠﻢ ﻣﻦ ﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة واﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل .ﻳﻌﺘﱪ ﻫﺆﻻء اﻷﺷﺨﺎص
"واﻗﻌﻴﲔ" ﻳﻬﺘﻤﻮن ﺑﺈﳚﺎد اﺳﺘﺨﺪاﻣﺎت ﺧﺎﺻﺔ ﻟﻸﻓﻜﺎر واﻟﻨﻈﺮﻳﺎت ،ﻓﻬﻢ ﻳﺴﺄﻟﻮن" :ﻛﻴﻒ ﳝﻜﻨﲏ ﺗﻄﺒﻴﻖ ﻫﺬا واﻗﻌﻴﺎً؟" ،وﻳﺘﺰاﻳﺪ
اﻟﺘﻄﺒﻴﻖ واﻻﺳﺘﻔﺎدة ﻣﻦ اﳌﻌﻠﻮﻣﺎت ﻋﻦ ﻃﺮﻳﻖ ﻓﻬﻢ ﻣﻌﻠﻮﻣﺎت ﺗﻔﺼﻴﻠﻴﺔ ﺣﻮل ﻋﻤﻞ اﻟﻨﻈﺎم ،وﺗﻜﻤﻦ ﻗﻮﺗﻢ اﻟﻌﻈﻤﻰ ﺑﺎﻟﺘﻄﺒﻴﻖ اﻟﻌﻤﻠﻲ
ﻟﻠﻔﻜﺮة ،وﺗﺘﻀﻤﻦ اﻟﻄﺮق اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﻨﺎﺳﺒﺔ ﻟﻠﻨﻤﻂ اﻟﺘﻘﺎرﰊ :اﻟﺘﻌﻠﻢ اﻟﺘﻔﺎﻋﻠﻲ ،اﻟﺘﻌﻠﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ،اﳌﻬﺎم اﳌﺨﱪﻳﺔ واﻟﺘﻄﺒﻴﻘﺎت
اﻟﻌﻤﻠﻴﺔ.
ﻟﻘﺪ ﻗﺪم Kolbأﺳﻠﻮﺑﺎً ﻟﻠﺘﻌﻠﻢ ﻣﺆﻟﻔﺎً ﻣﻦ اﻷﳕﺎط اﻷرﺑﻌﺔ اﻟﺮﺋﻴﺴﻴﺔ اﻟﺴﺎﺑﻘﺔ] ،[545ﻛﻤﺎ ﻃﻮر ﻗﺎﺋﻤﺔ اﺳﺘﻄﻼﻋﻴﺔ ﻟﺘﻘﻴﻴﻢ وﲢﺪﻳﺪ أﳕﺎط اﻟﺘﻌﻠﻢ ﻟﻜﻞ
ﻓﺮد].[580
4-2-3-4-4-4أﳕﺎط اﻟﺘﻌﻠﻢ اﻷﺧﺮى ذات اﻟﺼﻠﺔ ﺑﻨﻤﻮذج :(Other Relevant Learning Styles) Kolb
ﻳﻌﺮف اﻟﺒﺎﺣﺜﺎن ” [581,582]“Felder & Silvermanأﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ ﻋﻠﻰ أ�ﺎ ﳎﻤﻮﻋﺔ ﻣﻦ اﻟﺴﻠﻮﻛﻴﺎت اﳌﻌﺮﻓﻴﺔ واﻟﻮﺟﺪاﻧﻴﺔ واﻟﻨﻔﺴﻴﺔ ،واﻟﱵ
ﺗﻌﻤﻞ ﻣﻌﺎً ﻛﻤﺆﺷﺮات ﺛﺎﺑﺘﺔ ﻧﺴﺒﻴﺎً ﻟﻜﻴﻔﻴﺔ إدراك وﺗﻔﺎﻋﻞ واﺳﺘﺠﺎﺑﺔ اﻟﻄﺎﻟﺐ ﻣﻊ ﺑﻴﺌﺔ اﻟﺘﻌﻠﻢ ،وﻳﺸﺘﻤﻞ ﻫﺬا اﻟﻨﻤﻮذج ﻋﻠﻲ أرﺑﻌﺔ أﺳﺎﻟﻴﺐ ﺛﻨﺎﺋﻴﺔ:
اﻷﺳﻠﻮب اﻟﻌﻤﻠﻲ – اﻟﺘﺄﻣﻠﻲ ) :(Active – Reflectiveﺣﻴﺚ أن أﺻﺤﺎب ﻫﺬا اﻷﺳﻠﻮب ﻳﺘﻌﻠﻤﻮن ﻣﻦ ﺧﻼل اﻟﺘﺠﺮﻳﺐ
واﻟﻌﻤﻞ ﰲ ﳎﻤﻮﻋﺎت ﰲ ﻣﻘﺎﺑﻞ اﻟﺘﻌﻠﻢ ﺑﺎﻟﺘﻔﻜﲑ ﻤﻟﺮد واﻟﻌﻤﻞ اﻟﻔﺮدي.
اﻷﺳﻠﻮب اﳊﺴﻲ – اﳊﺪﺳﻲ ) :(Sensing – Intuitiveواﻟﺘﻌﻠﻢ ﻫﻨﺎ ﻣﻦ ﺧﻼل اﻟﺘﻔﻜﲑ اﳊﺴﻲ أو اﻟﻌﻴﺎﱐ ﻣﻊ اﻟﺘﻮﺟﻪ ﳓﻮ
اﳊﻘﺎﺋﻖ واﳌﻔﺎﻫﻴﻢ ﰲ ﻣﻘﺎﺑﻞ اﻟﺘﻔﻜﲑ اﻟﺘﺠﺮﻳﺪي واﻟﺘﻮﺟﻪ ﳓﻮ اﻟﻨﻈﺮﻳﺎت وﻣﺎ وراء اﳌﻌﲎ.
اﻷﺳﻠﻮب اﻟﻠﻔﻈﻲ – اﻟﺒﺼﺮي ) :(Visual – Verbalوأﺻﺤﺎب ﻫﺬا اﻷﺳﻠﻮب ﳝﻴﻠﻮن إﱃ اﻷﺷﻜﺎل اﻟﺒﺼﺮﻳﺔ ﻟﻠﻤﺎدة ﻣﻦ ﺻﻮر
ورﺳﻮم ﺑﻴﺎﻧﻴﺔ ﻣﻘﺎﺑﻞ اﻟﺘﻔﺴﲑات اﻟﺸﻔﻬﻴﺔ واﳌﻜﺘﻮﺑﺔ.
اﻷﺳﻠﻮب اﻟﺘﺘﺎﺑﻌﻲ – اﻟﻜﻠﻲ ) :(Sequential – Globalواﻟﺘﻌﻠﻢ ﻫﻨﺎ ﻣﻦ ﺧﻼل ﺧﻄﻮات دﻗﻴﻘﺔ ﺗﺘﺎﺑﻌﻴﺔ ﻣﻘﺎﺑﻞ اﻟﺘﻔﻜﲑ اﻟﻜﻠﻲ أو
اﻟﺸﻤﻮﱄ ﻟﻠﻤﻮﻗﻒ.
211 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
وﻳﺮى اﻟﺒﺎﺣﺜﺎن ﺗﺸﺎﺑﻪ ﻫﺬا اﻟﻨﻤﻮذج ﻣﻊ ﳕﻮذج ﻛﻮﻟﺐ وﲞﺎﺻﺔ ﰲ اﳌﺮاﺣﻞ اﻷرﺑﻌﺔ )اﳋﱪات اﳊﺴﻴﺔ ،اﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ ،اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل،
اﳌﻔﺎﻫﻴﻢ ﻤﻟـﺮدة( اﻟﱵ اﻋﺘﻤﺪ ﻋﻠﻴﻬﺎ Kolbﰲ اﺳﺘﻨﺘﺎج أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ ،وﻳﺆﻛﺪ ذﻟﻚ اﻟﺪراﺳﺔ] [588ﺣﻴﺚ أﻇﻬﺮت ﻧﺘﺎﺋﺠﻬﺎ وﺟﻮد ارﺗﺒﺎط إﳚﺎﰊ
وﺗﺪاﺧﻞ ﺑﲔ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ ﰲ اﻟﻨﻤﻮذﺟﲔ ،وﻛﺬﻟﻚ ﺪرﻬﺗﻢ ﻋﻠﻰ اﻟﺘﻨﺒﺆ ﺑﺎﻷداء اﻷﻛﺎدﳝﻲ ﻟﻄﻼب اﻟﻜﻠﻴﺎت اﳍﻨﺪﺳﻴﺔ ،إﺿﺎﻓﺔً إﱃ وﺟﻮد ﻓﺮوق
ﰲ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ ﺑﲔ اﻟﺬﻛﻮر واﻹﻧﺎث ،وﻗﺪ ﻃﻮر اﻟﺒﺎﺣﺜﺎن أداة ﳎﺎﻧﻴﺔ ﻋﻠﻰ اﻹﻧﱰﻧﺖ ﺗﺴﺎﻋﺪ ﻋﻠﻰ ﲢﺪﻳﺪ أﺳﻠﻮب اﻟﺘﻌﻠﻢ اﳌﻔﻀﻞ ﻟﻜﻞ
ﻓﺮد].[582
وﺿﻊ أﻳﻀﺎً ﳕﻮذج اﻟﺘﻌﻠﻢ ،4-MATوﻫﻮ ﻋﺒﺎرة ﻋﻦ ﳕﻮذج ﻳﻘﺪم أرﺑﻌﺔ أﺳﺎﻟﻴﺐ ﻟﻠﺘﻌﻠﻴﻢ وﻫﻲ :اﻹﺑﺪاﻋﻲ ][579
اﻟﺒﺎﺣﺚ McCarthy
ﻛﻤﺎ وﺿﻊ اﻟﺒﺎﺣﺜﺎن [583]Fleming & Millsﳕﻮذج اﻟﺘﻌﻠﻢ VARKاﳌﺴﺘﻮﺣﻰ ﻣﻦ اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ،وﻳﻌﺘﱪ ﻫﺬا اﻟﻨﻤﻮذج ﻣﻦ اﻟﻨﻤﺎذج
اﻟﺸﺎﺋﻌﺔ اﻻﺳﺘﺨﺪام وﳝﻴﺰ ﺑﲔ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻴﻢ اﻟﺘﺎﻟﻴﺔ]:[621,622
اﻟﺒﺼﺮي ) :(Visualﻳﻔﻀﻠﻪ اﳌﺘﻌﻠﻤﻮن اﻟﺒﺼﺮﻳﻮن ).(Visual Learners
اﻟﺴﻤﻌﻲ ) :(Auralﻳﻔﻀﻠﻪ اﳌﺘﻌﻠﻤﻮن اﻟﺴﻤﻌﻴﻮن ).(Auditory Learners
اﳌﻘﺮوء اﳌﻜﺘﻮب ) :(Read/Writeﻳﻔﻀﻠﻪ اﳌﺘﻌﻠﻤﻮن اﻟﻨﺼﻴﻮن ).(Textual Learners
اﳊﺴﻲ ﺣﺮﻛﻲ ) :(Kinaestheticﻳﻔﻀﻠﻪ اﳌﺘﻌﻠﻤﻮن اﻟﻌﻤﻠﻴﻮن ).(Kinesthetic or Tactile Learners
ﻳﺼﺮح Flemingﻋﻠﻰ أن اﳌﺘﻌﻠﻤﲔ اﻟﺒﺼﺮﻳﲔ ﳝﻠﻜﻮن ﻣﻴﺰة وأﻓﻀﻠﻴﺔ ﻟﻠﻔﻬﻢ ﻣﻦ ﺧﻼل اﻟﺮؤﻳﺔ )ﻣﺜﻞ :وﺳﺎﺋﻞ اﳌﺴﺎﻋﺪة واﻹﻳﻀﺎح اﳌﺮﺋﻴﺔ –
اﳌﺨﻄﻄﺎت ،اﻟﺼﻮر ،اﻟﻌﺮوض(؛ ﻛﻤﺎ أن اﳌﺘﻌﻠﻤﲔ اﻟﺴﻤﻌﻴﲔ ﻟﺪﻳﻬﻢ أﻓﻀﻠﻴﺔ ﻟﻠﺘﻌﻠﻢ ﻣﻦ ﺧﻼل اﻟﺴﻤﺎع )ﻣﺜﻞ :اﶈﺎﺿﺮات ،اﳌﻨﺎﻗﺸﺎت،
اﻟﺘﺴﺠﻴﻼت اﳌﺴﻤﻮﻋﺔ(؛ ﺑﺎﻟﻨﺴﺒﺔ اﻟﻨﺼﻴﲔ ﻳﻔﻀﻠﻮن اﻟﺘﻌﻠﻢ ﻣﻦ ﺧﻼل اﻟﻘﺮاءة واﻟﻜﺘﺎﺑﺔ )ﻣﺜﻞ :ﻗﺮاءة اﻟﻜﺘﺐ ،اﳌﻘﺎﻻت ،دﻻﺋﻞ اﻟﺘﺠﺎرب،
اﻟﺸﺮوح(؛ وأﻣﺎ اﻟﻮﺳﻴﻠﺔ اﻷﻓﻀﻞ ﻟﻠﺘﻌﻠﻢ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻤﺘﻌﻠﻤﲔ اﻟﻌﻤﻠﻴﲔ ﻓﻬﻲ اﻟﺘﺠﺮﺑﺔ واﻟﻔﻌﻞ )اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ ،اﳌﺸﺎرﻳﻊ ،اﻻﻛﺘﺸﺎف اﻟﻔﻌﺎل(.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 212
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﳚﻌﻠﻨﺎ ﻧﻔﻬﻢ ﻛﻴﻒ ﳝﻜﻦ أن ﻧﺘﻔﺎﻋﻞ ﻣﻊ اﻟﻄﺎﻟﺐ ﰲ اﻟﺼﻒ ﲝﻴﺚ ﻳﻜﻮن ﻧﺎﺗﺞ اﻟﺘﻌﻠﻢ أﻓﻀﻞ ﻣﺎ ﳝﻜﻦ.
ﻛﻤﺪرﺳﲔ ﳝﻜﻨﻨﺎ ﺗﻔﺼﻴﻞ اﺳﱰاﺗﻴﺠﻴﺔ اﻟﺘﺪرﻳﺲ ﻟﻠﺘﻮاﻓﻖ ﻣﻊ ﳕﻂ اﻟﺘﻌﻠﻢ اﳋﺎص ﺑﺎﻟﻄﻼب.
إن اﳍﺪف اﳉﻮﻫﺮي ﻣﻦ اﻟﺘﻌﻠﻴﻢ اﳉﺎﻣﻌﻲ ﻫﻮ إﳚﺎد ﻃﻼب ﻗﺎدرﻳﻦ ﻋﻠﻰ اﻟﺘﻔﻜﲑ ﻷﻧﻔﺴﻬﻢ.
إن ﺗﺪرﻳﺲ اﻟﻄﻼب وﻓﻘﺎً ﻷﳕﺎط اﻟﺘﻌﻠﻢ اﳌﺘﻮاﻓﻘﺔ ﻣﻌﻬﻢ وﻣﻦ ﰒ إﺟﺒﺎرﻫﻢ ﻋﻠﻰ ﺗﻌﻠﻢ أﳕﺎط ﻏﲑ ﻣﻔﻀﻠﺔ ﺑﺎﻟﻨﺴﺒﺔ ﳍﻢ ﺳﻮف ﻳﻄﻮر ﺧﱪة
أﻛﺜﺮ ﻓﺎﻋﻠﻴﺔ ﻟﺪﻳﻬﻢ.
ﻳﺮﺗﺒﻂ ﺗﻘﻴﻴﻢ أﺳﻠﻮب اﻟﺘﻌﻠﻢ ﺑﻨﻤﻮذج ﻛﻮﻟﺐ ،وﻳﺴﺘﺨﺪم ﻟﺘﺤﺪﻳﺪ أﺳﻠﻮب اﻟﺘﻌﻠﻢ اﳋﺎص ﺑﺎﻟﻄﺎﻟﺐ ،وﻳُﻘﻴﱢﻢ ﻫﺬا اﻷﺳﻠﻮب ﻣﺎ ﻳﻔﻀﻠﻪ اﻟﻔﺮد
وﳛﺘﺎﺟﻪ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،ﻓﻬﻮ ﻳﻘﻮم ﲟﺎ ﻳﻠﻲ:
ﻳﺴﻤﺢ ﻟﻠﻄﺎﻟﺐ ﺑﺎﺧﺘﻴﺎر وﺳﻴﻠﺔ اﻟﺘﻌﻠﻢ اﻟﱵ ﻳﻔﻀﻠﻬﺎ وﻣﺪى اﺗﺴﺎق ردود ﻓﻌﻠﻪ.
ﻳﻘﺪم ﻧﺘﺎﺋﺞ ﳏﻮﺳﺒﺔ ﻟﺘﺒﲔ ﳕﻂ اﻟﺘﻌﻠﻢ اﳌﻔﻀﻞ ﻟﻠﻄﺎﻟﺐ.
ﻳﻮﻓﺮ أﺳﺎس ﻳﻌﺘﻤﺪ ﻋﻠﻴﻪ اﳌﻌﻠﻤﻮن ﰲ اﻟﺘﻔﺎﻋﻞ ﻣﻊ اﻟﻄﻼب.
ﻳﻮﻓﺮ اﻻﺳﱰاﺗﻴﺠﻴﺎت اﳌﻤﻜﻨﺔ ﻻﺳﺘﻴﻌﺎب أﳕﺎط اﻟﺘﻌﻠﻢ.
ﻳﻮﻓﺮ ﻣﺸﺎرﻛﺔ اﻟﻄﻼب ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ.
ﻳﻮﻓﺮ ﻣﻠﺨﺼﺎً ﻋﻦ ﻛﻴﻔﻴﺔ ﲡﻤﻴﻊ اﻟﻄﻼب ذات أﳕﺎط اﻟﺘﻌﻠﻢ اﳌﻤﺎﺛﻠﺔ ﻣﻌﺎً ﰲ ﻓﺼﻞ دراﺳﻲ واﺣﺪ.
وﻫﻜﺬا ،ﻓﺈن اﻟﺘﻌﻠﻢ اﳌﺘﻤﺤﻮر ﺣﻮل اﳌﺸﻜﻼت ﻳﻘﺪم ﺧﱪات أﺻﻴﻠﺔ ،وﳛﺚ ﻋﻠﻰ اﻟﺘﻌﻠﻢ اﻟﻨﺸﻂ ،وﻳﺴﺎﻋﺪ ﰲ ﺑﻨﺎء اﳌﻌﺮﻓﺔ ،وﻳﺪﻣﺞ اﳋﱪات
اﻟﺘﻌﻠﻴﻤﻴﺔ واﳋﱪات اﻟﺘﻄﺒﻴﻘﻴﺔ ﺑﺸﻜﻞ ﺣﻘﻴﻘﻲ ،ﻛﻤﺎ أن ﻫﺬﻩ اﻟﻄﺮﻳﻘﺔ ﰲ اﻟﺘﻌﻠﻢ ﺗﺮﺑﻂ اﳌﻮاﺿﻴﻊ اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﺨﺘﻠﻔﺔ ﺑﻌﻀﻬﺎ ﺑﺒﻌﺾ .ﻓﺎﳌﺸﻜﻠﺔ اﻟﱵ
ﺗﻘﻮد اﻟﺘﻌﻠﻢ ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﲤﺜﻞ اﳌﺮﻛﺰ اﻟﺬي ﻳﺘﻤﺤﻮر ﺣﻮﻟﻪ اﳌﻨﻬﺞ اﻟﺘﻌﻠﻴﻤﻲ ،ﻣﺎ ﻳﺜﲑ ﻓﻀﻮل اﻟﻄﻼب وداﻓﻌﻴﺘﻬﻢ ﳊﻞ اﳌﺸﻜﻠﺔ ،وﳜﻠﻖ ﺑﻴﺌﺔ
ﺗﻌﻠﻴﻤﻴﺔ ﻳﺴﺎﻧﺪ ﻓﻴﻬﺎ اﳌﻌﻠﻤﻮن اﻟﻄﻼب وﻳﺸﺠﻌﻮ�ﻢ ﻋﻠﻰ اﻟﺘﻔﻜﲑ ،وﻳﺮﺷﺪو�ﻢ أﺛﻨﺎء اﻻﺳﺘﻘﺼﺎء ،وﻳﺴﻬﻠّﻮن اﻟﻔﻬﻢ اﻟﻌﻤﻴﻖ ﻟﻠﻤﻮﺿﻮع.
213 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﻳﻀﻢ ﻛﻞ ﻣﻦ اﻟﺘﻌﻠﻢ واﻟﺘﻌﻠﻴﻢ اﻹدراﻛﻲ-اﳌﻌﺮﰲ ) (Metacognitiveواﻹدراﻛﻲ )،(Cognitive
وﻗﺪ ﻇﻬﺮ ﻫﺬا اﳌﻨﻬﺞ ﰲ أواﺧﺮ اﻟﺴﺘﻴﻨﻴﺎت ﻣﻦ اﻟﻘﺮن اﻟﻌﺸﺮﻳﻦ] ،[608وﻳﺸﲑ] [609إﱃ أن اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﺗﻌﻮد ﺟﺰورﻩ إﱃ
ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ ) (Project-based Pedagogyﻟﻠﺒﺎﺣﺚ Deweyﰲ أواﺋﻞ اﻟﻘﺮن اﻟﻌﺸﺮﻳﻦ.
ﻳﻮﺟﺪ ﺎﻻت أﺧﺮى ﻣﺸﺎﻬﺑﺔ ﰲ اﳌﺒﺪأ ﺗﺘﻀﻤﻦ :اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﳌﻬﺎم ) ،[120-122](Task-based Learningاﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﶈﺘﻮى
) ،[613,614](Content-based Learningاﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ ).[619,620](Project-based Learning
اﻷﻣﺮ اﻟﺬي ﳚﻌﻞ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت أﻣﺮاً ﻓﺮﻳﺪاً ﻫﻮ ﺗﺮﻛﻴﺰﻫﺎ اﳉﻮﻫﺮي ﻋﻠﻰ اﻟﺘﻌﻠﻢ ﻣﻦ ﺧﻼل ﺣﻞ اﳌﺸﺎﻛﻞ اﳊﻘﻴﻘﻴﺔ اﻟﻐﲑ ﺑﺪﻫﻴﺔ
) (open-ended problemsاﻟﱵ ﻟﻴﺲ ﳍﺎ ﺣﻞ ﺛﺎﺑﺖ].[615
ﻟﻘﺪ ﰎ ﺗﻄﺒﻴﻖ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ) (PBLﰲ اﻟﻌﺪﻳﺪ ﻣﻦ أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ وﻛﺎن أﺛﺮ ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ ﻓﻴﻬﺎ
إﳚﺎﰊ إﱃ ﺣﺪ ﻛﺒﲑ ،ﻣﻦ ﻫﺬﻩ اﻟﺪراﺳﺎت ﻧﻘﺘﺼﺮ ﻋﻠﻰ] ،[595,602-605ﺣﻴﺚ ﻳﻌﺘﱪ اﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﻣﺜﺎﻟﻴﺎً ﻟﻠﺘﻌﻠﻴﻢ
اﳍﻨﺪﺳﻲ ،وذﻟﻚ ﻷن اﻟﺘﺨﺼﺼﺎت اﳍﻨﺪﺳﻴﺔ ﻗﺎﺋﻤﺔ إﱃ ﺣﺪ ﻛﺒﲑ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻣﺮﺣﻠﺔ اﻟﺘﺪرﻳﺐ اﳌﺒﻜﺮ ﻋﻠﻰ ﻣﺜﻞ ﻫﺬﻩ
اﻟﻨﺸﺎﻃﺎت ﺧﻼل اﻟﺪراﺳﺔ اﳉﺎﻣﻌﻴﺔ ،ﺳﻮف ﻳﻨﺘﺞ ﻋﻨﻬﺎ ﻣﻬﻨﺪﺳﲔ ﻣﺴﺘﻘﺒﻠﻴﲔ ﻓﻌﺎﻟﲔ ﺑﺸﻜﻞ أﻛﱪ ،أﺻﺤﺎب اﺳﺘﻘﻼل ذاﰐ ،ﳏﻠﻠﲔ ﻟﻠﻤﺸﺎﻛﻞ،
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 214
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
وﳎﻬﺰﻳﻦ ﲟﻬﺎرات ﻋﺎﻟﻴﺔ )ﻓﺮﻳﻖ اﻟﻌﻤﻞ ،اﲣﺎذ اﻟﻘﺮارات ،اﻟﺘﺼﻤﻴﻢ ،اﻹﺑﺪاع( ﻣﻄﻠﻮﺑﺔ ﳊﻞ ﻣﺸﺎﻛﻞ ﺣﻘﻴﻘﻴﺔ ﻣﻌﻘﺪة ،وإﻟﻴﻪ أﺷﺎر اﻟﻌﺪﻳﺪ ﻣﻦ
اﻟﺒﺎﺣﺜﲔ إﱃ أن اﻟﺘﺤﺪﻳﺎت اﻻﺟﺘﻤﺎﻋﻴﺔ اﳊﻀﺎرﻳﺔ اﳊﺪﻳﺜﺔ ﺗﺘﻄﻠﺐ ﺧﺮﳚﲔ ﻳﺴﺘﻄﻴﻌﻮن ﺣﻞ اﳌﺸﻜﻞ اﳌﻌﻘﺪة ﺑﻄﺮﻳﻘﺔ ﻓﻌﺎﻟﺔ].[598-601
إن اﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ) (PBLﻳﺘﺸﻜﻞ ﻣﻦ ﻣﺸﺎرﻳﻊ ﺗﺘﻜﻮن ﻣﻦ ﻣﻬﺎم ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﲢﺪي اﳌﺸﺎﻛﻞ اﻟﺼﻌﺒﺔ ،واﻟﱵ ﺗﺴﺘﻠﺰم
إﺷﺮاك اﻟﻄﻼب ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ ،ﺣﻞ اﳌﺸﻜﻼت ،اﲣﺎذ اﻟﻘﺮارات ،إﻋﻄﺎء اﻟﻔﺮﺻﺔ ﻟﻠﻄﻼب ﻟﻠﻌﻤﻞ ﺑﻄﺮﻳﻘﺔ ﻣﺴﺘﻘﻠﺔ ،واﻟﻨﺘﻴﺠﺔ ﺳﻮف ﺗﺆدي
إﱃ ﻣﻨﺘﺞ ﺣﻘﻴﻘﻲ ﺗﻄﺒﻴﻘﻲ] [589,590واﻟﺬي ﻟﻪ ﺗﺄﺛﲑ ﻣﺒﺎﺷﺮ ﻋﻠﻰ زﻳﺎدة ﺣﺎﻓﺰ وﺗﻔﺎﻋﻞ اﻟﻄﻼب ﲡﺎﻩ اﳌﻮﺿﻮع اﳌﺪروس][593؛ اﳌﺸﺎرﻳﻊ ﳚﺐ أن
ﺗﺘﻀﻤﻦ ﳏﺘﻮى ﺣﻘﻴﻘﻲ أﺻﻴﻞ ،ﺗﻘﻴﻴﻢ ﻓﻌﺎل ،أﻫﺪاف واﺿﺤﺔ ،ودور اﳌﻌﻠﻢ ﻛﻤﻮﺟﻪ] .[591ﻛﻤﺎ ﻳﺆّﻛﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺒﺎﺣﺜﲔ ﻋﻠﻰ أن اﳌﺸﺎرﻳﻊ
ﳚﺐ أن ﺗﺘﻀﻤﻦ ﻋﻨﺎﺻﺮ ﻟﻠﺘﻔﻜﲑ واﻟﺘﺄﻣﻞ ،اﻟﺘﻌﻠﻢ اﻟﺘﻌﺎوﱐ ،وﻣﻬﺎرات ﺧﺎﺻﺔ ﺑﺎﻟﺒﺎﻟﻐﲔ].[592
ﺑﺄن ﻣﻬﺎم PBLﳚﺐ أن ﺗﻘﺤﻢ اﻟﻄﻼب ﰲ ﻋﻤﻞ ﺑﻨﺎﺋﻲ ،وﻫﺬﻩ اﳌﻬﺎم ﳚﺐ أن ﻳﻜﻮن اﻟﻄﻼب اﶈﻮر اﻷﺳﺎﺳﻲ ﻓﻴﻬﺎ ﺑﺸﻜﻞ ][593
ﻳﺆﻛﺪ
ﻃﺒﻴﻌﻲ .ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أﻧﻪ ﻟﻴﺲ ﻫﻨﺎك ﳕﻮذج ﻓﺮﻳﺪ واﺣﺪ ﻟﻠ ـ ،PBLﻛﻤﺎ أن اﻟﺘﻌﺮﻳﻒ ﺣﻮل ﻫﺬا اﳌﻮﺿﻮع ﻳﺘﻔﺎوت إﱃ ﺣﺪ ﻛﺒﲑ ،إﻻ أن ﻫﻨﺎك
ﺑﻌﺾ اﻟﻌﻤﻮﻣﻴﺎت ،ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :إن اﻟـ PBLﻟﻴﺲ ﻫﻮ ﻋﺒﺎرة ﻣﻬﺎم ﺑﺪﻳﻬﻴﺔ ﻋﺎدﻳﺔ] ،[593إن اﳌﺸﺮوع ﳚﺐ أن ﻳﻜﻮن ﻟﻪ ﻫﺪف واﺿﺢ]،[591
ﳚﺐ أن ﳛﺴﻦ اﺳﺘﻘﻼﻟﻴﺔ اﻟﻄﺎﻟﺐ وﻳﻘﻮي وﻳﻌﺰز ﻣﻬﺎرات اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﺒﻴﺔ ﻟﺪﻳﻬﻢ] ،[594ﻳﻄﻮرون ﻣﻬﺎرات ﺣﻞ اﳌﺸﺎﻛﻞ واﻟﱵ ﺗﻌﺘﱪ ﺿﺮورﻳﺔ
ﻟﻠﺘﻌﻠﻢ ﻃﻮﻳﻞ اﻷﻣﺪ] ،[593اﳌﺸﺎرﻳﻊ ﻣﻌﻘﺪة ﺑﺎﻟﻔﻄﺮة وﻫﻢ ﻳﺆﻛﺪون ﻋﻠﻰ وﺟﻮد اﻟﺘﺤﺪﻳﺎت اﻟﻐﲑ ﺑﺪﻳﻬﻴﺔ] ،[589واﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺣﻞ
اﳌﺸﺎﻛﻞ].[597
إن اﻟﻮﻇﺎﺋﻒ واﻟﺘﻘﻴﻴﻤﺎت ﻳﻠﻌﺒﺎن دوراً ﻫﺎﻣﺎً ﺟﺪاً ﰲ ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ،وذﻟﻚ ﻧﻈﺮاً ﳊﻘﻴﻘﺔ أن ﺑﻴﺌﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ
ﺣﻞ اﳌﺸﻜﻼت ﻫﻲ ﺗﺴﺘﻨﺪ ﻋﻠﻰ ﻣﺒﺎدئ وأﺳﺲ اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ].[596
2-3-3-4-4-4اﳌﻼﻣﺢ اﻟﺮﺋﻴﺴﻴﺔ ﻟﻠﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ):(The Main Characteristics of PBL
ﻳﺘﻀﻤﻦ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﺛﻼث ﻣﻼﻣﺢ رﺋﻴﺴﻴﺔ:
أوﻻً :إن اﻟـ PBLﻳﺘﺄﻟﻒ ﻣﻦ ﻣﺸﻜﻠﺔ ﻳﺘﻢ ﺗﺼﻤﻴﻤﻬﺎ ﺑﺪﻗﺔ ﲝﺚ ﺗﻜﻮن ذات ﺻﻠﺔ ﲟﻮﺿﻮع اﺧﺘﺼﺎص اﻟﻄﺎﻟﺐ ،ﻫﺬﻩ اﳌﺸﻜﻠﺔ ﳚﺐ
أن ﺗﻜﻮن ﳏﻔﺰة ،وﺗﻔﺮض ﻋﻠﻰ اﻟﻄﻼب اﺳﺘﺨﺪام اﻟﻌﺪﻳﺪ ﻣﻦ اﻻﺳﱰاﺗﻴﺠﻴﺎت ﺣﻞ اﳌﺸﻜﻼت.
ﺛﺎﻧﻴﺎً :ﻳﺘﻌﻠﻢ اﻟﻄﻼب ﰲ ﺑﻴﺌﺔ ﺗﺴﺘﻠﺰم ﻛﻼً ﻣﻦ اﻻﺳﺘﻘﻼل اﻟﺬاﰐ واﳌﺸﺎرﻛﺔ ﰲ ﳎﻤﻮﻋﺎت ﻋﻤﻞ ﻣﺼﻐﺮة.
215 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﺛﺎﻟﺜﺎً :دور ٍ
ﻛﻞ ﻣﻦ اﳌﻌﻠﻢ واﻟﻄﻼب ﳐﺘﻠﻒ ﻋﻦ اﻟﺘﻌﻠﻴﻢ اﻟﺘﻘﻠﻴﺪي ،ﺣﻴﺚ ﻳﺄﺧﺬ اﻟﻄﻼب ﻣﺴﺆوﻟﻴﺔ أﻛﱪ ﰲ ﺑﻴﺌﺔ اﻟﺘﻌﻠﻢ اﻟﻔﻌﺎل اﻟﱵ
ﻳﻜﻮن ﻓﻴﻬﺎ اﳌﻌﻠﻢ ﻛﻤﺮﺷﺪ ﻟﻠﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ.
4-3-3-4-4-4اﳌﺒﺎدئ اﻟﺘﻮﺟﻴﻬﻴﺔ ﻟﺘﺼﻤﻴﻢ ﻣﺸﻜﻠﺔ ):(Guidelines for the PBL Problem Design
إن ﻣﺴﺄﻟﺔ ﺗﺼﻤﻴﻢ اﳌﺸﻜﻠﺔ ﰲ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﻳﻌﺘﱪ أﻣﺮاً ﺑﺎﻟﻎ اﻷﳘﻴﺔ ﻟﻨﺠﺎح ﻫﺬﻩ اﻻﺳﱰاﺗﻴﺠﻴﺔ ،ﺣﻴﺚ ﻳﻮﺟﺪ ﺑﻌﺾ اﳌﺒﺎدئ
اﻟﺘﻮﺟﻴﻬﻴﺔ اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﳚﺐ اﺗﺒﺎﻋﻬﺎ ﻋﻨﺪ ﺗﺼﻤﻴﻢ اﳌﺸﻜﻠﺔ وﻫﻲ:
-إن اﳌﺸﺎﻛﻞ ﳚﺐ أن ﺗﺘﻤﺤﻮر ﺣﻮل ﻣﻮﺿﻮع ﺷﺎﺋﻊ وﺿﻤﻦ ﺣﻘﻞ ﺗﻌﻠﻢ اﻟﻄﻼب ،ﻛﻤﺎ أن اﳌﻌﻠﻮﻣﺎت واﳌﻮارد اﻷﺳﺎﺳﻴﺔ واﻟﻼزﻣﺔ
ﳌﻮﺿﻮع اﳌﺸﻜﻠﺔ ﳚﺐ أن ﺗﻜﻮن ﻣﺘﺎﺣﺔ ﻟﻠﻄﻼب أﻳﻀﺎً.
-إن اﳌﺸﺎﻛﻞ ﳚﺐ أن ﺗﺼﻤﻢ ﺑﺸﻜﻞ ﻫﺮﻣﻲ ﲝﻴﺚ أن ﻛﻞ ﻣﺸﻜﻠﺔ ﻫﻲ دﻋﺎﻣﺔ أﺳﺎﺳﻴﺔ وﻣﺮﺗﻜﺰ ﳊﻞ اﳌﺸﻜﻠﺔ اﻟﺘﺎﻟﻴﺔ ،وﻟﻜﻦ ﻛﻞ
ﻣﺸﻜﻠﺔ ﺗﺒﲎ ﻋﻠﻰ ﳎﻤﻮﻋﺔ ﳐﺘﻠﻔﺔ ﻣﻦ اﳌﻬﺎرات.
-ن اﳌﺸﺎﻛﻞ ﳚﺐ أن ﺗﺼﻤﻢ ﻬﺑﺪف اﻻرﺗﻘﺎء ﲟﻮﺿﻮﻋﺎت اﻟﺘﺴﺎؤﻻت ﲝﻴﺚ ﺗﺸﻤﻞ ﻣﺴﺘﻮﻳﺎت اﻹدراك اﳌﻌﺮﰲ ﻛﺎﻓﺔ.
-ﳚﺐ ﺗﺰوﻳﺪ اﻟﻄﻼب ﲟﻌﻠﻮﻣﺎت إﺿﺎﻓﻴﺔ )ﻣﺜﻼً :ﻧﺘﺎﺋﺞ أوﻟﻴﺔ( ﺑﻌﺪ اﻛﺘﻤﺎل أول ﳎﻤﻮﻋﺔ ﻣﻦ أﻫﺪاف اﻟﺘﻌﻠﻢ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 216
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
-ﻣﺪرس اﳌﻘﺮر ﻻ ﳛﺘﺎج أن ﻳﻜﻮن ﺧﺒﲑاً ،وإﳕﺎ ﳛﺘﺎج ﻓﻘﻂ إﱃ ﻳﻌﲔ اﳌﻮﺿﻮﻋﺎت اﻟﱵ ﻳﻨﺒﻐﻲ ﻋﻠﻰ اﻟﻄﻼب ﻣﻨﺎﻗﺸﺘﻬﺎ ﺿﻤﻦ ﻓﺮﻳﻖ
وﻳﻘﻮم ﻋﻠﻰ إرﺷﺎدﻫﻢ ﺧﻼل اﳌﻨﺎﻗﺸﺔ.
-ﳝﻜﻦ اﻻﺳﺘﻌﺎﻧﺔ ﺑﻮﺳﺎﺋﻞ اﻟﺘﻮﺿﻴﺢ اﳌﺮﺋﻴﺔ ﻟﻌﺮض اﳌﺸﻜﻠﺔ إذا اﺣﺘﺎج اﻷﻣﺮ.
-اﳌﺸﺎﻛﻞ اﳌﻄﺮوﺣﺔ ﳚﺐ أن ﺗﻌﺎﰿ اﻟﻘﻀﺎﻳﺎ اﳊﻘﻴﻘﻴﺔ ،وذﻟﻚ ﻷﻧﻪ ﻣﻦ اﻟﺼﻌﺐ ﺟﺪاً ﻋﻠﻰ اﻟﻄﻼب إﻧﺸﺎء ﻣﺸﻜﻠﺔ ﳍﺎ ﳎﻤﻮﻋﺔ ﻣﺘﻨﺎﺳﻘﺔ
ﻣﻦ اﳌﻌﻠﻮﻣﺎت ،ﻛﻤﺎ أن اﳌﺸﺎﻛﻞ اﳊﻘﻴﻘﻴﺔ اﻟﻮاﻗﻌﻴﺔ ﺗﻌﺰز دواﻓﻊ اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب ،وذﻟﻚ ﻷ�ﻢ ﻳﺴﻌﻮن ﳌﻌﺮﻓﺔ ﻧﺘﺎﺋﺞ وﺣﻠﻮل ﻫﺬﻩ
اﳌﺴﺎﺋﻞ اﻟﻮاﻗﻌﻴﺔ.
-إن ﺗﺼﻤﻴﻢ ﻣﺸﻜﻠﺔ ﳍﺎ ﺣﻞ ﺑﺪﻳﻬﻲ ﻳﻌﺘﱪ أﻣﺮاً ﻏﲑ ﻓﻌﺎل ﻟﺘﻄﻮﻳﺮ ﺗﻔﻜﲑ وﻣﻨﻄﻖ اﻻﺳﺘﻨﺘﺎج واﻟﺘﻮﺟﻴﻪ اﻟﺬاﰐ ﳌﻬﺎرات اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب،
وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﳌﺸﺎﻛﻞ ﳚﺐ أن ﺗﻜﻮن ﻏﲑ ﻣﺄﻟﻮﻓﺔ ،ﺟﺪﻳﺪة ،وﻣﻌﻘﺪة ﲟﺎ ﻓﻴﻪ اﻟﻜﻔﺎﻳﺔ ﺑﺎﻟﻨﺴﺒﺔ ﳍﻢ.
-ﳚﺐ أن ﺗﺼﻤﻢ اﳌﺸﺎﻛﻞ ﲝﻴﺚ ﻳﻜﻮن ﻟﻠﻄﻼب ﻣﻌﺮﻓﺔ ﻣﺴﺒﻘﺔ ﲟﻮﺿﻮﻋﻬﺎ ،ذﻟﻚ ﻬﺑﺪف ﲢﻔﻴﺰ اﻟﻄﻼب ﺑﺸﻜﻞ ﻓﻌﺎل ﳊﻞ اﳌﺸﻜﻠﺔ.
-إن ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ وﺣﻞ اﳌﺸﻜﻼت ﳚﺐ أن ﺗﺘﻢ ﰲ ﳎﻤﻮﻋﺎت ﺻﻐﲑة )ﻣﺆﻟﻔﺔ ﻣﻦ ﺛﻼث إﱃ ﲬﺲ ﻃﻼب( ﻷ�ﺎ اﻟﻄﺮﻳﻘﺔ اﻷﻣﺜﻞ
واﻷﻛﺜﺮ ﻓﺎﺋﺪة ﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﺿﻤﻦ ﻓﺮﻳﻖ ﻋﻤﻞ.
Problem
Solution Ideas
Resources Knowledge
اﻟﻌﻤﻠﻴﺎت اﻟﻤﺮﺣﻠﺔ
ﺘﻢ ﻓﻴﻬﺎ ﲢﺪﻳﺪ اﳌﺸﻜﻠﺔ وﻋﻨﺎﺻﺮﻫﺎ اﻷﺳﺎﺳﻴﺔ وﲨﻴﻊ اﻷﻣﻮر اﳌﺮﺗﺒﻄﺔ ﻬﺑﺎ. Problem
ﻣﻨﺎﻗﺸﺔ اﻷﻓﻜﺎر اﳌﺮﺗﺒﻄﺔ وﲢﺪﻳﺪ ﻣﺎ ﻳﻌﺮﻓﻪ اﻟﻄﻼب ﻣﺴﺒﻘﺎً ) (prior-knowledgeﻋﻦ اﳌﻮﺿﻮﻋﺎت اﳌﺮﺗﺒﻄﺔ ﺑﺎﳌﺸﻜﻠﺔ Ideas
Knowledgeﻣﻨﺎﻗﺸﺔ ﻋﻦ أي ﺷﻲء ﳚﺐ أن ﻧﺒﺤﺚ وﻣﻦ أﻳﻦ ﻧﺒﺪأ ﳊﻞ اﳌﺸﻜﻠﺔ.
ﻣﺎﻫﻲ اﳌﺼﺎدر اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﺳﻮف ﻧﺴﺘﺨﺪﻣﻬﺎ ﰲ ﺣﻞ اﳌﺸﻜﻠﺔ وﻛﻴﻒ ﻧﺼﻞ إﻟﻴﻬﺎ وﻧﺴﺘﻔﻴﺪ ﻣﻨﻬﺎ ،اﳌﺼﺎدر ﻗﺪ ﺗﻜﻮن :اﻟﻜﺘﺐ ،اﳌﻘﺎﻻت،
Resources
اﻟﺘﻘﺎرﻳﺮ ،ﻣﻮاﻗﻊ اﻹﻧﱰﻧﺖ ،أو ﺣﱴ اﺳﺘﺸﺎرة اﳌﺨﺘﺼﲔ ﻣﻦ ﺣﻘﻮل ﻣﻌﺮﻓﻴﺔ ﳐﺘﻠﻔﺔ... ،
ﻣﻨﺎﻗﺸﺔ اﳊﻠﻮل اﳌﺜﻠﻰ ﻟﻠﻤﺸﻜﻠﺔ ،وﳌﺎذا؟ ﻣﻨﺎﻗﺸﺔ اﻹﳚﺎﺑﻴﺎت واﻟﺴﻠﺒﻴﺎت ﻟﻠﺤﻠﻮل اﳌﻘﱰﺣﺔ. Solutions
ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث اﻟﱵ ﺗﺼﻒ ﺳﲑ ﻋﻤﻞ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت] .[616-618ﺑﺸﻜﻞ ﻋﺎم ﺗﺘﻀﻤﻦ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ
اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت اﳋﻄﻮات اﳌﻮﺿﺤﺔ ﻋﻠﻰ اﳌﺨﻄﻂ اﻟﺘﺪﻓﻘﻲ اﳌﻨﻬﺠﻲ ﰲ اﻟﺸﻜﻞ.9-4
إن ﻋﻤﻠﻴﺔ ﺗﻨﻈﻴﻢ اﻷﻓﻜﺎر واﻟﻔﺮﺿﻴﺎت ) (Organizing the Ideasﺗﺘﻢ ﻣﻦ ﺧﻼل اﻟﺘﻮاﺻﻞ واﻟﺘﺤﺎور وﺗﻘﻴﻢ اﳌﻔﺎﻫﻴﻢ ﺑﻨﺎءً ﻋﻠﻰ اﳌﻌﻠﻮﻣﺎت
اﻷوﻟﻴﺔ اﳌﺘﻮﻓﺮة ﻟﺪى أﻓﺮاد اﻟﻔﺮﻳﻖ.
إن ﻋﻤﻠﻴﺔ اﻟﺘﻘﻴﻴﻢ ) Evaluationﻬﺗﺪف إﱃ ﲢﺪﻳﺪ اﻟﻨﺎﺗﺞ اﳌﻌﺮﰲ واﻟﻔﻬﻢ ﻟﻠﻄﻼب ﻣﻦ ﻫﺬﻩ اﻟﻌﻤﻠﻴﺔ ،وﲢﺪﻳﺪ ﻓﻴﻤﺎ إذا ﻛﺎن اﻟﻄﻼب ﻣﺎ
ﻳﺰاﻟﻮن ﳛﺘﺎﺟﻮن إﱃ ﺗﻌﻠﻢ ﻣﻮﺿﻮﻋﺎت أﺧﺮى ﻣﺮﺗﺒﻄﺔ ﻻﺳﺘﻜﻤﺎل ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ.
Evaluation-Conclusion
ﺗﻘﻴﻴﻢ وﻧﺘﻴﺠﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 218
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
إن ﻣﺮﺣﻠﺔ ﺑﻨﺎء اﻟﺘﻄﺒﻴﻖ ﳚﺐ أن ﺗﺮﺗﺒﻂ ﲟﻨﻬﺠﻴﺔ ﺗﺪﻋﻰ ” “Hands-on Learningواﻟﱵ ﺳﻮف ﻧﻘﺪﻣﻬﺎ ﻓﻴﻤﺎ ﻳﺄﰐ.
6-3-3-4-4-4دور اﳌﻌﻠﻢ ﰲ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ):(Teacher Role in PBL Process
ﻣﻦ اﳌﻬﻢ ﺟﺪاً ﻗﺒﻞ اﻟﺒﺪء ﰲ اﳌﻘﺮر اﻟﺘﻌﻠﻴﻤﻲ اﳌﺴﺘﻨﺪ إﱃ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﺗﻮﺿﻴﺢ ﻣﻔﻬﻮم ﻫﺬﻩ اﻻﺳﱰاﺗﻴﺠﻴﺔ واﻟﻔﺎﺋﺪة
ﻣﻨﻬﺎ ﻟﻠﻄﻼب .اﳉﺪول 4-4ﻳﺒﲔ دور اﳌﻌﻠﻢ ﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻣﻦ أﺟﻞ ﻛﻞ ﻣﺮﺣﻠﺔ ﻣﻦ ﻣﺮاﺣﻞ ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت.
ﺗﻘﺪﱘ اﳌﺸﻜﻠﺔ واﳌﻔﺎﻫﻴﻢ اﻟﻌﺎﻣﺔ اﳌﺮﺗﺒﻄﺔ ﺑﺎﺳﺘﺨﺪام وﺳﺎﺋﻞ اﻹﻳﻀﺎح اﳌﺨﺘﻠﻔﺔ )رﺳﻮﻣﺎت ،ﳐﻄﻄﺎت ،ﻋﺮوض(. ‹
ﲢﺪﻳﺪ ﻫﻮﻳﺔ اﳌﺸﻜﻠﺔ
اﻻﺳﺘﻌﻼم ﻣﻦ اﻟﻄﻼب ﻓﻴﻤﺎ إذا ﻛﺎن ﻟﺪﻳﻬﻢ ﻣﻌﺮﻓﺔ أو ﺧﱪة ﺳﺎﺑﻘﺔ ﲟﻮﺿﻮع اﳌﺸﻜﻠﺔ اﳌﻄﺮوﺣﺔ. ‹
ﺗﻘﺴﻴﻢ اﻟﻄﻼب إﱃ ﳎﻤﻮﻋﺎت ﻣﻜﻮﻧﺔ ﻣﻦ 5~10ﻼب ،وﻳﻔﻀﻞ أن ﺗﻜﻮن ﻣﺴﺘﻮﻳﺎﻬﺗﻢ ﳐﺘﻠﻔﺔ. ‹
اﻟﺘﺄﻛﺪ ﻣﻦ أن اﻟﻄﻼب ﻳﻔﻬﻤﻮن اﳌﺸﻜﻠﺔ ‹ ﻃﺮح اﻷﻓﻜﺎر
اﻟﺘﺄﻛﻴﺪ ﻋﻠﻰ أﻧﻪ داﺋﻤﺎً ﻳﻮﺟﺪ أﻛﺜﺮ ﻣﻦ ﺣﻞ ﻟﻠﻤﺸﻜﻠﺔ ،وﻟﻜﻦ ﳚﺐ ﲢﺪﻳﺪ اﳊﻞ اﻷﻧﺴﺐ وﺗﻮﺿﻴﺢ ﳌﺎذا؟ ‹ &
ﻳﺘﻴﺢ ﻟﻠﻄﻼب اﺳﺘﺨﺪام ﻣﺼﺎدر اﻟﺘﻌﻠﻢ )اﻷﻧﱰﻧﺖ ،اﻟﻜﺘﺐ ،اﻷوراق اﻟﺒﺤﺜﻴﺔ.(... ، ‹ ﺣﺎﺟﺎت اﻟﺘﻌﻠﻢ
اﻟﺘﺄﻛﺪ ﻣﻦ أن اﻟﻄﻼب ﻣﺪرﻛﲔ ﻟﻠﻤﺼﺎدر اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﺘﻮﻓﺔ ﻟﺪﻳﻬﻢ واﺳﺘﺜﻤﺎرﻫﺎ ﺑﺎﻟﺸﻜﻞ اﻟﺼﺤﻴﺢ. ‹
ﻣﺮاﻗﺒﺔ اﻟﻄﻼب وﺗﺰوﻳﺪﻫﻢ ﺑﺎﻟﺪﻋﻢ ﻋﻨﺪ اﳊﺎﺟﺔ )ﺑﺪون اﻟﺘﺄﺛﲑ أو اﻟﺘﺤﻜﻢ ﰲ ﻧﺸﺎﻃﻬﻢ ﰲ ﺣﻞ اﳌﺸﻜﻠﺔ(. ‹
اﺧﺘﺒﺎر اﻷﻓﻜﺎر
ﻳﺪﻗﻖ ،ﻳﺄﺧﺬ ﻣﻼﺣﻈﺎت ﺧﻼل ﻋﻤﻠﻴﺔ اﳌﺸﺎرﻛﺔ. ‹
ﻳﺰود اﻟﻄﻼب ﺑﺎﻟﻔﺮﺻﺔ ﻟﻌﺮض وﻣﺸﺎرﻛﺔ وﻣﻨﺎﻗﺸﺔ اﻟﻨﺘﺎﺋﺞ وﻳﺪﻳﺮ ﻫﺬﻩ اﳌﻨﺎﻗﺸﺔ. ‹
ﻳﻘﻴﻢ ﻧﺸﺎط اﻟﻄﻼب ﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ وﻳﻘﺪم ﺑﻨﺎءً ﻋﻠﻰ اﻟﺘﻘﻴﻴﻢ واﳌﺮاﻗﺒﺔ ﻧﺸﺎﻃﺎت أﺧﺮى ﻻﺣﻘﺔ وﻣﺮﺗﺒﻄﺔ ‹ اﻟﺘﻘﻴﻴﻢ واﻟﻨﺘﺎﺋﺞ
ﺗﻌﺰز ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب ﰲ ﺑﻌﺾ اﻟﻨﻘﺎط.
إن ﺗﻄﺒﻴﻖ ﻧﻈﺮﻳﺎت ﺗﺮﺑﻮﻳﺔ ﻓﻌﺎﻟﺔ ﰲ ﺗﻌﻠﻢ وﺗﻌﻠﻴﻢ اﳌﻨﺎﻫﺞ اﳍﻨﺪﺳﻴﺔ ﺳﻴﻨﺘﺞ ﺗﻌﻠﻴﻤﺎً ﻫﻨﺪﺳﻴﺎً ﻋﺎﱄ اﳉﻮدة ،وﻟﻜﻦ ﻫﻨﺎك ﺳﺆال ﻣﻬﻢ ﳚﺐ ﻃﺮﺣﻪ:
ﻫﻞ ﻫﻨﺎك أﻳﺔ ﻋﻼﻗﺔ ﺑﲔ اﻟﻨﻈﺮﻳﺎت اﳍﻨﺪﺳﻴﺔ واﻟﻨﻈﺮﻳﺎت اﻟﱰﺑﻮﻳﺔ؟
اﻟﻔﻘﺮات اﻟﺘﺎﻟﻴﺔ ﺗﻮﺿﺢ اﻟﺼﻠﺔ ﺑﲔ أﺟﺰاء ﻣﻦ أﲝﺎث ﻋﻠﻢ اﻟﱰﺑﻴﺔ ﻣﻊ ﳎﺎل أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﰲ اﳍﻨﺪﺳﺔ.
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ اﻻﺳﺘﺨﺪام اﻟﻀﺌﻴﻞ ﳍﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﰲ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ] ،[623,624إﻻ أﻧﻪ ﳝﻜﻦ ﻣﻼﺣﻈﺔ ﺑﻌﺾ اﻟﺘﻄﺮق ﻟﺘﻠﻚ اﻟﻄﺮق ﰲ
اﻟﻌﺪﻳﺪ ﻣﻦ اﻷوراق اﻟﺒﺤﺜﻴﺔ اﻟﱰﺑﻮﻳﺔ اﻟﺘﻌﺮﻳﻔﻴﺔ ،ﺑﻞ ﳝﻜﻦ اﻟﻘﻮل أن ﻫﻨﺎك إﲨﺎع ﻧﺴﱯ ﺣﻮل اﳌﻮﺿﻮع.
وﻟﺘﻮﺿﻴﺢ ذﻟﻚ ،ﺳﻨﻮﺿﺢ اﻟﻌﻼﻗﺔ ﺑﲔ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ وﻧﻈﻢ اﻟﺘﺤﻜﻢ ) ،(Control Systemsﺣﻴﺚ ﺗﺒﻨﺖ ﳎﻤﻮﻋﺔ ﻛﺒﲑة ﻣﻦ أﲝﺎث
اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﻟﻌﺪﻳﺪ ﻣﻦ ﻣﺒﺎدئ ﻫﻨﺪﺳﺔ ﺗﺼﻤﻴﻢ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ،وﺑﺸﻜﻞ ﺧﺎص اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ )،(Educational Feedback
اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ أو اﻟﺘﻜﻮﻳﲏ ) ،[625,626](Formative Assessmentاﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ )،[627,628](Self-regulated Learning
اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ).[629,630](Instructional Design
219 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﺳﻨﻌﺮض ﰲ ﻣﺎ ﻳﺄﰐ ﻣﻦ اﻟﻔﻘﺮات ﻣﻘﺪﻣﺔ ﻣﻘﺘﻀﺒﺔ ﻋﻦ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ،وﺳﻨﺘﺒﻌﻬﺎ ﺑﻨﻈﺮة ﻋﺎﻣﺔ إﱃ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ اﳌﺮﺗﺒﻄﺔ
ﺑﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ.
Comparison
Feedback
2-5-4اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ وأﲝﺎث اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ ):(Feedback and Formative Assessment Research
ﺗﻌﺮف اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ واﻟﺘﻌﻠﻴﻤﻴﺔ ﻋﻠﻰ أ�ﺎ :اﳌﻌﻠﻮﻣﺎت اﳌﻘﺪﻣﺔ ﻣﻦ ﻗﺒﻞ ﻋﺎﻣﻞ ﻣﺎ )اﳌﻌﻠﻢ ،اﻟﺰﻣﻼء ،اﻟﻜﺘﺐ ،اﳋﱪات(
ﻓﻴﻤﺎ ﻳﺘﻌﻠﻖ ﺑﺄداء اﻟﻔﺮد وﻓﻬﻤﻪ] ،[625وﻗﺪم [633]Johnsonأﻳﻀﺎً ﻌﺮﻳﻔﺎً ﻣﺸﺎﻬﺑﺎً ﻟﻠﺘﻌﺮﻳﻒ اﻟﺴﺎﺑﻖ.
ﺗﻌﺘﱪ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻋﻨﺼﺮاً أﺳﺎﺳﻴﺎً ﰲ ﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻢ اﻟﱰﺑﻮﻳﺔ اﻟﺴﺎﺋﺪة ﻛﺎﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ] [505واﻹدراﻛﻴﺔ] [513واﻟﺒﻨﺎﺋﻴﺔ]،[545,553,634,635
وﻗﺪ ﰎ اﻟﺘﺄﻛﻴﺪ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث ﻋﻠﻰ اﻟﺪور اﳍﺎم ﻟﻠﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ ﲢﻘﻴﻖ اﻟﺘﻌﻠﻴﻢ اﻟﻔﻌﺎل] ،[630,636,637ﻛﻤﺎ ﰎ اﻟﺘﺄﻛﻴﺪ ﻣﺮاراً ﻋﻠﻰ
إدﺧﺎل اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ ﻋﻤﻠﻴﺎت اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ وإﺟﺮاء اﳌﻘﺎرﻧﺔ ﻣﻊ اﳊﺎﻻت اﻟﱵ ﻻ ﻳﻜﻮن ﻓﻴﻬﺎ ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ] ،[638,639ﺣﻴﺚ إن وﺟﻮد
اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﳝﻜﻦ أن ﻳﻘﻠﻞ ﻣﻦ اﻟﻌﺐء اﳌﻌﺮﰲ ) ،(Cognitive Loadوﺧﺼﻮﺻﺎً ﻋﻨﺪ اﳌﺘﻌﻠﻤﲔ اﳌﺒﺘﺪﺋﲔ] ،[540وﻫﻨﺎك ﻣﻦ ﻳﺆﻛﺪ ﻋﻠﻰ
وﺟﻮب ﺗﻮﻓﺮ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ أي ﻋﻤﻠﻴﺔ ﺗﻌﻠﻴﻢ].[640
وﻓﻘﺎً ﻟـ Krauseﺗﻌﺘﱪ ﻣﻌﺮﻓﺔ اﻟﻨﺘﺎﺋﺞ أو ﻣﻌﺮﻓﺔ ﻣﺎ ﻫﻮ اﳉﻮاب اﻟﺼﺤﻴﺢ أﺣﺪ أﺷﻜﺎل اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ] ،[639وﻟﻜﻦ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻷﻛﺜﺮ
ﻓﻌﺎﻟﻴﺔ ﻫﻲ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﳌﻔﺼﻠﺔ اﻟﱵ ﲢﺘﻮي ﻣﻌﻠﻮﻣﺎت ﻋﻦ ﻛﻴﻔﻴﺔ ﲢﺴﲔ اﳉﻮاب].[641,642
ﻟﻘﺪ ﻗﺎم [626]Shuteﺑﻮﺿﻊ اﳌﺒﺎدئ اﻟﺘﻮﺟﻴﻬﻴﺔ اﻟﺸﺎﻣﻠﺔ ﻟﺘﻮﺻﻴﻞ ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﺑﻨﺎﺋﻴﺔ ﺑﺪف ﺗﻌﺰﻳﺰ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،وﺗﻘﻠﻴﻞ اﻟﻔﺠﻮة ﺑﲔ اﻟﻔﻬﻢ
اﳊﺎﱄ واﻟﻔﻬﻢ اﳌﺮﻏﻮب ﻓﻴﻪ ﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،وﻛﺬﻟﻚ اﳊﺼﻮل ﻋﻠﻰ ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﺑﻨﺎﺋﻴﺔ ﺗﺘﻌﻠﻖ ﺑﺎﻟﺼﻔﺎت اﳋﺎﺻﺔ ﺑﺎﳌﺘﻌﻠﻤﲔ.
ﻳﻘﱰح ﳕﻮذج [625]Hattieاﳋﺎص ﺑﺎﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﻔﻌﺎﻟﺔ أﻧﻪ ﳚﺐ ﺗﺴﻠﻴﻂ اﻟﻀﻮء ﻋﻠﻰ ﺛﻼﺛﺔ أﺳﺌﻠﺔ رﺋﻴﺴﺔ :ﻣﺎ ﻫﻲ اﻷﻫﺪاف؟ ﻣﺎ ﻫﻲ آﻟﻴﺔ
اﻟﻌﻤﻞ اﻟﱵ اﲣﺬت ﻟﺘﺤﻘﻴﻖ اﳍﺪف؟ ﻣﺎ ﻫﻲ اﻷﻧﺸﻄﺔ اﳌﻄﻠﻮﺑﺔ ﻟﺘﺤﻘﻴﻖ ﺗﻘﺪم ﻟﻠﻬﺪف ﺑﺸﻜﻞ أﻓﻀﻞ؟
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 220
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
اﻷﺳﺌﻠﺔ اﻟﺜﻼﺛﺔ اﻟﱵ وﺿﻌﻬﺎ [625]Hattieذات ﺻﻠﺔ ﺑﻨﻤﻮذج ﺣﻠﻘﺔ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﳌﻮﺿﺤﺔ ﺑﺎﻟﺸﻜﻞ 10-4ﺣﻴﺚ أن :اﻟﺴﺆال اﻷول ﳝﺜﻞ
اﻹﺷﺎرة اﳌﺮﺟﻌﻴﺔ ) (Referenceأو ﻧﻘﻄﺔ اﻟﻀﺒﻂ ) ،(Set-pointوﺑﺪون ﻧﻘﻄﺔ ﻣﺮﺟﻌﻴﺔ واﺿﺤﺔ ﺳﻴﻔﻘﺪ ﻧﻈﺎم اﻟﺘﺤﻜﻢ ﻫﺪﻓﻪ اﻷﺳﺎﺳﻲ،
وﻗﺪ ﰎ اﻟﺘﺄﻛﻴﺪ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷوراق اﻟﺒﺤﺜﻴﺔ اﻟﱰﺑﻮﻳﺔ ﻋﻠﻰ أﳘﻴﺔ وﺿﻊ أﻫﺪاف واﺿﺤﺔ ﻟﻠﺘﻌﻠﻴﻢ ) (e.g. Set-pointﺑﺪف اﳊﺼﻮل ﻋﻠﻰ
ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﻓﻌﺎﻟﺔ][643,644؛ ﻛﻤﺎ أن اﻟﺴﺆال اﻟﺜﺎﱐ ﳝﺜﻞ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ )(Measurements & Feedback؛ أﻣﺎ اﻟﺴﺆال اﻟﺜﺎﻟﺚ
ﻓﻴﻤﺜﻞ اﳌﺘﺤﻜﻢ ) (Controllerاﻟﺬي ﻳﻘﻮد اﻟﻌﻤﻠﻴﺔ ﻟﺘﺤﻘﻴﻖ اﻷﻫﺪاف اﳌﺮﻏﻮﺑﺔ.
ﻳﻌﺪ اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ ) (Formative Assessmentﻧﻮﻋﺎً أﺳﺎﺳﻴﺎً ﻣﻦ أﻧﻮاع اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ ،وﻳﻌﺮف ﻋﻠﻰ أﻧﻪ اﻟﻌﻤﻠﻴﺔ
اﻟﱵ ﺗﻘﻮم ﺑﺘﻘﻴﻴﻢ ﻧﺘﺎﺋﺞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﱵ ﳜﻀﻊ ﳍﺎ اﳌﺘﻌﻠﻢ ،وﺗﻘﺪﱘ اﻟﻨﺘﺎﺋﺞ اﻟﺘﻘﻴﻴﻤﻴﺔ ﻟﻠﻤﺘﻌﻠﻢ.
إن اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ ﳝﻜﻦ أن ﻳﺘﻀﻤﻦ ﻧﺸﺎﻃﺎت ﻣﺜﻞ اﻷﺳﺌﻠﺔ ﺿﻤﻦ اﻟﺼﻒ ،اﻟﺘﻘﻴﻴﻢ اﻟﺬاﰐ ) ،(Self-assessmentوﺗﻘﻴﻴﻢ اﻟﺰﻣﻼء )Peer-
،(assessmentواﻻﺧﺘﺒﺎرات] .[645,646وﻣﻦ ﻣﻨﻈﻮر أﺿﻴﻖ ،ﻓﺎﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ ﻳﻌﺘﱪ أداة ﻣﻬﻤﺔ ﰲ اﻟﺘﻌﻠﻴﻢ ﻟﺘﻨﻈﻴﻢ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻢ اﻟﻄﻼب]،[647
وﻫﻮ ﳝﻜﻦ أن ﻳﺴﺎﻋﺪ اﻟﻄﻼب ﻟﻠﺘﻜﻴﻒ ﻣﻊ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﺑﺎﻟﺸﻜﻞ اﻷﻣﺜﻞ].[649
،Winneوﺑﺎﻟﻨﻈﺮ إﱃ ﻫﺬا اﻟﻨﻤﻮذج ﳒﺪ ﺻﻠﺔً ﺑﻴﻨﻪ وﺑﲔ ﻧﻈﺎم اﻟﺘﺤﻜﻢ ذو اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﳌﻮﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ ،10-4وﻳﻌﺘﱪ Juwah
إﺣﺪى أﻗﻮى اﻟﺪراﺳﺎت اﻟﱵ ﺗﺪﻋﻢ أﳘﻴﺔ اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ،وﻗﺪ ﺷﺮح Sadlerﺛﻼﺛﺔ ﻋﻮاﻣﻞ ][651
اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ ﻟ ـSadler
ﻫﺎﻣﺔ )ﲤﺜﻞ ﺣﻠﻘﺔ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﰲ ﻧﻈﺎم اﻟﺘﺤﻜﻢ ذو اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ( ﳚﺐ ﻋﻠﻰ اﻟﻄﻼب أﺧﺬﻫﺎ ﺑﻌﲔ اﻻﻋﺘﺒﺎر ﻟﻼﺳﺘﻔﺎدة ﻣﻦ اﻟﺘﻐﺬﻳﺔ
اﻟﻌﻜﺴﻴﺔ ،وﻫﻲ أﻧﻪ ﳚﺐ ﻋﻠﻰ اﻟﻄﻼب:
-1اﻣﺘﻼك ﻓﻜﺮة ﻋﻦ اﳍﺪف أو اﳌﺴﺘﻮى اﻟﺬي ﻳﻄﻤﺤﻮن إﻟﻴﻪ.
-2ﻣﻘﺎرﻧﺔ ﻣﺴﺘﻮى أداﺋﻬﻢ اﳊﺎﱄ ﻣﻊ ذﻟﻚ اﳍﺪف أو اﳌﺴﺘﻮى.
-3اﲣﺎذ اﻟﺘﺪﺑﲑ اﻷﻧﺴﺐ اﻟﺬي ﻳﺆدي إﱃ ﺗﻘﻠﻴﺺ اﳍﻮة ﺑﲔ اﳌﺴﺘﻮى اﳊﺎﱄ وﺑﲔ ﻣﺎ ﻳﻄﻤﺤﻮن إﻟﻴﻪ.
إن اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ اﳊﺪﻳﺜﺔ ﺗﺆﻛﺪ ﺑﺸﻜﻞ ﻣﺘﺰاﻳﺪ ﻋﻠﻰ أﳘﻴﺔ دور إﻏﻨﺎء اﻟﺘﻌﻠﻴﻢ ﻣﻦ ﺧﻼل ﺗﻄﺒﻴﻖ اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ وﺗﺰوﻳﺪ اﻟﻄﻼب ﺑﺎﳌﺰﻳﺪ ﻣﻦ
اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ.
اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ ﺗﺸﲑ إﱃ أن ﺗﻘﺪﱘ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﳝﺜﻞ اﻟﻄﺮﻳﻘﺔ اﻷﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ اﻟﱵ ﳝﻜﻦ ﻟﻠﻤﻌﻠﻤﲔ اﺳﺘﺨﺪاﻣﻬﺎ ﻟﺘﻌﺰﻳﺰ وزﻳﺎدة ﺗﻌﻠﻢ اﻟﻄﻼب،
ﻛﻤﺎ ﺗﺆﻛﺪ اﻷﲝﺎث ﻋﻠﻰ أن ﺗﻠﻚ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﳚﺐ أن ﺗﻘﺪم ﻓﻮراً وﺑﺪون أي ﺗﺄﺧﲑ] ،[637وﻫﺬا ﻳﺸﺒﻪ إﱃ ﺣﺪ ﻛﺒﲑ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ
ﺣﻴﺚ ﻳﻜﻮن ﺗﻮﻓﲑ ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﻣﺴﺘﻘﺮة ﺿﺮورﻳﺎً ﻟﺒﻨﺎء أﻧﻈﻤﺔ ﻣﺴﺘﻘﺮة ﲢﻘﻖ اﻷﻏﺮاض اﳌﺼﻤﻤﺔ ﻣﻦ أﺟﻠﻬﺎ].[631,632
221 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
Performance
Motivational Believe
STUDENT
Monitoring Gap
)(Self .Assessment
External
External External Feedback
Observable
)(Teacher/Peers/Employers
Outcomes
][649
اﻟﺸﻜﻞ 11-4ﳕﻮذج اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻟ ـJuwah
ﻟﻘﺪ أﻃﻠﻖ ﻣﺼﻄﻠﺢ اﻟﺘﻌﻠﻢ واﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ ) (SRLﻷول ﻣﺮة ﰲ ﻣﻨﺘﺼﻒ اﻟﺜﻤﺎﻧﻴﻨﺎت] ،[652ﰒ ﻧﺸﺮت اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪراﺳﺎت
اﻟﺒﺤﺜﻴﺔ] [627,628,653-657ﺮّف وﺗﺒﲔ ﻫﺬا اﺠﻤﻟﺎل ﺑﺸﻜﻞ أوﺳﻊ ،وﺗﻘﱰح ﳕﺎذج وأدوات ﺗﻘﻴﻴﻢ وﲢﻘﻖ ﻣﻦ ﺧﻼل اﻻﺧﺘﺒﺎرات اﻟﺘﺠﺮﻳﺒﻴﺔ.
ﻳﻌﺮف [652]Zimmermanاﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﺑﺄﻧﻪ :ﻋﻤﻠﻴﺔ اﺳﺘﺒﺎﻗﻴﺔ ﻳﺴﺘﺨﺪﻣﻬﺎ اﻟﻄﻼب ﻻﻛﺘﺴﺎب اﳌﻬﺎرات اﻷﻛﺎدﳝﻴﺔ -ﻣﺜﻞ :ﲢﺪﻳﺪ
اﻷﻫﺪاف ،اﺧﺘﻴﺎر وﺗﻄﺒﻴﻖ اﻻﺳﱰاﺗﻴﺠﻴﺎت ،اﳌﺮاﻗﺒﺔ اﻟﺬاﺗﻴﺔ ﻟﻠﻔﺎﻋﻠﻴﺔ واﻷداء اﻟﺬاﰐ -ﺑﺪﻻً ﻣﻦ أن ﻳﻜﻮن اﻟﻄﺎﻟﺐ داﺋﻤﺎً ﰲ ﻣﻮﻗﻊ ردود اﻟﻔﻌﻞ
ﻟﻘﻮى وأﺣﺪاث ﺧﺎرﺟﻴﺔ.
اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﺑﺄﻧﻪ :ﻋﻤﻠﻴﺔ ﺑﻨﺎﺋﻴﺔ ﻓﻌﺎﻟﺔ ﺣﻴﺚ ﻳﻀﻊ اﳌﺘﻌﻠﻤﻮن أﻫﺪاﻓﻬﻢ ﻟﻠﺘﻌﻠﻢ وﻳﺮاﻗﺒﻮن ][658
ﻛﻤﺎ ﻋﺮف Pintrich & Zusho
وﻳﻨﻈﻤﻮن وﻳﺘﺤﻜﻤﻮن ﺑﺈدراﻛﻬﻢ اﳌﻌﺮﰲ ودواﻓﻌﻬﻢ وﺳﻠﻮﻛﻬﻢ ،ﻣﺴﱰﺷﺪﻳﻦ وﻣﺪﻓﻮﻋﲔ ﺑﺄﻫﺪاﻓﻬﻢ وﻣﻼﻣﺢ اﻟﻈﺮوف ﻟﺒﻴﺌﺔ اﶈﻴﻄﺔ ﻬﺑﻢ.
وﻳﻌﺮف [625]Hattie & Timperleyاﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﻋﻠﻰ أﻧﻪ :اﻷﺳﻠﻮب اﻟﺬي ﻣﻦ ﺧﻼﻟﻪ ﻳﻘﻮم اﻟﻄﻠﺒﺔ ﲟﺮاﻗﺒﺔ وﺗﻮﺟﻴﻪ وﺗﻨﻈﻴﻢ أﻓﻌﺎﳍﻢ
ﻟﺘﺤﻘﻴﻖ ﻫﺪف اﻟﺘﻌﻠﻢ.
وﻳﻌﺮف [659]Paris & Byrnesاﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﺑﺄﻧﻪ :ﻋﻤﻠﻴﺔ ﺑﻨﺎﺋﻴﺔ ﻳﻘﻮم اﻟﻄﻼب ﲟﻮﺟﺒﻬﺎ ﺑﺒﻨﺎء اﳌﻌﺮﻓﺔ ﺑﻄﺮق ﻣﺴﺘﻘﻠﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 222
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
إن اﻟﺘﻌﻠﻢ واﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ ﳝﺜﻞ ﺑﻄﺒﻴﻌﺘﻪ ﻋﻤﻠﻴﺔ دورﻳﺔ ) ،[660](Cyclic Processوﻫﻮ ﻣﺸﺎﺑﻪ إﱃ ﺣﺪ ﻛﺒﲑ ﻟﻨﻈﺎم ﲢﻜﻢ ذو ﺣﻠﻘﺔ ﻣﻐﻠﻘﺔ،
ووﻓﻘﺎً ﻟـ [661]Borkowskiﻓﺈن ﻧﺸﻮء اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﻳﺒﺪأ ﻋﻨﺪﻣﺎ ﻳﺘﻢ ﺗﻮﺟﻴﻪ اﻟﻄﻼب إﱃ اﺳﺘﺨﺪام اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻢ ،وﻳﻨﻀﺞ ﻋﻨﺪﻣﺎ
ﻳﺴﺘﻄﻴﻌﻮن اﺧﺘﻴﺎر اﺳﱰاﺗﻴﺠﻴﺔ ﺗﻌﻠﻢ ﻣﻨﺎﺳﺒﺔ إﺿﺎﻓﺔً إﱃ ﻣﺮاﻗﺒﺔ وﺗﻘﻴﻴﻢ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻤﻬﻢ.
ﻟﻘﺪ أﻇﻬﺮت اﻟﺪراﺳﺎت ﺣﻮل اﺳﺘﺨﺪام اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻢ ﺗﺄﺛﲑﻫﺎ ﰲ ﲢﻘﻴﻖ ﻧﺘﺎﺋﺞ ﺗﻌﻠﻴﻢ أﻓﻀﻞ] .[652ﻛﻤﺎ أن اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺪراﺳﺎت
واﻷﲝﺎث أﻇﻬﺮت أن اﳌﺘﻌﻠﻤﲔ اﻟﺬﻳﻦ ﻟﺪﻳﻬﻢ ﻗﺪر أﻛﱪ ﻣﻦ اﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ ،ﻗﺪ اﺳﺘﺨﺪﻣﻮا اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﺑﺸﻜﻞ ﻣﺘﻜﺮر ،اﻷﻣﺮ اﻟﺬي أدى
إﱃ ﻧﺘﺎﺋﺞ ﺗﻌﻠﻢ أﻓﻀﻞ].[653,655
ﻟﻘﺪ ﺷﺪد [628]Butler & Winneﻋﻠﻰ أن اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻋﻨﺼﺮ أﺳﺎﺳﻲ وﻣﺘﺄﺻﻞ ﰲ اﻟﻌﻤﻠﻴﺎت اﻟﻔﺮﻋﻴﺔ اﻟﱵ ﺗﺘﺄﻟﻒ ﻣﻨﻬﺎ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ
ذاﰐ اﻟﺘﻨﻈﻴﻢ ،ﻛﻤﺎ أن اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻳﻨﺒﻐﻲ أن ﺗﺴﺎﻋﺪ ﻋﻠﻰ ﲢﻘﻴﻖ أﻫﺪاف اﻟﺘﻌﻠﻢ واﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ.
ﻟﻴﺼﺒﺤﻮا ﻣﺘﻌﻠﻤﲔ ذاﺗﻴﻲ اﻟﺘﻨﻈﻴﻢ ) ،(Self-regulatedوﻛﻠﻤﺎ ﻛﺎن اﻟﻄﺎﻟﺐ ذاﰐ اﻟﺘﻨﻈﻴﻢ ،ﻛﻠﻤﺎ ﻗﻞ اﻟﻄﻠﺐ ﻋﻠﻰ دﻋﻢ اﳌﻌﻠﻢ ﻟﻪ] ،[662وﻗﺪ
وﺟﺪ أن ﻣﻬﺎرات اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﳝﻜﻦ ﺗﻌﻠﻤﻬﺎ ﻋﻤﻮﻣﺎً ،ﺣﱴ ﻣﻦ ﻗﺒﻞ اﻟﻄﻼب ذوي اﻟﻘﺪرات اﳌﻨﺨﻔﻀﺔ].[658
إن اﻟﻌﻨﺎﺻﺮ اﻟﺸﺎﺋﻌﺔ واﳌﻤﻴﺰة ﻟﻨﻤﺎذج اﻟﺘﻌﻠﻢ واﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ ﺗﻌﺘﱪ ﻗﻠﻴﻠﺔ ﻧﺴﺒﻴﺎً وﻫﻲ :ﲢﺪﻳﺪ اﻷﻫﺪاف ) ،(Goals Settingوﺿﻊ
اﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻢ ) ،(Learning Strategiesاﳌﺮاﻗﺒﺔ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ )(Monitoring & Feedback؛ ﺑﺎﳌﻘﺎرﻧﺔ ﻣﻊ أﻧﻈﻤﺔ
اﻟﺘﺤﻜﻢ ذات اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ،ﺑﺎﻟﻌﻮدة إﱃ اﻟﺸﻜﻞ ،10-4ﻓﺈن اﻷﻫﺪاف ﲤﺜﻞ اﻹﺷﺎرات اﳌﺮﺟﻌﻴﺔ أو ﻧﻘﻄﺔ اﻟﻀﺒﻂ )،(Set-point
واﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻢ ﲤﺜﻞ اﳌﺘﺤﻜﻢ ) ،(Controllerأﻣﺎ اﳌﺮاﻗﺒﺔ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻓﺘﻤﺜﻞ اﻟﻘﻴﺎﺳﺎت واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﳌﻘﺎﺑﻠﺔ
).[656](Measurements and Feedback
ﻟﻘﺪ ﻗﺎم ﻛﻼً ﻣﻦ [663]Puustinen & Pulkkinenﺑﺈﺟﺮاء اﺳﺘﻌﺮاض ﻟﻨﻤﺎذج اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ اﻟﱵ ﻇﻬﺮت وﺟﺮﺑﺖ ،وﻻﺣﻈﺎ أن ﳕﺎذج
اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﺗﺼﻨﻒ إﻣﺎ ﻛﻌﻤﻠﻴﺎت ﻣﻮﺟﻬﺔ ﳓﻮ ﻫﺪف ﻣﻌﲔ ﻛﻤﺎ ﰲ أﲝﺎث] ،[656,657,662أو ﻌﻤﻠﻴﺔ ﻬﺗﻴﻤﻦ ﻋﻠﻴﻬﺎ ﺣﺎﻟﺔ اﻹدراك اﳌﻌﺮﰲ
) (Metacognitionﻛﻤﺎ ﰲ أﲝﺎث].[628,661
ﰲ اﻟﻮاﻗﻊ ،إذا ﻣﺎ ﻧﻈﺮﻧﺎ إﱃ اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﻣﻦ ﻣﻨﻈﻮر ﻧﻈﻢ اﻟﺘﺤﻜﻢ ،ﻓﺈن ﻛﻼ اﳊﺎﻟﺘﲔ ،ﺳﻮاءً اﻟﻌﻤﻠﻴﺎت اﳌﻮﺟﻬﺔ ﳓﻮ ﻫﺪف ﻣﻌﲔ ،أو
ﻋﻤﻠﻴﺎت اﻹدراك اﳌﻌﺮﰲ ،ﻣﻬﻤﺔ ﻟﺘﺤﻘﻴﻖ اﳍﺪف اﳌﺮﺟﻮ ﻣﻦ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ.
إن اﻹدراك اﳌﻌﺮﰲ ﻳﺸﲑ إﱃ ﻗﺪرة اﳌﺘﻌﻠﻤﲔ ﻋﻠﻰ اﻟﺘﻘﻴﻴﻢ اﻟﺬاﰐ ﻟﺘﻘﺪﻣﻬﻢ ،وأﻳﻀﺎً ﺪرﻬﺗﻢ ﻋﻠﻰ اﺧﺘﻴﺎر اﻷﺳﺎﻟﻴﺐ واﻻﺳﱰاﺗﻴﺠﻴﺎت اﻟﱵ ﺗﻨﺎﺳﺐ
ﻃﻮر اﻟﺘﻌﻠﻢ أو اﻛﺘﺴﺎب اﳌﻬﺎرات ،وﻫﺬا ﻳﺸﺎﺑﻪ أدوات اﻟﻘﻴﺎس واﳌﻘﺎرﻧﺔ وﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ ﰲ ﻧﻈﺎم اﻟﺘﺤﻜﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ذو اﻟﺘﻐﺬﻳﺔ
اﻟﻌﻜﺴﻴﺔ اﳌﻮﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ ،10-4وإن ﻓﺸﻞ أي ﻣﻦ ﻫﺬﻩ اﻷدوات أو اﻟﻌﻨﺎﺻﺮ ﰲ أداء ﻣﻬﻤﺘﻪ ﻳﺆدي إﱃ ﻓﺸﻞ اﻟﻨﻈﺎم ﻛﻜﻞ ،وﺑﺪون
وﺟﻮد إﺷﺎرة ﻣﺮﺟﻌﻴﺔ ) (Goalﻓﺈن اﻟﻨﻈﺎم ﺳﻴﻔﻘﺪ ﺗﻮﺟﻬﻪ ،ﺣﱴ وإن ﻛﺎﻧﺖ ﲨﻴﻊ اﳌﻜﻮﻧﺎت اﻷﺧﺮى ﻟﻠﻨﻈﺎم ﺗﻌﻤﻞ ﺑﺸﻜﻞ ﺻﺤﻴﺢ.
223 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﻣﻦ ﺣﻴﺚ اﳌﺒﺪأ ،ﳝﻜﻦ أن ﻳﻨﻈﺮ إﱃ اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﻛﻨﻈﺎم ﲢﻜﻢ ذو ﺣﻠﻘﺔ ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﻳﻜﻮن ﻓﻴﻪ اﻟﻄﺎﻟﺐ ﻣﺴﺆوﻻً ﻋﻦ ﺗﻌﻴﲔ ﲨﻴﻊ
ﻂ ﺑﺎﳍﻨﺪﺳﺔ ،وﻳﺘﻨﺎول اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ.
ﻣﻜﻮﻧﺎت وإﺷﺎرات اﻟﻨﻈﺎم .ﰲ اﻟﻘﺴﻢ اﻟﺘﺎﱄ ﺳﻨﻌﺮض ﳎﺎﻻً ﺗﺮﺑﻮﻳﺎً ﲝﺜﻴﺎً ﺛﺎﻟﺜﺎً ،ﻣﺮﺗﺒ ٌ
ﻳﻌﺮض ﻛﻼً ﻣﻦ ،[629]Gustafson & Branchﰲ اﺳﺘﻌﺮاﺿﻬﻤﺎ ﻟﻨﻤﺎذج اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ،ﲬﺴﺔ ﻋﻨﺎﺻﺮ ﺟﻮﻫﺮﻳﺔ ﻟﻨﻤﻮذج اﻟﺘﺼﻤﻴﻢ
اﻟﺘﻌﻠﻴﻤﻲ ﻟﻴﻜﻮن ﻛﺎﻣﻼً ،وﻳﺘﻢ إﳒﺎز ﳕﻮذج اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ﻣﻦ ﺧﻼل اﳌﺮاﺣﻞ اﻟﺘﺎﻟﻴﺔ :اﻟﺘﺤﻠﻴﻞ ) ،(Analyzeاﻟﺘﺼﻤﻴﻢ )،(Design
اﻟﺘﻄﻮﻳﺮ ) ،(Developاﻟﺘﻘﻴﻴﻢ ) ،(Evaluateاﳌﺮاﺟﻌﺔ )(Revision؛ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﻣﱰاﺑﻄﺔ وﺗﻌﻤﻞ ﺿﻤﻦ دورة دﻳﻨﺎﻣﻴﻜﻴﺔ ﺗﻜﺮارﻳﺔ ﻛﻤﺎ
ﻳﻈﻬﺮ اﻟﺸﻜﻞ ،12-4وﻫﻲ ﺷﺒﻴﻬﺔ إﱃ ﺣﺪ ﻛﺒﲑ ﺑﻌﻤﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ ﰲ ﻫﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ.
Analyse
Revision Revision
Revision Revision
Develop
اﻟﺪراﺳﺎت اﻷوﱃ ﺣﻮل اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ﻟ ـ [666]Silvernاﻋﺘﻤﺪت ﻋﻠﻰ ﻧﻈﺮﻳﺔ اﻷﻧﻈﻤﺔ ) (Systems Theoryﰲ اﻗﱰاح أﻧﻈﻤﺔ ﺗﻌﻠﻴﻤﻴﺔ
ﻓﻌﺎﻟﺔ ،وﳝﻜﻦ ﻣﻼﺣﻈﺔ اﺳﺘﺨﺪام ﻣﺒﺎدئ ﻫﻨﺪﺳﺔ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﻟﺘﻄﻮﻳﺮ ﳕﺎذج أﻧﻈﻤﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺷﺎﻣﻠﺔ أو ﲣﺼﺼﻴﺔ ﰲ اﻟﺪراﺳﺎت اﻷوﱃ اﻟﱵ
ﻗﺪﻣﻬﺎ ﻛﻞ ﻣﻦ] ،[556,567وﻗﺪ ﺗﻄﻮرت ﻧﺘﻴﺠﺔ ﻟﻼﻋﺘﻤﺎد ﻋﻠﻰ ﻣﺒﺎدئ ﻫﻨﺪﺳﺔ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ ﰲ اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ﻫﻴﺌﺔ ﻣﺘﻜﺎﻣﻠﺔ ﻟﻸﲝﺎث
ﺗﺪﻋﻰ ﺑﻴﺌﺔ ﺗﻄﻮﻳﺮ اﻷﻧﻈﻤﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ).[630](ISD
ﳕﻮذﺟﺎً ﻣﻨﻬﺠﻴﺎً إن اﻟﺘﺼﻤﻴﻢ اﳌﻨﻬﺠﻲ اﻟﺘﻨﻈﻴﻤﻲ ﻟﻠﺘﻌﻠﻴﻢ ﻛﺜﲑاً ﻣﺎ ﻳﻌﺘﱪ اﻟﻄﺮﻳﻘﺔ اﻟﻔﻌﺎﻟﺔ ﻟﺘﻌﺰﻳﺰ اﻟﻨﺘﺎج اﻟﺘﻌﻠﻴﻤﻲ] ،[667,668وﻗﺪ ﻗﺪم Dick
][630
ﺗﻨﻈﻴﻤﻴﺎً ﰲ اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ﰲ ﻛﺘﺎﺑﻪ اﻟﺬي ﻛﺜﲑاً ﻣﺎ ﻳﺴﺘﺸﻬﺪ ﺑﻪ ﰲ اﻷﲝﺎث ﻫﺬا اﺠﻤﻟﺎل ،اﻟﻨﻤﻮذج ﻳﺼﻮر اﻟﺘﻌﻠﻴﻢ ﻛﻌﻤﻠﻴﺔ ﻣﺮﻛﺒﺔ ﻣﻦ ﻋﺪة
أﻧﻈﻤﺔ ﻓﺮﻋﻴﺔ ﺗﻔﺎﻋﻠﻴﺔ ،وﻳﺸﺪد اﻟﻨﻤﻮذج ﻋﻠﻰ أﳘﻴﺔ اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ ﻟﻜﻞ ﻋﻨﺼﺮ ﻣﻦ ﻋﻨﺎﺻﺮ اﻟﻨﻈﺎم .ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﳕﻮذج ﻟﻠﺘﺼﻤﻴﻢ
اﻟﺘﻌﻠﻴﻤﻲ اﳊﺪﻳﺚ ،واﻟﺬي ﻳﺘﺒﲎ اﻟﺒﻨﻴﺔ اﳌﻨﻬﺠﻴﺔ اﻟﺘﻨﻈﻴﻤﻴﺔ اﻟﺪورﻳﺔ ) (The Cyclic Systemic Structureﰲ اﻟﺒﺤﺚ].[669
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 224
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
أﺧﲑاً ،ﻳﻮﺟﺪ ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ اﻟﻌﺪﻳﺪ ﻣﻦ ﳕﺎذج اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ اﳌﺴﺘﻮﺣﺎة ﻣﻦ ﻧﻈﺮﻳﺔ اﻷﻧﻈﻤﺔ ،وﻟﻜﻦ اﻟﻘﻠﻴﻞ ﻣﻨﻬﺎ ﰎ ﺗﻘﺪﳝﻪ ﻣﻦ ﻗﺒﻞ
ﺑﺎﺣﺜﲔ ﻣﻦ ﻤﻟﺘﻤﻊ اﳍﻨﺪﺳﻲ أو ﻣﻦ اﳌﺘﺨﺼﺼﲔ ﰲ ﻋﻠﻮم أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ].[623
إن اﻟﺪور اﳍﺎم ﻟﻠﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ اﳌﺨﱪﻳﺔ ﰲ ﻣﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ) (Engineering Education Curriculaﻗﺪ ﰎ اﻟﺘﺄﻛﻴﺪ ﻋﻠﻴﻪ ﰲ
ﻋﺪد ﻛﺒﲑ ﻣﻦ اﳌﻘﺎﻻت اﻟﻌﻠﻤﻴﺔ واﳍﻨﺪﺳﻴﺔ].[677-685
ﻟﻘﺪ ﻛﺎﻧﺖ اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ ﺣﱴ اﻟﻘﺮن اﻟﺜﺎﻣﻦ ﻋﺸﺮ ﺗﺪرس ﻋﻠﻰ أ�ﺎ ﻣﻮﺿﻮﻋﺎت ﻋﻤﻠﻴﺔ ﺗﻄﺒﻴﻘﻴﺔ ) (Hands-on Subjectsﳎﺮدة ،وﻣﻊ
ذﻟﻚ ﻓﻘﺪ اﺳﺘﻔﺎد اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻣﻦ اﻟﺘﻘﺪم اﻟﻌﻠﻤﻲ وﺑﺪأت ﻋﻤﻠﻴﺎت ﺗﺮﺳﻴﺦ ﻟﻠﻤﻔﺎﻫﻴﻢ اﻟﻨﻈﺮﻳﺔ ﺑﺸﻜﻞ أﻛﺜﺮ ﻋﻤﻘﺎً ﰲ أواﺧﺮ اﻟﻘﺮن اﻟﺘﺎﺳﻊ
ﻋﺸﺮ ،ﻻﺳﻴﻤﺎ ﰲ ﻣﺪارس اﻟﺘﻌﻠﻴﻢ اﻟﻌﺎﱄ ﰲ اﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة] ،[680وﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ذﻟﻚ ،ﺣﻈﻴﺖ ﺟﻠﺴﺎت اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي واﻟﺘﻄﺒﻴﻖ
اﻟﻌﻤﻠﻲ ) (Hands-onأﳘﻴﺔ أﻛﱪ ﻣﻦ اﳌﻔﺎﻫﻴﻢ اﻟﻨﻈﺮﻳﺔ واﳌﺒﺎدئ اﻟﺮﻳﺎﺿﻴﺔ ﺣﱴ اﳊﺮب اﻟﻌﺎﳌﻴﺔ اﻷوﱃ] ،[494وﻣﻨﺬ ذﻟﻚ اﳊﲔ ﲢﻮل اﻟﱰﻛﻴﺰ
اﻟﱰﺑﻮي ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﳓﻮ اﳌﺰﻳﺪ ﻣﻦ اﳊﺼﺺ اﻟﻨﻈﺮﻳﺔ ) (Classroomاﻟﻘﺎﺋﻤﺔ ﻋﻠﻰ اﶈﺎﺿﺮات ،وﲢﻮل اﻻﻧﺘﺒﺎﻩ ﺗﺪرﳚﻴﺎً ﻋﻦ اﻟﺘﻌﻠﻴﻢ
اﳌﺨﱪي ،وﻻ ﺳﻴﻤﺎ ﺧﻼل اﻟﺴﻨﻮات اﻟﺜﻼﺛﲔ اﳌﺎﺿﻴﺔ] ،[679,686,687وﻗﺪ ﻻﺣﻆ [688]Wankatأن 6%ﻓﻘﻂ ﻣﻦ اﳌﻘﺎﻻت اﳌﻨﺸﻮرة ﰲ ﳎﻠﺔ
أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﰲ اﻟﻔﱰة 1993-2002ﻛﺎﻧﺖ ﻓﻴﻬﺎ ﻛﻠﻤﺔ ” “Laboratoryﻛﻜﻠﻤﺔ ﻣﻔﺘﺎﺣﻴﺔ.
ﻟﻘﺪ ﰎ ﻣﺆﺧﺮاً اﻹﻗﺮار ﻋﻠﻰ أن أﳘﻴﺔ ﻋﻠﻢ اﻟﱰﺑﻴﺔ اﳌﺨﱪي أو اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﳌﺨﱪﻳﺔ ) (Laboratory Pedagogyﻛﺴﺎﺣﺔ ﺧﺼﺒﺔ ﻟﻠﺒﺤﺚ
ﺧﻼل اﻟﺴﻨﻮات اﳌﻘﺒﻠﺔ] [679,680وﻻ ﺳﻴﻤﺎ ﰲ ﻇﻞ اﺳﺘﺜﻤﺎر اﳌﺰﻳﺪ ﻣﻦ اﻟﺘﻄﻮرات اﳉﺪﻳﺪة ﰲ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﻌﻠﻮﻣﺎت واﻻﺗﺼﺎﻻت ﰲ ﺗﻌﺰﻳﺰ اﻟﺘﻌﻠﻴﻢ
اﳌﺨﱪي.
225 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﻛﻤﺎ وﺿﻊ ﳎﻠﺲ اﻋﺘﻤﺎد اﳍﻨﺪﺳﺔ واﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ” - (Accreditation Board for Engineering & Technology) “ABETاﻟﺬي ﳝﺜﻞ
اﳍﻴﺌﺔ اﻟﺮﺋﻴﺴﻴﺔ ﻻﻋﺘﻤﺎد اﳌﻮﺿﻮﻋﺎت اﳍﻨﺪﺳﻴﺔ ﰲ اﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة -ﺛﻼﺛﺔ ﻋﺸﺮ ﻫﺪﻓﺎً ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﻫﻲ:
اﻟﺒﺎﺣﺜﺎن [681]Kirschener & Meesterﻗﺎﻣﺎ ﺑﺘﻠﺨﻴﺺ أﻛﺜﺮ ﻣﻦ 100ﻫﺪف ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي – واﻟﱵ وﺟﺪوﻫﺎ ﰲ اﻟﺪراﺳﺎت اﳌﻮﺟﻮدة
ﺣﱴ ﻣﻨﺘﺼﻒ اﻟﺜﻤﺎﻧﻴﻨﺎت -ﰲ ﲦﺎﻧﻴﺔ أﻫﺪاف رﺋﻴﺴﻴﺔ:
ﻣﻦ ﺧﻼل أﻫﺪاف اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي اﳌﺬﻛﻮرة ،واﻟﱵ ﺗﻌﺘﱪ أﺳﺎﺳﻴﺔ وﺿﺮورﻳﺔ ﻟﺘﺰوﻳﺪ اﳌﻬﻨﺪﺳﲔ ﺑﺎﳌﻬﺎرات اﻟﻼزﻣﺔ ﰲ ﻣﺴﺘﻘﺒﻠﻬﻢ اﳌﻬﲏ ،ﳝﻜﻦ أن
ﻧﺪرك اﻷﳘﻴﺔ اﳊﺎﲰﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي.
ﺑﲔ أرﺑﻌﺔ أﻧﻮاع رﺋﻴﺴﻴﺔ ﻟﻠﻤﺨﺎﺑﺮ وﻫﻲ :اﳌﺨﱪ اﻹﻳﻀﺎﺣﻲ ) ،(Expository Labاﳌﺨﱪ اﻟﺘﺠﺮﻳﱯ ][681
ﻟﻘﺪ ﻣﻴﺰ اﻟﺒﺎﺣﺚ Kirschener
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 226
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
اﳌﺨﱪ اﻹﻳﻀﺎﺣﻲ أو اﻟﺘﻔﺴﲑي ﻳﻘﻮم ﻋﻠﻰ اﺳﺘﺨﺪام دﻟﻴﻞ اﻟﺘﺠﺎرب ) (Lab Manualاﻟﺬي ﺗﻨﻔﺬ وﻓﻘﻪ ﲨﻴﻊ اﻹﺟﺮاءات ،وﺗﻜﻮن
ﻧﺘﻴﺠﺔ اﻟﺘﺠﺮﺑﺔ ﳏﺪدة ﻣﺴﺒﻘﺎً وﻣﻌﺮوﻓﺔ ،وﻋﻠﻰ اﻟﻄﻼب اﺗﺒﺎع ﻃﺮﻳﻘﺔ اﺳﺘﻨﺘﺎﺟﻴﺔ ﳏﺪدة ﻟﻠﺤﺼﻮل ﻋﻠﻰ ﺗﻠﻚ اﻟﻨﺘﻴﺠﺔ ،ﻳﻌﺘﱪ اﳌﺨﱪ اﻟﺘﻔﺴﲑي
ﻣﻦ أﻛﺜﺮ اﻷﻧﻮاع اﺳﺘﺨﺪاﻣﺎً ﰲ ﻣﺮﺣﻠﺔ اﻟﺪراﺳﺔ اﳉﺎﻣﻌﻴﺔ ﰲ اﻟﻌﻠﻮم واﳍﻨﺪﺳﺔ].[678
.[683](Learning
أﻣﺎ اﳌﺨﺎﺑﺮ اﳌﺴﺘﻘﻠﺔ ﻓﻬﻲ اﻟﱵ ﻳﻜﻮن ﻓﻴﻬﺎ اﻟﻌﻤﻞ اﳌﺨﱪي ﻣﺴﺘﻘﻼً وﻏﲑ ﻣﺮﺗﺒﻂ ﺑﻨﻤﻮذج دراﺳﻲ ﻧﻈﺮي ﳏﺪد.
2-2-6-4ﺗﺼﻨﻴﻒ اﳌﺨﺎﺑﺮ ﻣﻦ ﻣﻨﻈﻮر ﳕﻂ اﻟﻮﺻﻮل إﻟﻴﻬﺎ ):(LSs from the Access Mode Perspective
ﻳﻌﺘﻤﺪ اﻟﻨﻤﻮذج اﻟﻜﻼﺳﻴﻜﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي اﻟﺘﻄﺒﻴﻘﻲ ﻋﻠﻰ اﳊﻀﻮر اﻟﻔﻌﻠﻲ ﻟﻸﻓﺮاد وﻋﻠﻰ أدوات اﻟﺘﺠﺮﺑﺔ ،وﻫﺬا ﻣﺎ ﻳﻌﺮف ﰲ اﻷﲝﺎث ﺑﺎﺳﻢ
اﳌﺨﺘﱪ اﻟﻌﻤﻠﻲ اﻟﺘﻄﺒﻴﻘﻲ ) [682](Hands-on Labاﻟﺬي ﻳﺴﺘﻠﺰم أن ﻳﻜﻮن اﻟﻄﻼب ﻋﻠﻰ ﲤﺎس ﻣﺒﺎﺷﺮ ﻣﻊ اﻟﺘﺠﺮﺑﺔ وأدواﻬﺗﺎ اﳊﻘﻴﻘﻴﺔ .ﻛﻤﺎ
ﻳﻄﻠﻖ ﻋﻠﻰ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ) (Hands-onأﺣﻴﺎﻧﺎً ﺑـ ـ”.[693]“Proximal Labs
ﻟﻘﺪ أدت اﻟﺘﻄﻮرات اﳊﺪﻳﺜﺔ ﰲ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﻌﻠﻮﻣﺎت واﻻﺗﺼﺎﻻت ﺧﻼل اﻟﻌﻘﻮد اﻟﺜﻼﺛﺔ اﳌﺎﺿﻴﺔ إﱃ ﻇﻬﻮر ﻧﻮﻋﲔ ﺟﺪﻳﺪﻳﻦ ﻣﻦ اﳌﺨﺘﱪات
إﺿﺎﻓﺔً إﱃ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ وﻫﻲ:
-1اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ – اﶈﺎﻛﺎة ) :(Virtual Labs - Simulationوﻫﻲ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻋﻤﻠﻴﺎت ﳏﺎﻛﺎة ﺗﻘﺮﻳﺒﻴﺔ ﻟﻠﺘﺠﺎرب اﻟﱵ ﲡﺮي
ﰲ اﳌﺨﺎﺑﺮ اﳊﻘﻴﻘﻴﺔ ،وﳝﻜﻦ إﳚﺎد أﻣﺜﻠﺔ ﻋﻦ ﻣﺜﻞ ﺗﻠﻚ اﻟﻌﻤﻠﻴﺎت ﰲ اﻷﲝﺎث].[692,694
-2ﳐﺎﺑﺮ اﻟﺘﻌﻠﻢ ﻋﻦ ﺑﻌﺪ ) :(Remote Labsﺗﺴﻤﺢ ﺑﺎﻟﺪﺧﻮل إﱃ اﻟﺘﺠﺎرب ﻋﻦ ﺑﻌﺪ ﻣﻦ ﺧﻼل ﺷﺒﻜﺔ اﻹﻧﱰﻧﺖ ،وﳝﻜﻦ إﳚﺎد أﻣﺜﻠﺔ
ﻋﻦ ﻣﺜﻞ ﻫﺬﻩ اﳊﺎﻻت ﰲ اﻷﲝﺎث].[691,695-698
227 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﻟﻘﺪ أﻛﺪت اﻟﺪراﺳﺎت ﰲ اﻟﺒﻴﺪاﻏﻮﺟﻴﺎ اﻟﺒﻨﺎﺋﻴﺔ ﻣﺮاراً ﻋﻠﻰ أﳘﻴﺔ وﺟﻮد ﺑﻴﺌﺔ ﺣﻘﻴﻘﻴﺔ أﺻﻠﻴﺔ ،أو ﺑﻴﺌﺔ ﺸﺎﻬﺑﺔ ﻟﻠﺒﻴﺌﺔ اﳊﻘﻴﻘﻴﺔ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ]،[548
ﺣﻴﺚ أن اﻟﻌﻮاﻣﻞ اﻟﻌﺎﻃﻔﻴﺔ ﺗﻠﻌﺐ دوراً ﻫﺎﻣﺎً ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،وﻏﻴﺎب اﻟﺸﻌﻮر ﺑﺎﻟﻮاﻗﻌﻴﺔ ﳝﻜﻦ أن ﻳﻜﻮن ﲟﺜﺎﺑﺔ ﻋﺎﻣﻞ ﳏﺒﻂ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ،
اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث واﻟﺪراﺳﺎت ﺗﺮﺑﻂ ﺗﻔﻮق ﻧﺘﺎﺋﺞ ﻋﻤﻠﻴﺎت اﻟﺘﻌﻠﻢ ﰲ اﻟﻈﺮوف اﳊﻘﻴﻘﻴﺔ ﻋﻦ ﻘﺎﺑﻼﻬﺗﺎ ﰲ اﻟﻈﺮوف اﻻﻓﱰاﺿﻴﺔ )ﻣﺜﻞ اﶈﺎﻛﺎة(
ﺑﻌﺎﻣﻞ اﻟﻮاﻗﻌﻴﺔ ) ،[690,699](Realism Factorوﻳﻘﻮل] [700أن اﻟﺘﻌﻠﻢ واﻟﺘﺪرﻳﺐ اﻷﻓﻀﻞ ﻳﺘﻄﻠﺐ ﻣﺴﺘﻮى أﻋﻠﻰ ﻣﻦ اﻟﻮاﻗﻌﻴﺔ.
وﻳﻌﺘﱪ اﻟﱰﺑﻮﻳﻮن اﻟﺘﻌﻠﻢ ﻋﻤﻠﻴﺔ ﺗﻜﺮارﻳﺔ) ،[545,701,702](Iterative Processﻛﻤﺎ أن اﻟﺘﻌﻠﻢ اﻟﻔﻌﺎل ﰲ اﳌﺨﺎﺑﺮ ﳛﺘﺎج إﱃ
اﳌﺴﻠﱠﻤﺎت ﻋﻤﻮﻣﺎً ﻏﺎﺋﺒﺔ ﰲ اﻟﺘﻌﻠﻴﻢ اﻟﻜﻼﺳﻴﻜﻲ ﻟﻠﻤﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ،وﻳﻌﻮد ذﻟﻚ ﺑﺸﻜﻞ أﺳﺎﺳﻲ إﱃ
اﻟﺘﺄﻣﻞ) ، (Reflectionوﻫﺬﻩ َ
][679
ﺿﻴﻖ اﻟﻮﻗﺖ.
اﳉﻠﺴﺎت ﰲ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﺗﺪرس ﻋﺎدة ﻋﻠﻰ ﺷﻜﻞ ﺷﺮوح ذات إﺛﺒﺎت أو ﺑﺮﻫﺎن وﺣﻴﺪ ،وذﻟﻚ ﻳﻌﻮد ﻷﺳﺒﺎب اﻗﺘﺼﺎدﻳﺔ وﻟﻮﺟﺴﺘﻴﺔ ،وﻟﻜﻦ
ﺗﺸﻜﻴﻞ وﻓﻬﻢ اﳌﺒﺎدئ أﺛﻨﺎء اﻟﺘﻌﻠﻢ ﳛﺘﺎج إﱃ أﻛﺜﺮ ﻣﻦ ﺷﺮح ﻣﻊ إﺛﺒﺎت وﺣﻴﺪ] ،[545وﻟﺬﻟﻚ ﱂ ﻳﺘﺤﻘﻖ اﻷﺛﺮ اﳌﻄﻠﻮب ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ﻋﻠﻰ
ﺗﻌﻠﻢ اﻟﻄﻼب ﰲ ﻛﺜﲑ ﻣﻦ اﻷﺣﻴﺎن].[703
اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻣﻨﺼﺎت ﻋﻤﻞ ﺿﻌﻴﻔﺔ ﻟﺒﻨﺎء اﳌﻌﺮﻓﺔ ،وﻳﺮﺟﻊ ذﻟﻚ أﺳﺎﺳﺎً إﱃ أن اﻟﻄﻼب ﻟﻴﺲ ﻟﺪﻳﻬﻢ اﻟﻮﻗﺖ اﻟﻜﺎﰲ ﻟﻠﺘﻔﺎﻋﻞ ﻳﻌﺘﱪ
][704
ﻳﻌﺮض] [681ﺗﻘﺮﻳﺮاً ﻣﻔﺎدﻩ أن ﻫﻨﺎك ﺗﻮاﻓﻖ ﻋﺎم ﰲ اﻵراء ﻋﻠﻰ أن ﻧﺎﺗﺞ اﻟﺘﺤﺼﻴﻞ اﻟﻌﻠﻤﻲ ﻟﻠﻌﻤﻞ اﻟﺘﻄﺒﻴﻘﻲ اﳌﺨﱪي ﺿﻌﻴﻒ ﻣﻘﺎرﻧﺔ ﻣﻊ اﻟﻮﻗﺖ
واﳉﻬﺪ واﻟﺘﻜﺎﻟﻴﻒ اﳌﺨﺼﺼﺔ ﻟﻪ ،وﻗﺪ ذﻛﺮت ﻧﻘﻄﺔ اﻟﻀﻌﻒ ﻫﺬﻩ ﻣﺮاراً ﰲ ﺗﻘﺎرﻳﺮ اﻷﲝﺎث].[678,679,682
وإﲨﺎﻻً ،ﺗﻌﺎﱐ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻣﻦ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻘﻴﻮد ،ﻛﻤﺤﺪودﻳﺔ اﻟﻮﻗﺖ وﺿﻌﻒ اﳊﺼﻴﻠﺔ اﳌﻌﺮﻓﻴﺔ اﳌﻜﺘﺴﺒﺔ ﻣﻨﻬﺎ] [681,703,704ﻓﻀﻼً ﻋﻦ
اﻻﻛﺘﻔﺎء ﺑﱪﻫﺎن أو إﺛﺒﺎت واﺣﺪ ﻟﻠﺘﺠﺮﺑﺔ ﰲ ﻣﻌﻈﻢ اﳊﺎﻻت ،واﺳﺘﻬﻼك اﳌﻮاد ،اﻟﻌﻴﻮب اﻟﻔﻨﻴﺔ ،ﻏﻼء اﳌﻌﺪات ،ﺧﻄﻮرة ﺑﻌﺾ اﻟﺘﺠﺎرب )ﻛﻤﺎ
ﰲ ﳐﺎﺑﺮ اﻟﺘﻮﺗﺮ اﻟﻌﺎﱄ ﻣﺜﻼً( ،اﳊﺎﺟﺔ إﱃ وﺟﻮد ﻣﻌﻠﻢ و/أو ﺗﻘﲏ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 228
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻧﺴﺘﺨﺪم ﻣﺼﻄﻠﺢ "اﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ" ) (Remote Labsأو "اﳌﺨﺎﺑﺮ ﻋﱪ اﻹﻧﱰﻧﺖ" ) (Online Labﻟﻠﺪﻻﻟﺔ ﻋﻠﻰ اﻟﺘﺠﻬﻴﺰات واﻟﺘﺠﺎرب
اﳌﺨﱪﻳﺔ اﻟﱵ ﻳﺘﻢ اﻟﺘﺤﻜﻢ ﺑﺎ واﻟﺘﻌﺎﻣﻞ ﻣﻌﻬﺎ ﻋﻦ ﺑﻌﺪ.
ﻳﻌﻮد ﺗﺎرﻳﺦ أول اﺳﺘﺨﺪام ﻟﻠﻤﺤﺎﻛﺎة ﰲ ﺑﻴﺌﺔ ﺗﻌﻠﻴﻤﻴﺔ إﱃ ﻋﺎم ،1962وذﻟﻚ ﻋﻨﺪﻣﺎ ﰎ اﺳﺘﺨﺪام اﶈﺎﻛﺎة ﻟﺘﻮﺿﻴﺢ ﳏﺎﺿﺮات ﻟﻄﻼب اﻟﺴﻨﺔ
اﳉﺎﻣﻌﻴﺔ اﻷوﱃ ﰲ اﳍﻨﺪﺳﺔ اﻟﻨﻮوﻳﺔ ﰲ اﳌﻤﻠﻜﺔ اﳌﺘﺤﺪة] [706واﻟﻮﻻﻳﺎت اﳌﺘﺤﺪة].[707
ﻻﺣﻘﺎً ﺧﻼل ﻋﺎم 1968ﰎ ﺗﻄﻮﻳﺮ ﻧﻈﺎم ﳏﺎﻛﺎة ﺗﻔﺎﻋﻠﻲ ﻟﻺدارة اﻟﻄﺒﻴﺔ ﳌﺮﺿﻰ ارﺗﻔﺎع ﺿﻐﻂ اﻟﺪم ﰲ ﺟﺎﻣﻌﺔ Albertaﰲ ﻛﻨﺪا] ،[708وﻛﺎن
ﻫﻨﺎك ﻋﺪد ﻣﺘﺰاﻳﺪ ﻣﻦ اﳌﻌﺎﻫﺪ اﻟﱵ اﺳﺘﺨﺪﻣﺖ ﻓﻴﻬﺎ ﲡﺎرب اﶈﺎﻛﺎة ﰲ اﳌﻤﻠﻜﺔ اﳌﺘﺤﺪة ،ﰒ ﰲ أواﺋﻞ اﻟﺴﺒﻌﻴﻨﺎت ﰎ ﺗﻄﻮﻳﺮ ﺑﺮاﻣﺞ ﳏﺎﻛﺎة
ﺣﺎﺳﻮﺑﻴﺔ ﳍﻨﺪﺳﺔ اﻟﻄﺎﻗﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ﰲ ﻛﻠﻴﺔ اﳌﻠﻜﺔ ،[709]Maryواﺳﺘﺨﺪﻣﺖ ﻋﻤﻠﻴﺎت اﶈﺎﻛﺎة أﻳﻀﺎً ﻟﻄﻼب اﳍﻨﺪﺳﺔ اﻟﻨﻮوﻳﺔ ﰲ ﻧﻔﺲ
اﳉﺎﻣﻌﺔ ﰲ أواﺋﻞ اﻟﻌﺎم ،[710]1971وﻛﺬﻟﻚ اﺳﺘﺨﺪﻣﺖ اﶈﺎﻛﺎة ﰲ ﳏﺎﺿﺮات ﻣﻴﻜﺎﻧﻴﻚ اﻟﺴﻮاﺋﻞ واﻧﺘﻘﺎل اﳊﺮارة ﺧﻼل ﻋﺎم ،[711]1974
وﻗﺪ أﻇﻬﺮت اﻟﺪراﺳﺎت واﻷﲝﺎث ﻣﻨﺬ ذﻟﻚ اﳊﲔ اﻵﺛﺎر اﻹﳚﺎﺑﻴﺔ ﻻﺳﺘﺨﺪام ﻋﻤﻠﻴﺎت اﶈﺎﻛﺎة ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ﰲ اﻟﻌﻤﻠﻴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ.
ﰲ ﻋﺎم 1977ﰎ إدﺧﺎل اﻟﺘﺼﻤﻴﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ) (CAD: Computer Aided Designﺿﻤﻦ ﻣﻨﺎﻫﺞ اﻟﺘﺼﻤﻴﻢ ﰲ ﺟﺎﻣﻌﺔ
وﻻﻳﺔ أوﻫﺎﻳﻮ ،اﻷﻣﺮ اﻟﺬي أدى إﱃ ﻋﺐء ﻋﻤﻞ أﻛﱪ ﻋﻠﻰ اﻟﻄﻼب ،وﻟﻜﻦ اﻷﻣﺮ اﳌﻔﺎﺟﺊ ﻛﺎن ﻣﻮﻗﻒ اﻟﻄﻼب اﻹﳚﺎﰊ ﲡﺎﻩ ذﻟﻚ اﻟﻌﺐء
اﻹﺿﺎﰲ] .[717ﻛﻤﺎ ﰎ اﺳﺘﺨﺪام اﶈﺎﻛﺎة ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ﰲ ﻣﻘﺮر ﻋﻠﻢ اﳊﺮﻛﺔ ) (Kinematicsﻟﻄﻼب اﳍﻨﺪﺳﺔ اﳌﻴﻜﺎﻧﻴﻜﻴﺔ وﻗﺪ ﻧﺘﺞ
ﻋﻨﻪ أﺛﺮ إﳚﺎﰊ ﻛﺒﲑ ﰲ ﺗﻌﻤﻴﻖ ﻓﻬﻢ اﻟﻄﻼب ﻟﻠﻤﺒﺎدئ اﻷﺳﺎﺳﻴﺔ ﻟﻠﻤﻨﻬﺞ] .[721أﻳﻀﺎً اﺳﺘﺨﺪﻣﺖ ﺑﺮاﻣﺞ اﶈﺎﻛﺎة اﻟﺘﻔﺎﻋﻠﻴﺔ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ
ﰲ ﳏﺎﺿﺮات ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ﰲ ﻣﻌﻬﺪ Paisleyﻟﻠﺘﻜﻨﻮﻟﻮﺟﻴﺎ ﰲ اﺳﻜﻮﺗﻠﻨﺪا ،وﻗﺪ ﻛﺎﻧﺖ ﻫﺬﻩ اﻟﱪاﻣﺞ ﻣﻔﻴﺪة ﺟﺪاً ﻟﻠﻄﻼب ﻛﻤﺎ
ﺑﻴﻨﺖ اﻟﺘﻘﺎرﻳﺮ].[714
ﻋﻠﻰ ﻣﻨﺎﻫﺞ اﻹﺣﺼﺎء ،ﻓﺈن اﻟﺘﻌﻠﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ أﻋﻄﻰ ﻓﻬﻤﺎً أﻓﻀﻞ ﻟﻠﻤﻨﻬﺞ ﻣﻦ اﻟﺘﻌﻠﻢ اﻟﺘﻘﻠﻴﺪي اﻟﺬي ][722
ﻃﺒﻘﺎً ﻟﺪراﺳﺔ ﻗﺎم ﺑﺎ
ﻳﻌﺘﻤﺪ ﻋﻠﻰ اﻟﻜﺘﺐ ،وﻗﺪ ﺣﺼﻞ] [723أﻳﻀﺎً ﻋﻠﻰ ﻧﺘﺎﺋﺞ ﺸﺎﻬﺑﺔ ﳍﺬﻩ اﻟﻨﺘﺎﺋﺞ ،ﺣﻴﺚ وﺟﺪ أن ﻻﺳﺘﺨﺪام اﶈﺎﻛﺎة اﳊﺎﺳﻮﺑﻴﺔ ﰲ ﻣﻘﺮر اﻟﻔﻴﺰﻳﺎء أﺛﺮ
إﳚﺎﰊ ﰲ ﻓﻬﻢ اﳌﻌﻤﻖ ﻟﻠﻤﻘﺮر].[724
229 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
إن اﶈﺎﻛﺎة ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ﳝﻜﻦ أن ﺗﺘﻼءم ﻣﻊ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻴﻢ اﳌﺨﺘﻠﻔﺔ ،وﳝﻜﻦ إﻋﺎدة اﻟﺘﺠﺎرب ﻣﺮاراً ،اﻷﻣﺮ اﻟﺬي ﻳﻌﻄﻲ ﻓﺮﺻﺔ ﺗﻌﻠﻢ
ﺗﻔﺎﻋﻠﻲ أﻛﱪ ،ﻓﻀﻼً ﻋﻦ أن اﻟﻄﻼب ﳝﻜﻦ أن ﻳﻘﻮﻣﻮا ﺑﺎﻟﺘﺠﺎرب ﺧﺎرج اﳌﺨﱪ وﰲ ﻏﲑ أوﻗﺎت اﻟﺪوام ،اﻷﻣﺮ اﻟﺬي ﻳﺘﻴﺢ اﻻﺧﺘﺒﺎر اﻟﺬاﰐ
ﻟﻠﺘﺠﺮﺑﺔ واﻟﻮﻗﺖ اﻟﻜﺎﰲ ﻟﻠﺘﻔﻜﲑ] ،[725وﻫﺬا ﻳﺮﺗﺒﻂ ﲢﺪﻳﺪاً ﻣﻊ ﻧﻈﺮﻳﺔ Kolbﻟﻠﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ اﻟﱵ ﺗﻌﺘﱪ أن ﺗﻜﻮﻳﻦ اﳌﻌﺮﻓﺔ ﻳﺘﻢ ﻋﻠﻰ ﳓﻮ دوري.
إن اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﺗﺘﻴﺢ ﻟﻠﻄﻼب اﺧﺘﺒﺎر اﻟﻔﺮﺿﻴﺎت واﻟﺘﺤﻘﻖ ﻣﻦ اﻟﻨﺘﺎﺋﺞ ﻟﺒﻌﺾ اﻟﺘﺠﺎرب اﻟﱵ ﳝﻜﻦ أن ﻳﻜﻮن ﲢﻘﻴﻘﻬﺎ ﺻﻌﺒﺎً أو ﺣﱴ
ﺗﻘﺮﻳﺮاً ﻋﻦ ﻣﺪى ﺗﻮﻓﺮ ﻋﻮاﻣﻞ اﻟﺼﺤﺔ ][718
ﻣﺴﺘﺤﻴﻼً ﰲ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻛﻤﺤﻄﺎت ﺗﻮﻟﻴﺪ اﻟﻜﻬﺮﺑﺎء ذات اﻟﺘﻮﺗﺮ اﻟﻌﺎﱄ] ،[713,726وﻗﺪ ﻗﺪم
واﻷﻣﺎن اﳌﺮﺗﺒﻄﺔ ﺑﺎﺳﺘﺨﺪام ﺑﺮاﻣﺞ اﶈﺎﻛﺎة ﰲ ﺑﻨﺎء اﻟﺪارات اﻟﻜﻬﺮﺑﺎﺋﻴﺔ ﻣﻘﺎرﻧﺔ ﺑﺎﻻﺧﺘﺒﺎر اﳊﻘﻴﻘﻲ ﳍﺬﻩ اﻟﺪارات ﰲ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ،ﺣﻴﺚ
ﺳﺎﻋﺪت ﺑﺮاﻣﺞ اﶈﺎﻛﺎة ﻋﻠﻰ اﳊﺪ ﻣﻦ زﻣﻦ ﺗﻌﺮض اﻟﻄﻼب واﳌﺪرﺑﲔ ﻟﻠﺘﻮﺗﺮات اﻟﻌﺎﻟﻴﺔ .ﻛﺬﻟﻚ ﺗﺴﻤﺞ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﻟﻠﻄﻼب إﺟﺮاء
ﲡﺎرب دﻗﻴﻘﺔ وﻣﺘﻄﻮرة ،ﰲ ﺣﲔ أن إﺟﺮاءﻫﺎ ﻋﻠﻰ أرض اﻟﻮاﻗﻊ ﳛﺘﺎج إﱃ ﺗﻘﻨﻴﺎت وﻛﻠﻒ ﻣﺎدﻳﺔ ﻋﺎﻟﻴﺔ ،ﻓﻀﻼً ﻋﻦ أن اﻟﺘﺠﺮﺑﺔ ﻫﻨﺎ ﳝﻜﻦ أن
ﺗﺴﲑ وﻓﻖ وﺗﲑة ﺗﺘﻼءم ﻣﻊ اﻟﻄﺎﻟﺐ].[727
إن اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﻣﺘﻮﻓﺮة ﰲ أي وﻗﺖ] ،[726,727ﻛﻤﺎ أن اﳌﻌﻠﻢ ﳝﻜﻦ أن ﻳﻮﻓﺮ اﻟﻮﻗﺖ ﻣﻦ ﺧﻼل ﺗﻘﻠﻴﻞ زﻣﻦ اﻟﺘﻮاﺻﻞ ﻣﻊ اﻟﻄﻼب ﻣﻦ
ﺧﻼل اﺳﺘﺨﺪام اﶈﺎﻛﺎة] .[726إن اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﺳﺮﻳﻌﺔ وﻧﻈﻴﻔﺔ وآﻣﻨﺔ وﻏﲑ ﻣﻜﻠﻔﺔ] ،[604,725,726واﻟﻀﻐﻮﻃﺎت اﻟﻨﺎﲡﺔ ﻋﻦ ﺗﻜﺎﻟﻴﻒ
اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ أدت ﰲ ﻣﻌﻈﻢ اﻷﺣﻴﺎن إﱃ اﺳﺘﺒﺪاﳍﺎ ﲟﺨﺎﺑﺮ اﻓﱰاﺿﻴﺔ].[728
ﰲ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﻻ ﻳﻜﻮن اﻟﻄﺎﻟﺐ ﻣﻘﻴﺪاً ﺑﺄي ﻣﺸﺎﻋﺮ ﻋﺎﻃﻔﻴﺔ ،ﻛﺎﳋﻮف ﻣﻦ ﲣﺮﻳﺐ أو إﺗﻼف اﳌﻌﺪات واﳌﻜﻮﻧﺎت ،وﻗﺪ وﺟﺪ أن
اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﺗﺸﺠﻊ اﻟﺘﺠﺮﻳﺐ ﺑﺸﻜﻞ ﻻ ﻳﺘﻮﻓﺮ ﰲ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻋﻨﺪ اﺳﺘﺨﺪام ﻋﻨﺎﺻﺮ ﺣﻘﻴﻘﻴﺔ].[727
ﻣﻦ ﺧﻼل اﻻﻋﺘﻤﺎد ﻋﻠﻰ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﰲ اﻟﻌﻠﻮم اﳊﻴﻮﻳﺔ ،ﻓﺈﻧﻪ ﳝﻜﻦ ﲡﻨﺐ اﺳﺘﺨﺪام اﳊﻴﻮاﻧﺎت اﻻﺧﺘﺒﺎرﻳﺔ] ،[726ﻛﻤﺎ ﳝﻜﻦ اﻻﺳﺘﻔﺎدة
ﻣﻦ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﰲ اﻟﺘﻌﻠﻢ ﻋﻦ ﺑﻌﺪ].[692,725
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 230
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﺗﻄﺒﻴﻘﻴﺎً .ﻛﻤﺎ أن ﺿﻌﻒ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﱵ ﻳﻘﺪﻣﻬﺎ اﳌﻌﻠﻢ ﺗﻌﺘﱪ ﺳﻴﺌﺔ أﺧﺮى ﻟﻠﻤﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ] ،[727أﺿﻒ إﱃ ذﻟﻚ ﻧﻘﺺ اﳌﻬﺎرات ﰲ
اﻟﺘﺸﻐﻴﻞ واﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻷﺟﻬﺰة واﻷدوات].[726
إﻧﻪ وﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ اﳌﺰاﻳﺎ اﳌﺘﻌﺪدة ﻟﻌﻤﻠﻴﺎت اﶈﺎﻛﺎة ﺑﻮاﺳﻄﺔ اﳊﺎﺳﺐ واﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ،ﻫﻨﺎك ﺗﻮاﻓﻖ ﻋﺎم ،ﺳﻮاء ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ اﻟﻄﻼب
أو اﳌﻌﻠﻤﲔ ،ﻋﻠﻰ أن ﻋﻤﻠﻴﺎت اﶈﺎﻛﺎة ﻻ ﳝﻜﻦ وﻻ ﻳﻨﺒﻐﻲ أن ﲢﻞ داﺋﻤﺎً ﳏﻞ اﳌﺨﺎﺑﺮ اﳊﻘﻴﻘﻴﺔ].[682,726,728-732
إن اﻟﻨﻤﻮذج اﻟﺘﺠﺮﻳﱯ اﻷوﱄ ﰎ ﺗﻄﺒﻴﻘﻪ ﻟﺘﺸﻐﻴﻞ ﻧﻈﺎم ﲢﻜﻢ ﻋﻦ ﺑﻌﺪ ﺑﺮوﺑﻮﺗﺎت ﻣﻮزﻋﺔ ﰲ أرﺑﻊ ﺟﺎﻣﻌﺎت ووﻛﺎﻟﺔ NASAﰲ اﻟﻮﻻﻳﺎت
اﳌﺘﺤﺪة] ،[737وﻣﻨﺬ ذﻟﻚ اﳊﲔ ،ازداد ﺑﺸﻜﻞ ﻛﺒﲑ ،ﻋﺎﻣﺎً ﺑﻌﺪ ﻋﺎم ،ﻋﺪد اﳌﺨﺘﱪات اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻹﻧﱰﻧﺖ ) Internet-based
(Laboratories؛ اﻟﺘﻮزﻳﻊ اﳉﻐﺮاﰲ اﻣﺘﺪ اﱃ أوروﺑﺎ واﺳﱰاﻟﻴﺎ وﺷﺮق آﺳﻴﺎ؛ اﳉﺪول 5-4ﻳﺘﻀﻤﻦ ﳕﺎذج ﳌﺨﺘﱪات ﻋﻦ ﺑﻌﺪ ﻣﻦ ﻛﻞ ﻗﺎرة.
NUS Internet Remote Remote laboratories from the National University of Singapore.
Singapore
Experimentation vlab.ee.nus.edu.sg/intr.html#robot
Remote labs in power and energy from the Royal Institute of
KTH Online Remote
Technology in Sweden. Sweden
Laboratories
http://www.energy.kth.se/proj/projects/remote_labs/
231 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
أﺣﺪ اﳌﺰاﻳﺎ اﻟﺮﺋﻴﺴﻴﺔ ﻻﺳﺘﺨﺪام اﳌﺨﺘﱪات ﻋﱪ اﻹﻧﱰﻧﺖ ﻫﻮ اﳌﻘﺪرة ﻋﻠﻰ ﺗﻘﺎﺳﻢ اﳌﻮارد ﻣﻊ اﳉﺎﻣﻌﺎت اﻷﺧﺮى ،وﺑﺎﻟﺘﺎﱄ ﺧﻔﺾ اﻟﺘﻜﻠﻔﺔ
اﻻﻗﺘﺼﺎدﻳﺔ ﻟﺘﻨﻔﻴﺬ وﺗﻮﻓﲑ ﲡﻬﻴﺰات ﳐﱪﻳﺔ ﺟﺪﻳﺪة] ،[725ﻛﻤﺎ أن ﻣﺸﺎرﻛﺔ اﻟﺘﺠﺎرب ﻋﻦ ﺑﻌﺪ ﺑﲔ اﳉﺎﻣﻌﺎت ﻳﺜﺮي اﻟﺘﻌﻠﻴﻢ اﻟﺘﺠﺮﻳﱯ
ﻟﻠﻄﻼب] ،[738وﺗﻌﻮد ﻓﻜﺮة ﻣﺸﺎرﻛﺔ ﻟﺘﺠﻬﻴﺰات واﳌﻮارد اﳌﺨﱪﻳﺔ ﻬﺑﺪف ﺗﻘﻠﻴﻞ اﻟﺘﻜﺎﻟﻴﻒ إﱃ ﺑﺪاﻳﺎت اﻟﺘﺴﻌﻴﻨﺎت ﻣﻦ اﻟﻘﺮن
اﻟﻌﺸﺮﻳﻦ].[682,698,737,738
اﻵن ﻳﻮﺟﺪ ﻣﺸﺮوع ﰲ اﺳﱰاﻟﻴﺎ ﻗﻴﺪ اﻟﺘﻄﻮﻳﺮ ﻳﻬﺪف إﱃ ﻣﺸﺎرﻛﺔ ﲡﺎرب ﳐﱪﻳﺔ ﻋﻠﻰ ﻧﻄﺎق اﻟﻘﺎرة] ،[739اﻷﻣﺮ اﻟﺬي ﺳﻴﺘﻴﺢ ﻟﻠﻄﻼب اﻻﺳﺘﻔﺎدة
ﻣﻦ ﺗﻨﻮع ﻛﺒﲑ ﺟﺪاً ﻣﻦ اﳌﺨﺘﱪات اﳌﻨﺘﺸﺮة ﻋﻠﻰ ﻣﺴﺘﻮى ﻗﺎرة اﺳﱰاﻟﻴﺎ.
ﻟﻘﺪ ﰎ اﻹﺷﺎدة ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷوراق اﻟﺒﺤﺜﻴﺔ إﱃ دور اﳌﺨﺘﱪات ﻋﱪ اﻹﻧﱰﻧﺖ ﰲ زﻳﺪ ﲪﺎﺳﺔ اﻟﻄﻼب ﳓﻮ اﳌﻮﺿﻮع اﳌﺪروس] ،[682,695ﻛﻤﺎ
أن ﻫﺬﻩ اﳌﺨﺘﱪات ﳝﻜﻦ أن ﺗﺘﻀﻤﻦ أﳕﺎط ﺗﻌﻠﻢ ﳐﺘﻠﻔﺔ] ،[725ﻛﻤﺎ ﳝﻜﻨﻬﺎ ﺗﻌﺰﻳﺰ اﻻﺗﺴﺎع ﰲ اﻟﺘﻌﻠﻢ ﻋﻦ ﺑﻌﺪ ) (Distance Learningﰲ
اﳌﻨﺎﻫﺞ اﳍﻨﺪﺳﻴﺔ].[725,738,740
إن اﳌﺨﺘﱪات ﻋﱪ اﻹﻧﱰﻧﺖ ﺗﺴﺎﻋﺪ ﰲ ﺗﻔﻌﻴﻞ اﻟﺒﻨﺎﺋﻴﺔ اﻻﺟﺘﻤﺎﻋﻴﺔ ) (Social Constructivismﻣﻦ ﺧﻼل ﻣﺸﺎرﻛﺔ أو إﺟﺮاء ﲡﺎرب ﺑﲔ
اﻟﻄﻼب ﻣﻦ ﺟﺎﻣﻌﺎت ودول ﳐﺘﻠﻔﺔ ،ﻛﻤﺎ أ�ﺎ ﺗﻮﻓﺮ اﳋﱪة اﻟﻌﻤﻠﻴﺔ ﻋﻦ ﻃﺮﻳﻖ اﻟﻮﺻﻮل اﻟﺒﻌﻴﺪ إﱃ ﲡﻬﻴﺰات ﺣﻘﻴﻘﻴﺔ ،ﻛﻤﺎ ﺗﺘﻴﺢ إﻣﻜﺎﻧﻴﺔ اﻟﻮﺻﻮل
إﱃ اﻷﻣﺎﻛﻦ اﳋﻄﺮة.
ﻟﻘﺪ ﰎ اﻹﻗﺮار ﻋﻠﻰ أن ﻏﻴﺎب اﳌﻌﻠﻤﲔ ،وﻋﺰل اﻟﻄﻼب ﻋﻦ ﻣﻮﻗﻊ اﻟﺘﺠﺮﺑﺔ ،وﻋﺪم وﺟﻮد ﺗﻌﻠﻴﻤﺎت ﳐﱪﻳﺔ ﻣﻔﺼﻠﺔ ﺣﻮل اﻟﺘﺠﺮﺑﺔ ،إﺿﺎﻓﺔً إﱃ
ﻧﻮﻋﻴﺔ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﳌﺮﺋﻴﺔ )اﻟﺼﻮت واﻟﺼﻮرة( ﻟﻠﺘﺠﺮﺑﺔ ،ﺗﻌﺘﱪ ﲨﻴﻌﻬﺎ ﻣﻦ ﻣﺴﺎوئ اﳌﺨﺘﱪات ﻋﻦ ﺑﻌﺪ] ،[742ﻛﻤﺎ أن ﺗﻄﻮﻳﺮ ﳐﺘﱪ ﻋﻦ ﺑﻌﺪ
ﻳﺘﻄﻠﺐ ﺗﻜﻠﻔﺔ إﺿﺎﻓﻴﺔ ﳝﻜﻦ أن ﺗﻜﻮن ﻣﻨﺨﻔﻀﺔ أو ﻋﺎﻟﻴﺔ ﺗﺒﻌﺎً ﻟﻄﺒﻴﻌﺔ اﻟﺘﺠﺮﺑﺔ ،وﻫﻮ أﻳﻀﺎً ﳛﺘﺎج إﱃ ﺷﺒﻜﺔ إﻧﱰﻧﺖ ذات ﺳﺮﻋﺔ وﻋﺮض ﺣﺰﻣﺔ
ﻛﺒﲑ ﻧﺴﺒﻴﺎً.
ﳑﺎ ﳚﺐ ذﻛﺮﻩ أﻳﻀﺎً أن اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﺠﺎرب ﻻ ﳝﻜﻦ أﲤﺘﺘﻬﺎ ﺑﺎﻟﻜﺎﻣﻞ ﻟﻴﺘﻢ ﺗﺸﻐﻴﻠﻬﺎ ﻋﻦ ﺑﻌﺪ ،ﻓﻬﻲ ﲢﺘﺎج إﱃ ﺷﺨﺺ ﻳﻘﻮم ﺑﺎﻟﺘﺸﻐﻴﻞ اﳉﺰﺋﻲ
ﻣﻦ ﻣﻮﻗﻊ اﻟﺘﺠﺮﺑﺔ ،ﻛﻤﺎ أن ﺑﻌﺾ اﻟﺘﺠﺎرب اﻷﺧﺮى ﻣﻦ اﳌﺴﺘﺤﻴﻞ ﺗﻨﻔﻴﺬﻫﺎ ﻛﺘﺠﺎرب ﻳﺘﻢ ﺗﺸﻐﻴﻠﻬﺎ ﻋﻦ ﺑﻌﺪ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 232
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
دراﺳﺔ ﺗﻔﻀﻴﻠﻴﺔ ﻷداء اﻟﻄﻼب ﰲ ﳐﱪ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ اﻟﺬي ﻛﺎن ﻣﻮﺟﻮداً ﻛﻤﺨﱪ ﺗﻄﺒﻴﻘﻲ وﳐﱪ اﻓﱰاﺿﻲ، ][690
اﻟﺒﺎﺣﺚ Heiseأﺟﺮى
وﻗﺪ وﺟﺪ أن ﳏﻔﺰات اﻟﻄﻼب اﻫﺘﻤﺎﻣﺎﻬﺗﻢ وﻧﺘﺎﺋﺠﻬﻢ ﻛﺎﻧﺖ أﻛﱪ ﺑﺸﻜﻞ ﻣﻠﺤﻮظ ﰲ اﳌﺨﱪ اﻟﺘﻄﺒﻴﻘﻲ ﻣﻘﺎرﻧﺔ ﺑﺎﳌﺨﱪ اﻻﻓﱰاﺿﻲ ،وذﻛﺮ أن
زﻣﻼءﻩ ﰲ اﳌﻌﺎﻫﺪ اﻻﺧﺮى ﻗﺎﻣﻮا ﲟﻘﺎرﻧﺎت ﺸﺎﻬﺑﺔ ووﺟﺪوا أﻳﻀﺎً ﺗﻔﻮق اﻟﺘﺠﺎرب اﻟﺘﻄﺒﻴﻘﻴﺔ ﻋﻠﻰ ﻗﺮاﺋﻨﻬﺎ ﻣﻦ اﻟﺘﺠﺎرب اﻻﻓﱰاﺿﻴﺔ.
ﺻﻤﻢ ﻣﻨﺼﺔ ﳐﱪﻳﺔ اﻓﱰاﺿﻴﺔ ﻋﻠﻰ اﻟﺸﺒﻜﺔ ) (e-platformﲡﻤﻊ ﺑﲔ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ واﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ ﰲ ][735
اﻟﺒﺎﺣﺚ Tzafestas
ﺣﺰﻣﺔ واﺣﺪة ﻟﺘﺤﻞ ﳏﻞ اﳌﺨﺎﺑﺮ اﳊﻘﻴﻘﻴﺔ ﰲ ﺣﺎﻻت اﻟﺘﻌﻠﻢ ﻋﻦ ﺑﻌﺪ ،وﻗﺪ وﺟﺪ أن ﻫﺬﻩ اﳌﻨﺼﺔ ﳝﻜﻦ أن ﺗﻘﺪم ﻧﺘﺎﺋﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻗﺮﻳﺒﺔ ﻟﻨﺘﺎﺋﺞ
اﳌﺨﺎﺑﺮ اﳊﻘﻴﻘﻴﺔ ﰲ ﻣﺎ ﻳﺘﻌﻠﻖ ﺑﺄﻫﺪاف اﳌﺨﺘﱪ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى.
ﻫﻨﺎك ﺗﻮاﻓﻖ ﻋﺎم ﺑﲔ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺒﺎﺣﺜﲔ ﻋﻠﻰ أن اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﳚﺐ أن ﺗﺴﺘﺨﺪم ﻛﻨﺸﺎط داﻋﻢ وﻟﻴﺲ ﻛﺒﺪﻳﻞ ﻟﻠﻤﺨﺎﺑﺮ
اﳊﻘﻴﻘﻴﺔ].[726,729-732
دراﺳﺔ ﻣﻘﺎرﻧﺔ ﺑﲔ ﳐﺘﱪ Catheterاﻓﱰاﺿﻲ وآﺧﺮ ﺗﻄﺒﻴﻘﻲ ،وﻗﺪ أﻇﻬﺮت اﻟﺪراﺳﺔ أن ﻛﻼ اﻟﻔﺮﻳﻘﲔ ﺣﺼﻠﻮا ﻋﻠﻰ ][729
أﺟﺮى Engum
اﳌﻬﺎرات اﳌﺮﺟﻮة ﻣﻦ اﳌﺨﺘﱪ ،وﻟﻜﻦ اﻟﻄﻼب ﻛﺎﻧﻮا ﻳﻔﻀﻠﻮن اﻟﻌﻤﻞ ﺿﻤﻦ اﳌﺨﱪ اﳊﻘﻴﻘﻲ ﻋﻠﻰ اﻟﻌﻤﻞ ﺿﻤﻦ اﳌﺨﱪ اﻻﻓﱰاﺿﻲ ،وﻗﺪ أﺷﺎر إﱃ
أن اﳉﻤﻊ ﺑﲔ اﳌﻨﻬﺠﻴﺘﲔ اﳊﻘﻴﻘﻴﺔ واﻻﻓﱰاﺿﻴﺔ ﳝﻜﻦ أن ﻳﻌﺰز ﻣﺴﺘﻮى رﺿﺎ اﻟﻄﻼب وﻳﺰﻳﺪ ﻣﻦ ﻣﺴﺘﻮى اﳌﻬﺎرات اﳌﻜﺘﺴﺒﺔ.
اﻟﺒﺎﺣﺜﺔ [730]Raineriاﺳﺘﺒﺪﻟﺖ ﳐﺘﱪﻫﺎ اﻟﺘﻄﺒﻴﻘﻲ ﻟﻠﺒﻴﻮﻟﻮﺟﻴﺎ اﳉﺰﻳﺌﻴﺔ ﺑﺂﺧﺮ اﻓﱰاﺿﻲ ﻳﻌﻤﻞ ﻣﻦ ﺧﻼل اﻹﻧﱰﻧﺖ ،واﳍﺪف اﻷﺳﺎﺳﻲ ﻫﻮ
ﲤﻜﲔ اﻟﻄﻼب ﻣﻦ ﺗﻜﺮار اﻟﺘﺠﺎرب ﻣﺮات ﻋﺪﻳﺪة ﲝﻴﺚ ﳛﺼﻠﻮن ﻋﻠﻰ اﳌﻬﺎرات ﰲ ﳎﺎل ﺗﻘﻨﻴﺎت اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻟﺒﻴﺎﻧﺎت وﲢﻠﻴﻠﻬﺎ ،ﺣﻴﺚ ﻳﻜﻮن
ﻛﻞ ذﻟﻚ ﺻﻌﺐ ﲢﻘﻴﻘﻪ ﺧﻼل ﺛﻼث ﺳﺎﻋﺎت ﻓﻘﻂ ﰲ اﳉﻠﺴﺔ اﻟﻜﻼﺳﻴﻜﻴﺔ اﳌﻌﺘﺎدة ﰲ اﳌﺨﱪ اﻟﺘﻄﺒﻴﻘﻲ .إن ﻧﺘﺎﺋﺞ اﺳﺘﺨﺪام اﳌﺨﱪ
اﻻﻓﱰاﺿﻲ ﻟﻠﺒﻴﻮﻟﻮﺟﻴﺎ اﳉﺰﻳﺌﻴﺔ ﻋﻠﻰ ﻣﺪى ﲬﺲ ﺳﻨﻮات أدى إﱃ زﻳﺎدة ﲟﻘﺪار 5%ﰲ ﻧﺘﺎﺋﺞ اﻻﻣﺘﺤﺎﻧﺎت اﻟﻨﻬﺎﺋﻴﺔ ،وإﱃ ﺗﺮاﺟﻊ ﻛﺒﲑ ﰲ ﻋﺪد
اﻟﻄﻼب اﻟﺬﻳﻦ رﺳﺒﻮا أو ﺣﺼﻠﻮا ﻋﻠﻰ ﺣﺪ أدﱏ ﻣﻦ اﻟﻨﺘﻴﺠﺔ ،اﻷﻣﺮ اﻟﺬي ﳚﻌﻞ اﻟﺒﺎﺣﺜﺔ Raineriﺗﺸﺪد ﻋﻠﻰ أﳘﻴﺔ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ،وﻋﻠﻰ
أن اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﻫﻲ ﺑﺎﻷﺣﺮى إﺿﺎﻓﺔ ﺗﻜﻤﻴﻠﻴﺔ ﻫﺎﻣﺔ وﻟﻴﺴﺖ ﺑﺪﻳﻼً.
233 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﻣﺴﺎﻋﺪة إﺿﺎﻓﻴﺔ ﰲ ﻣﻬﻤﺘﻬﻢ ،أو ﻣﻦ اﻟﺬﻳﻦ ﻫﻢ ذوو ﻣﺴﺘﻮى ﻓﻬﻢ ﻣﺘﺪﱐ ﰲ ﻫﺬا ﻤﻟﺎل ،وﻟﻴﺲ ﻟﺪﻳﻬﻢ أي ﻧﻴﺔ ﻟﺘﺤﺴﲔ ﻣﺴﺘﻮاﻫﻢ ،وﻗﺪ أﺷﺎر
اﻟﺒﺎﺣﺜﺎن إﱃ أن اﶈﺎﻛﺎة أداة داﻋﻤﺔ ﻣﻬﻤﺔ وﻟﻜﻨﻬﺎ ﻟﻴﺴﺖ ﺑﺄي ﺣﺎل ﺑﺪﻳﻼً ﻟﻠﻤﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ.
ﺑﺪراﺳﺔ ﻧﻮﻋﻴﺔ ) (Qualitative Studyﻋﻦ آراء اﻟﻄﻼب ﰲ اﺳﺘﺒﺪال اﻟﺮﺣﻼت اﳌﻴﺪاﻧﻴﺔ اﳊﻘﻴﻘﻴﺔ ﺑﺄﺧﺮى اﻓﱰاﺿﻴﺔ ،وﻗﺪ أﻇﻬﺮ ][732
ﻗﺎم
اﻟﻄﻼب ﻣﻮﻗﻔﺎً إﳚﺎﺑﻴﺎً ﺟﺪاً ﻻﺳﺘﺨﺪام ﳏﺎﻛﺎة ﻟﺮﺣﻠﺔ ﻣﻴﺪاﻧﻴﺔ ،وﻟﻜﻨﻬﻢ ﺑﻨﻔﺲ اﻟﻮﻗﺖ اﻋﱰﺿﻮا ﻋﻠﻰ ﻣﺴﺄﻟﺔ اﺳﺘﺒﺪال اﻟﺮﺣﻼت اﳊﻘﻴﻘﻴﺔ ،واﻋﺘﱪوا
اﻟﺮﺣﻠﺔ اﻻﻓﱰاﺿﻴﺔ ﲟﺜﺎﺑﺔ ﻣﺮﺣﻠﺔ إﺿﺎﻓﻴﺔ داﻋﻤﺔ ﺗﻜﻮن إﻣﺎ ﻗﺒﻞ أو ﺑﻌﺪ اﻟﺮﺣﻠﺔ اﳌﻴﺪاﻧﻴﺔ اﳊﻘﻴﻘﻴﺔ.
ﺑﻌﺪ ﻋﺎﻣﲔ ﻣﻦ اﳉﻤﻊ ﺑﲔ اﶈﺎﻛﺎة وﳐﺘﻠﻒ أﻧﺸﻄﺔ اﳌﺨﺎﺑﺮ اﳊﻘﻴﻘﻴﺔ ﰲ ﳐﺘﱪات ﻋﻠﻮم اﻷﺣﻴﺎء ) ،(Life Scienceاﺳﺘﺨﻠﺺ] [726أن اﶈﺎﻛﺎة
وﻓﺮت ﻓﺮﺻﺔ أﻓﻀﻞ ﻟﻠﻄﻼب ﻟﻔﻬﻢ اﳌﺒﺎدئ ،وﻟﻜﻦ ﺗﺒﻘﻰ اﳊﺎﺟﺔ ﻣﻮﺟﻮدة ﻟﻠﻤﻬﺎرات اﻟﻌﻤﻠﻴﺔ اﻟﱵ ﻻ ﺗﻜﺘﺴﺐ إﻻ ﺑﺈﺟﺮاء اﻟﺘﺠﺎرب اﻟﺘﻄﺒﻴﻘﻴﺔ،
إذ أن ﻛﻼ اﻷﺳﻠﻮﺑﲔ ﻣﻬﻢ ووﺟﻮد أﺣﺪﳘﺎ ﻻ ﻳﻠﻐﻲ ﺑﺎﻟﻀﺮورة وﺟﻮد اﻵﺧﺮ.
أن ﻛﻞ ﻧﻮع ﻣﻦ اﳌﺨﺎﺑﺮ )اﻟﺘﻄﺒﻴﻘﻲ ،اﻻﻓﱰاﺿﻲ ،ﻋﻦ ﺑﻌﺪ( ﻳﻌﻄﻲ ﻧﺘﺎﺋﺞ ﺗﻌﻠﻴﻤﻴﺔ ﳐﺘﻠﻔﺔ ،وإن ﺗﻘﺪﱘ ﳕﺎذج ﻫﺠﻴﻨﺔ )اﻟﺪﻣﺞ ﺑﲔ اﺳﺘﻨﺘﺞ
][692
أﻛﺜﺮ ﻣﻦ ﻧﻮع ﻣﻦ اﳌﺨﺎﺑﺮ( ﻣﻦ ﺷﺄﻧﻪ إﺛﺮاء اﻟﺘﺠﺮﺑﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻄﻼب .ﺑﺎﳌﺜﻞ أﻛﺪ] [682ﻋﻠﻰ أﳘﻴﺔ ﻣﻨﺢ اﻟﻄﻼب إﻣﻜﺎﻧﻴﺔ اﻟﻮﺻﻮل إﱃ ﳐﺘﻠﻒ
أﻧﻮاع اﳌﺨﺎﺑﺮ ﺑﺪف إﺛﺮاء اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي.
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ وﺟﻮد اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﺠﺎرب ﻟﺪﻣﺞ ﻧﻮﻋﲔ ﻣﻦ أﻧﻮاع اﳌﺨﺎﺑﺮ ﻣﻊ ﺑﻌﻀﻬﻤﺎ ﰲ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻴﻤﻴﺔ واﺣﺪة وﻧﺘﺎﺋﺠﻬﺎ أﺷﺎدت ﲟﺰاﻳﺎ ذﻟﻚ
اﻟﺪﻣﺞ] ،[729,730,735إﻻ أﻧﻪ ﻣﺎ ﻳﺰال ﻧﺎدراً ﰲ اﻟﺪراﺳﺎت اﻟﻘﺎﺋﻤﺔ وﺟﻮد ﺣﺰﻣﺔ ﺗﻌﻠﻴﻤﻴﺔ ﻣﺘﻜﺎﻣﻠﺔ ﺗﻀﻢ اﻷﺳﺎﻟﻴﺐ اﻟﺜﻼﺛﺔ ) Hands-on,
.(Simulation, Remote
ﰲ اﻟﻔﺼﻞ اﻟﺴﺎدس ﺳﻮف ﻧﻘﺪم ﳕﻮذﺟﺎً ﻣﻘﱰﺣﺎً ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ﻳﻀﻢ اﻷﺳﺎﻟﻴﺐ اﻟﺜﻼﺛﺔ .اﻟﺸﻜﻞ 13-4ﻳﺒﲔ ﳐﻄﻄﺎً ﻷﻧﻮاع اﳌﺨﺎﺑﺮ اﻟﺮﺋﻴﺴﻴﺔ
ﺗﺼﻨﻴﻔﺎﻬﺗﺎ اﻟﻔﺮﻋﻴﺔ.
Laboratory Style
Standalone Hands-on
Divergent Remote
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 234
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
إن اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ) (Behaviourismﺗﺸﺪد ﻋﻠﻰ اﻟﻄﺎﺑﻊ اﳌﻠﺤﻮظ واﳌﺪرك ﻟﻠﺘﻌﻠﻢ ،ﻛﻤﺎ ﻟﻮ أﻧﻪ ﻋﻤﻠﻴﺔ ﻣﻨﻔﻌﻠﺔ ﺗﺘﺸﻜﻞ ﻋﻦ ﻃﺮﻳﻖ
اﶈﻔﺰات].[532
اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ) (Cognitivismﻧﺸﺄت ﻛﺎﺳﺘﺠﺎﺑﺔ ﻟﻠﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ،وﺗﺆﻛﺪ ﻋﻠﻰ أن اﻟﺘﻌﻠﻢ ﻋﻤﻠﻴﺔ داﺧﻠﻴﺔ ﺗﺴﺘﺨﺪم اﻟﺬاﻛﺮة واﻟﺘﺤﻔﻴﺰ
واﻟﺘﻔﻜﲑ واﻟﺘﺄﻣﻞ .واﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﺗﺮى أن وﺗﲑة اﻟﺘﻌﻠﻢ وﻣﺴﺘﻮى اﻟﺘﺤﺼﻴﻞ اﳌﻌﺮﰲ ﻳﻌﺘﻤﺪان ﻋﻠﻰ :ﻗﺪرة اﳌﺘﻌﻠﻢ ﻋﻠﻰ اﳌﻌﺎﳉﺔ ،وﻋﻤﻖ اﳌﻌﺎﳉﺔ
ﻗﻨﻮاﻬﺗﺎ ،واﻻﺳﺘﻨﺘﺎﺟﺎت اﳌﺴﺘﺨﻠﺼﺔ ﻣﻦ اﳌﻌﻠﻮﻣﺎت ،وﻋﻠﻰ اﻟﺒﻨﻴﺔ اﳌﻌﺮﻓﻴﺔ اﳌﻮﺟﻮدة ﺳﺎﺑﻘﺎً ﻟﺪى اﳌﺘﻌﻠﻢ ،وﻳﺸﺎر إﻟﻴﻬﺎ ﻛ ـ
[535,539,670-
.672]Schema
ﻣﻨﺬ ﻋﻘﺪﻳﻦ أو ﺛﻼﺛﺔ ﺑﺪأت اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ) (Constructivismﺗﺄﺧﺬ ﺣﻴﺰاً ﻛﺒﲑاً ﰲ اﳌﻤﺎرﺳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﻄﺒﻘﺔ] ،[548ﺣﻴﺚ ﺗﺆﻛﺪ اﻟﻨﻈﺮﻳﺔ
اﻟﺒﻨﺎﺋﻴﺔ ﻋﻠﻰ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ اﳊﻘﻴﻘﻲ ) ،(Experiential & Authentic Learningوﺗﻌﺘﱪ أن اﻟﺘﻌﻠﻢ ﻫﻮ اﻟﻌﻤﻠﻴﺔ اﻟﱵ ﻳﻘﻮم ﻣﻦ ﺧﻼﳍﺎ
اﳌﺘﻌﻠﻤﻮن ﺑﻨﻘﻞ ﻣﻌﻠﻮﻣﺎت ﻣﻌﻘﺪة واﺳﺘﺨﺪاﻣﻬﺎ ﰲ ﺑﻨﺎء ﳕﺎذﺟﻬﻢ اﳋﺎﺻﺔ] ،[545وﲤﻴﺰ اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻧﻔﺴﻬﺎ ﻋﻦ اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﺑﺘﺄﻛﻴﺪﻫﺎ ﻋﻠﻰ
ﻋﻤﻠﻴﺎت اﻟﺘﻌﻠﻢ ،وﻋﻠﻰ ﻃﺒﻴﻌﺘﻬﺎ اﳌﺘﻤﺤﻮرة ﺣﻮل اﻟﻄﺎﻟﺐ ).(Student-centered
ﻟﻘﺪ ﺗﺄﺛﺮت اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﺑﺎﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ] ،[544وﻟﻜﻦ اﻟﺒﻨﺎﺋﻴﺔ ﺗﺘﻤﻴﺰ ﺑﻨﻬﺞ ﴰﻮﱄ أﻛﱪ ﺑﻜﺜﲑ ،وذﻟﻚ ﻋﻠﻰ ﺧﻼف اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ اﻟﱵ
ﺗﺆﻛﺪ ﻋﻠﻰ اﻵﻟﻴﺎت اﻟﺪاﺧﻠﻴﺔ ﻟﻠﺘﻌﻠﻢ ،اﻟﺒﻨﺎﺋﻴﻮن ﻳﻔﻬﻤﻮن اﻟﺘﻌﻠﻢ ﻋﻠﻰ أﻧﻪ ﻋﻤﻠﻴﺔ ﻧﺸﻄﺔ وﻣﺘﺄﺻﻠﺔ ﰲ اﻟﻄﺒﻴﻌﺔ ،ﺑﻴﻨﻤﺎ ﻳﺮاﻫﺎ اﻟﺴﻠﻮﻛﻴﻮن ﻛﺮد ﻓﻌﻞ
ﻟﻠﻤﺤﻔﺰات.
إن اﻟﻨﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ وﲢﺪﻳﺪاً اﻟﻨﻈﺮﻳﺎت اﻟﺒﻨﺎﺋﻴﺔ واﻹدراﻛﻴﺔ أدت إﱃ ﺗﻄﻮﻳﺮ ﳕﺎذج ﳐﺘﻠﻔﺔ ﻣﻦ اﻷﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﺣﻴﺚ ﻳﻌﺘﱪ ﳕﻮذج
[545]Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﻣﻦ أﻫﻢ اﻷﻣﺜﻠﺔ اﻟﱵ ﺗﺒﲔ ﻗﺪرة اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻋﻠﻰ ﻋﺮض اﻟﺘﻌﻠﻢ ﻛﻌﻤﻠﻴﺔ.
ﻳﺘﻢ اﻟﺘﻌﺒﲑ ﻋﻦ اﻷﺳﺎﻟﻴﺐ اﻟﺴﺎﺋﺪة ﰲ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ ﺿﻤﻦ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﺑﺎﳌﺼﻄﻠﺢ ” ،[672]“Chalk and Talkإن ﻫﺬا اﳌﺼﻄﻠﺢ
ﻳﺸﲑ إﱃ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ اﻟﱵ ﳍﺎ ﺧﻠﻔﻴﺔ ﺗﺮﺑﻮﻳﺔ ﺑﺴﻴﻄﺔوﻫﺬا ﳝﻜﻦ أن ﻳﻌﺰى إﱃ ﺣﻘﻴﻘﺔ أن اﶈﺎﺿﺮﻳﻦ واﳌﺪرﺳﲔ ﰲ اﺠﻤﻟﺎﻻت اﳍﻨﺪﺳﻴﺔ
اﻟﻌﻠﻤﻴﺔ ﻣﺪرﺑﻮن ﺑﺸﻜﻞ ﺟﻴﺪ ﺿﻤﻦ اﺧﺘﺼﺎﺻﺎﻬﺗﻢ ،إﻻ أ�ﻢ ﻧﺎدراً ﻣﺎ ﻳﺘﻠﻘﻮن أي ﺗﺪرﻳﺒﺎت ﺗﺮﺑﻮﻳﺔ] .[494,673ﻟﺬﻟﻚ ﻓﺈن اﳍﺪف ﻣﻦ ﻧﻈﺮﻳﺎت
اﻟﺘﻌﻠﻢ ﻫﻮ ﺗﻘﺪﱘ اﳌﻌﻠﻮﻣﺎت اﻟﻼزﻣﺔ واﻟﻀﺮورﻳﺔ ﻟﺘﺼﻤﻴﻢ ﳕﺎذج ﺗﻌﻠﻴﻤﻴﺔ ﻫﻨﺪﺳﻴﺔ ﳏﺴﻨﺔ ﺗﻌﺰز اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ وﺗﻄﻮرﻩ.
اﳌﺪارس اﻟﱰﺑﻮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ واﻹدراﻛﻴﺔ واﻟﺒﻨﺎﺋﻴﺔ ﳐﺘﻠﻔﺔ ﻋﻦ ﺑﻌﻀﻬﺎ ،إﻻ أن ﻫﻨﺎك ﻋﻼﻗﺎت ﺗﺮﺑﻂ ﺑﻴﻨﻬﺎ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﻮاﻧﺐ] .[532ﻓﻤﺜﻼً:
اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ اﳍﻨﺪﺳﻲ ﳝﻜﻦ أن ﻳﺴﺘﻔﻴﺪ ﻣﻦ ﻛﻞ ﻣﻦ ﺗﻠﻚ اﳌﺪارس ،وﻣﻊ ذﻟﻚ ﻳﺒﺪو أن اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻫﻲ اﻷﻛﺜﺮ ﻗﺎﺑﻠﻴﺔ ﻟﻠﺘﻄﺒﻴﻖ ﰲ
اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ اﳍﻨﺪﺳﻲ ،وذﻟﻚ ﻟﺘﺄﻛﻴﺪﻫﺎ ﻋﻠﻰ اﳉﻮاﻧﺐ اﻟﱵ ﺗﻌﺘﱪ أﺳﺎﺳﻴﺔ ﳋﺮﳚﻲ اﳍﻨﺪﺳﺔ ﰲ اﳌﺴﺘﻘﺒﻞ ،وﺗﺸﻤﻞ ﻫﺬﻩ اﳉﻮاﻧﺐ :ﻣﻬﺎرات
اﻟﺘﻮاﺻﻞ ) ،(Communication Skillsاﻟﺘﻌﻠﻢ ﻣﺪى اﳊﻴﺎة ) ،(Lifelong Learningﺣﻞ اﳌﺸﻜﻼت )،(Problems-solving
اﳋﱪة اﻟﻌﻤﻠﻴﺔ ) ،(Practical Experienceاﻟﺘﻔﻜﲑ اﻟﺸﻤﻮﱄ ) ،(Holistic Thinkingوﻏﲑﻫﺎ ﻣﻦ اﳉﻮاﻧﺐ اﻟﻌﺪﻳﺪة.
235 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ |
ﺗﻌﺘﱪ اﻟﻨﻤﺎذج اﻟﺒﻨﺎﺋﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻣﻔﻴﺪة ﺟﺪاً ﰲ ﺗﺄﻣﲔ اﳌﻬﺎرات اﳌﻄﻠﻮﺑﺔ ﳋﺮﳚﻲ ﻓﺮوع اﳍﻨﺪﺳﺔ ﰲ اﳌﺴﺘﻘﺒﻞ ،ﳝﻜﻦ أن ﻧﻌﺪد ﻣﻦ ﻫﺬﻩ اﻟﻨﻤﺎذج:
اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت واﳌﺸﺎرﻳﻊ ) [184-186](Problem/Project-based Learningاﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ )Self-
وﻣﻦ ﻧﺎﺣﻴﺔ أﺧﺮى ،ﳝﻜﻦ ﻟﻠﻬﻨﺪﺳﺔ أن ﻳﻜﻮن ﳍﺎ ﺗﺄﺛﲑ ﻛﺒﲑ ﰲ ﻋﻠﻢ اﻟﱰﺑﻴﺔ واﻟﺘﻌﻠﻴﻢ ) ،(Pedagogyوﻗﺪ ﻗﺪﻣﻨﺎ ﻓﻴﻤﺎ ﺳﺒﻖ ﻋﺪداً ﻣﻦ ﳎﺎﻻت
اﻟﺒﺤﺚ اﻟﱰﺑﻮﻳﺔ اﻟﱵ ﺗﺴﺘﻨﺪ إﱃ ﻣﺒﺎدئ ﻫﻨﺪﺳﻴﺔ ﻣﺜﻞ :اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ) ،(Feedbackاﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ )،(Formative Assessment
اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ) ،(Self-regulated Learningﺑﺎﻹﺿﺎﻓﺔ إﱃ اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ) .(Instructional Designاﻟﺸﻜﻞ14-4
ﻳﻌﺮض ﳐﻄﻄﺎً ﻟﻠﻌﻼﻗﺔ اﳌﺘﺒﺎدﻟﺔ ﺑﲔ اﳉﻮاﻧﺐ اﻟﱰﺑﻮﻳﺔ اﳌﻌﺮوﺿﺔ ﰲ ﻫﺬا اﻟﻔﺼﻞ.
وﻋﻠﻴﻪ ﻓﺈﻧﻨﺎ ﻣﻦ ﺧﻼل ﲝﺜﻨﺎ ﻫﺬا ﻧﺮى أن ﻧﻈﺮﻳﺔ اﻟﺘﻌﻠﻢ اﻟﺸﻤﻮﻟﻴﺔ ﻫﻲ اﻟﱵ ﺗﻮﺣﺪ أﻓﻜﺎر ﻛﻞ اﳌﺪارس اﻟﱰﺑﻮﻳﺔ وذﻟﻚ ﻣﻦ ﺧﻼل:
ﺗﺒﲏ اﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﺑﻮﺻﻔﻬﺎ اﻹﻃﺎر اﳋﺎرﺟﻲ ﻟﺘﻄﻮﻳﺮ اﻟﻨﻤﺎذج اﳌﺘﻤﺤﻮرة ﺣﻮل اﻟﻄﺎﻟﺐ ﰲ ﻋﻤﻠﻴﺎت اﻟﺘﻌﻠﻢ.
اﻻﺳﺘﻔﺎدة ﻣﻦ ﻋﻨﺎﺻﺮ اﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ ﻛﺄداة ﻟﺘﻌﺰﻳﺰ ﺗﻨﻈﻴﻢ ﺗﻠﻚ اﻟﻌﻤﻠﻴﺎت.
اﻟﻌﻮدة إﱃ اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ اﻟﱵ ﺗﻌﻄﻲ ﻋﻤﻠﻴﺔ اﻟﺘﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻤﻲ ﺷﻜﻠﻬﺎ وﺟﻮﻫﺮﻫﺎ ﻣﻦ ﺧﻼل ﻓﻬﻢ ﻣﻀﻤﻮﻧﺎت اﳉﻮاﻧﺐ اﳌﻌﺮﻓﻴﺔ
واﻻﻋﺘﺒﺎرات اﻟﻌﻘﻠﻴﺔ اﻟﺪاﺧﻠﻴﺔ اﳌﺘﻌﻠﻘﺔ ﻬﺑﺎ.
اﻻﺳﺘﻔﺎدة ﻣﻦ ﺗﺄﺛﺮ اﻟﻨﻈﺮﻳﺔ اﻻﺗﺼﺎﻟﻴﺔ ﺑﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﻌﺼﺮ اﻟﺮﻗﻤﻲ ،واﻟﺘﺄﻛﻴﺪ ﻋﻠﻰ رﺑﻂ اﳌﻌﺎرف اﳌﺘﺠﺪدة أﻳﻨﻤﺎ وﺟﺪت.
Pedagogical Theories
Instructional Experiential
Design Learning
Formative
Feedback
Assessment
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 236
24 اﻟﻔﺼﻞ اﻟﺮاﺑﻊ | Chapter 4
ﻗﺪم ﻫﺬا اﻟﻔﺼﻞ ﻋﺮﺿﺎً ﻣﻮﺟﺰاً ﻟﻼﲡﺎﻫﺎت اﻟﺴﺎﺋﺪة ﰲ اﳌﺪارس اﻟﱰﺑﻮﻳﺔ ،ﻛﺎﻟﻨﻈﺮﻳﺔ اﻟﺴﻠﻮﻛﻴﺔ واﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ واﻟﻨﻈﺮﻳﺔ اﻟﺒﻨﺎﺋﻴﺔ ،وذﻟﻚ ﻋﻠﻰ
اﻋﺘﺒﺎر أن اﻟﻨﻈﺮﻳﺎت اﻟﱰﺑﻮﻳﺔ ﺗﻠﻌﺐ دوراً ﻫﺎﻣﺎً ﰲ ﻋﻤﻠﻴﱵ ﺗﺼﻤﻴﻢ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ اﳍﻨﺪﺳﻲ.
اﳌﺪرﺳﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻫﻲ أﺣﺪث اﳌﺪارس اﻟﱰﺑﻮﻳﺔ وﻫﻲ ﺗﺆﻛﺪ ﻋﻠﻰ اﻟﻌﺪﻳﺪ ﻣﻦ اﳉﻮاﻧﺐ ذات اﻟﺼﻠﺔ ﺑﺎﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ،وﲢﺪﻳﺪاً ﻧﻈﺮﻳﺔ اﻟﺘﻌﻠﻢ
اﻟﺘﺠﺮﻳﱯ اﻟﺒﻨﺎﺋﻴﺔ ذات اﻟﺼﻠﺔ اﻟﻮﺛﻴﻘﺔ ﺑﺎﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ واﳌﺨﱪي -ﻧﻈﺮاً ﻟﻠﻄﺒﻴﻌﺔ اﻟﺘﺠﺮﻳﺒﻴﺔ اﻟﱵ ﺗﺴﻮد ﰲ ﻫﺬﻩ اﳌﺨﺎﺑﺮ.
ﰎ ﺷﺮح ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺑﺸﻜﻞ ﻣﻔﺼﻞ ،ﺣﻴﺚ ﺳﻨﺴﺘﺨﺪﻣﻬﺎ ﻛﺄﺳﺎس ﻟﺘﺼﻤﻴﻢ ﳕﻮذج ﺟﺪﻳﺪ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ،واﻟﺬي ﺳﻴﺘﻢ
ﺗﻘﺪﳝﻪ ﻣﻔﺼﻼً ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ.
ﰎ اﺳﺘﺨﺪام ﻋﻨﺎﺻﺮ اﻟﻨﻈﺮﻳﺔ اﻹدراﻛﻴﺔ ﰲ ﻫﺬا اﻟﻔﺼﻞ ﻟﺸﺮح وﺗﻔﺴﲑ ﺑﻌﺾ اﻟﻨﺘﺎﺋﺞ ﰲ اﻟﻔﺼﻞ اﻟﺴﺎﺑﻊ ﺧﻼل ﺗﻄﻮﻳﺮ اﻟﻨﻤﺎذج اﻟﺮﻳﺎﺿﻴﺔ ﻟﻠﺘﻌﻠﻢ،
وﻗﺪ أوﺿﺤﻨﺎ أن اﻟﻌﺪﻳﺪ ﻣﻦ ﺟﻮاﻧﺐ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ :ﻛﺎﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ واﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ واﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ،وﻛﺬﻟﻚ ﺗﺼﻤﻴﻢ اﳌﻨﺎﻫﺞ
اﻟﺘﻌﻠﻴﻤﻴﺔ ﺗﺮﺗﺒﻂ ﲟﻔﺎﻫﻴﻢ وﻣﺒﺎدئ ﻫﻨﺪﺳﻴﺔ )وﲢﺪﻳﺪاً ﲟﺒﺎدئ ﻣﻦ ﻫﻨﺪﺳﺔ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ(.
اﳉﺪﻳﺮ ﺑﺎﻟﺬﻛﺮ أن ﺗﺪاﺧﻞ ﻧﻈﺮﻳﺎت اﳌﺪارس اﻟﱰﺑﻮﻳﺔ ،إﺿﺎﻓﺔً إﱃ اﳉﻮاﻧﺐ اﳌﺨﺘﻠﻔﺔ ﻟﻜﻞ ﻣﺪرﺳﺔ ،ﳝﻜﻦ أن ﻳﻜﻮن ﻣﻔﻴﺪاً ﻟﻔﻬﻢ اﻟﻨﺘﺎﺋﺞ اﻟﺘﺠﺮﻳﺒﻴﺔ
اﳋﺎﺻﺔ ﺑﺘﺼﻤﻴﻢ ﳕﺎذج اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ ،وﲢﻠﻴﻞ اﻟﻌﻤﻠﻴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﻗﺪ ﲤﺖ ﻣﻨﺎﻗﺸﺔ اﻟﻌﻼﻗﺎت اﳌﺘﺒﺎدﻟﺔ ﺑﲔ اﻟﻨﻈﺮﻳﺎت اﻟﱰﺑﻮﻳﺔ.
ﻟﻘﺪ أﻛﺪت اﻷﲝﺎث واﻟﺪراﺳﺎت ﻣﺮاراً وﺗﻜﺮاراً ﻋﻠﻰ أﳘﻴﺔ دور اﳌﺨﺎﺑﺮ ﰲ اﻟﻌﻠﻮم واﳍﻨﺪﺳﺔ ،ﺣﻴﺚ ﺗﻌﺘﱪ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ) Hands-on
(Labsاﻟﻨﻮع اﻷﻛﺜﺮ ﺷﻴﻮﻋﺎً ،وﻟﻜﻦ اﻟﺘﻄﻮرات اﳊﺪﻳﺜﺔ ﰲ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﻌﻠﻮﻣﺎت واﻻﺗﺼﺎﻻت أدت إﱃ ﻇﻬﻮر ﻧﻮﻋﲔ ﺟﺪﻳﺪﻳﻦ ﻣﻦ اﻟﺘﻌﻠﻴﻢ
اﳌﺨﱪي ﳘﺎ :اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ) ،(Virtual Labsواﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ ) ،(Remote Labوﻗﺪ ﻗﻤﻨﺎ ﺑﺎﺳﺘﻌﺮاض اﻟﺪراﺳﺎت اﻟﱵ ﻗﺎﻣﺖ ﻋﻠﻰ
ﳐﺘﻠﻒ أﻧﻮاع اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي واﻟﱵ أﻋﻄﺖ اﻟﺘﻔﺎﺻﻴﻞ ﻋﻦ ﻣﺰاﻳﺎ وﻋﻴﻮب ﻛﻞ ﻧﻮع ﻣﻦ ﺗﻠﻚ اﻷﻧﻮاع.
ﺑﻴﻨّﺎ أﻳﻀﺎً أن ﻫﻨﺎك ﻧﻘﺼﺎً ﰲ اﻟﺪراﺳﺎت اﻟﺘﺠﺮﻳﺒﻴﺔ ﺣﻮل أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﻛﺬﻟﻚ ﺑﻴﻨﺎ أن اﻟﺪراﺳﺎت ﻻ ﺗﺆﻛﺪ ﺑﺸﻜﻞ داﺋﻢ ﻋﻠﻰ ﺗﻔﻮق
ﻧﻮع واﺣﺪ ﻣﻦ أﻧﻮاع اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﻟﻜﻦ اﻟﺪراﺳﺎت أﻇﻬﺮت ﺗﻮاﻓﻘﺎً ﻋﺎﻣﺎً ﻋﻠﻰ ﺧﻼﺻﺔ واﺣﺪة وﻫﻲ اﳊﺎﺟﺔ إﱃ اﺳﺘﺨﺪام اﻟﻨﻤﻮذج اﳌﺨﺘﻠﻂ
ﻟﻠﻤﺨﺎﺑﺮ .ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﺣﻘﻴﻘﺔ أن اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻻ زاﻟﺖ ﺗﻠﻌﺐ دوراً ﳏﻮرﻳﺎً ﰲ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،إﻻ أن اﳉﻤﻊ ﺑﻴﻨﻬﺎ وﺑﲔ اﻷﻧﻮاع اﻷﺧﺮى
وﲢﻘﻴﻖ ﳕﻮذج ﻣﺘﻜﺎﻣﻞ وﺗﻄﺒﻴﻘﻪ ﳝﻜﻦ أن ﻳﻌﻄﻲ ﻧﺘﺎﺋﺞ ﺗﻌﻠﻴﻤﻴﺔ أﻓﻀﻞ .ﺳﻮف ﻧﻔﺼﻞ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﺗﺼﻤﻴﻤﺎً ﳐﺘﻠﻄﺎً ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي.
237 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Engineering Education Methodologies | ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 238
اﻟﻔﺼﻞ اﻟﺨﺎﻣﺲ Chapter 5
@5Éæa@·Ó‹»n‹€@Ôˆb‰i@xàÏπ@ÏÆ
ﻫ ـ ــﺬا اﻟﻔﺼ ـ ــﻞ ﻳﻌ ـ ــﺎﰿ اﻷﺳ ـ ــﺲ اﻟﱰﺑﻮﻳ ـ ــﺔ اﻟ ـ ــﱵ ﺗﻘ ـ ــﺪم ذﻛﺮﻫ ـ ــﺎ ﰲ اﻟﻔﺼ ـ ــﻞ اﳋ ـ ــﺎﻣﺲ ،ﺣﻴ ـ ــﺚ ﻳﻘـ ــﺪم ﳕﻮذﺟ ـ ـﺎً ﺗﺮﺑﻮﻳ ـ ـﺎً ﻓﺮﻳـ ــﺪاً ﻟﻠﺘﻌﻠـ ــﻴﻢ اﳍﻨﺪﺳـ ــﻲ ﻳﻌﺘﻤـ ــﺪ
ﻋﻠ ـ ــﻰ ﻧﻈﺮﻳ ـ ــﺔ Kolbﰲ اﻟ ـ ــﺘﻌﻠﻢ اﻟﺘﺠـ ـ ـﺮﻳﱯ ﺟﻨﺒـ ـ ـﺎً إﱃ ﺟﻨ ـ ــﺐ ﻣ ـ ــﻊ اﻟﺘﺤﻠﻴ ـ ــﻞ اﻟﺘﺠـ ـ ـﺮﻳﱯ وﻳﻌﺘـ ــﱪ ﻫـ ــﺬا اﻟﻨﻤـ ــﻮذج اﻷول ﻣـ ــﻦ ﻧﻮﻋـ ــﻪ .ﻳﺴـ ــﺘﻬﻞ اﻟﻔﺼـ ــﻞ
ﺑﺪاﻳﺘ ـ ـ ـ ــﻪ ﲟ ـ ـ ـ ــﺪﺧﻞ إﱃ اﻟﻄ ـ ـ ـ ــﺮق اﻹﺣﺼ ـ ـ ـ ــﺎﺋﻴﺔ اﳌﺴ ـ ـ ـ ــﺘﺨﺪﻣﺔ ﰲ اﻷﲝ ـ ـ ـ ــﺎث اﻟﱰﺑﻮﻳ ـ ـ ـ ــﺔ ،واﻟ ـ ـ ـ ــﱵ ﺳﺘﺴ ـ ـ ــﺘﺨﺪم ﻻﺣﻘـ ـ ـ ـﺎً ﻟﺘﺤﻠﻴ ـ ـ ــﻞ ﻧﺘ ـ ـ ــﺎﺋﺞ اﻻﺧﺘﺒ ـ ـ ــﺎرات
اﻹﺣﺼـ ـ ــﺎﺋﻴﺔ ،وﻣﻨﺎﻗﺸـ ـ ــﺔ اﻟﻨﺘـ ـ ــﺎﺋﺞ اﻟﺘﺠﺮﻳﺒﻴـ ـ ــﺔ .ﻳﻨﺘﻘـ ـ ــﻞ اﻟﻔﺼـ ـ ــﻞ ﰲ ﻗﺴـ ـ ــﻤﻪ اﻟﺜـ ـ ــﺎﱐ إﱃ ﺳـ ـ ــﺮد ﻣﻘﺘﻀ ـ ــﺐ ﻟﻌﻨﺎﺻ ـ ــﺮ ﳐﺘ ـ ــﱪ اﻷﻧﻈﻤ ـ ــﺔ اﳌﺪﳎ ـ ــﺔ اﻟ ـ ــﺬي ﰎ
ﺗﺼـ ــﻤﻴﻤﻪ ﺑﺸـ ــﻜﻞ ﺧـ ــﺎص ﳍـ ــﺬﻩ اﻟﺪراﺳـ ــﺔ .اﻟﻘﺴـ ــﻢ اﻟﺜﺎﻟـ ــﺚ ﻳﺸـ ــﺮح أﺳـ ــﺒﺎب ﺿـ ــﻌﻒ ﻧﺘـ ــﺎﺋﺞ اﻟﺘﻌﻠـ ــﻴﻢ اﳌﺨـ ــﱪي وﳛـ ــﺎول أن ﻳﻌـ ــﺎﰿ ﻫـ ــﺬﻩ اﻷﺳـ ــﺒﺎب
ﻣ ـ ــﻦ ﺧ ـ ــﻼل دراﺳ ـ ــﺔ ﺗﻄﺒﻴﻘﻴ ـ ــﺔ ﻟﻠﻤﺨﺘ ـ ــﱪ اﻻﻓﱰاﺿ ـ ــﻲ ،وﻳ ـ ــﺘﻢ ﲢﻠﻴ ـ ــﻞ ﻧﺘ ـ ــﺎﺋﺞ ﻫ ـ ــﺬﻩ اﻟﺪراﺳـ ــﺔ إﺣﺼـ ــﺎﺋﻴﺎً .ﳜـ ــﺘﻢ اﻟﻘﺴـ ــﻢ اﻟﺜﺎﻟـ ــﺚ ﻣﻮﺿـ ــﻮﻋﻪ ﺑﻨﻤـ ــﻮذج
ﻫﺠ ـ ــﲔ ﻣﻘ ـ ــﱰح ﻟﻠﺘﻌﻠ ـ ــﻴﻢ اﳌﺨ ـ ــﱪي .ﻧﻨﺘﻘ ـ ــﻞ ﰲ اﻟﻘﺴ ـ ــﻢ اﻟﺮاﺑ ـ ــﻊ ﻣ ـ ــﻦ ﻫ ـ ــﺬ اﻟﻔﺼ ـ ــﻞ إﱃ اﻟﺘﻤﺜﻴـ ــﻞ اﻟﺮﻳﺎﺿـ ــﻲ اﳍﻨﺪﺳـ ــﻲ ﻟﻨﻤـ ــﻮذﺟﻲ اﻟﺘﻌﻠـ ــﻴﻢ ﰲ اﳊﻠﻘـ ــﺔ
اﳌﻔﺘﻮﺣ ـ ــﺔ واﳌﻐﻠﻘ ـ ــﺔ ،وﻧﻘ ـ ــﺪم دراﺳ ـ ــﺔ ﺗﻄﺒﻴﻘﻴ ـ ــﺔ ﺗﻔﺼ ـ ــﻴﻠﻴﺔ ﲢﻠﻴﻠﻴ ـ ــﺔ ﻟﻠﻤﻨﻬﺠﻴ ـ ــﺔ اﳌﺘﺒﻌ ـ ــﺔ ﰲ ﻫـ ــﺬﻳﻦ اﻟﻨﻤـ ــﻮذﺟﲔ .اﻟﻘﺴـ ــﻢ اﳋـ ــﺎﻣﺲ واﻷﺧـ ــﲑ ﻣـ ــﻦ ﻫـ ــﺬا
اﻟﻔﺼـ ـ ــﻞ ﻳـ ـ ــﺄﰐ ﻛﻌﺼـ ـ ــﺎرة ﻟﻸﺳـ ـ ــﺲ اﻟﱰﺑﻮﻳـ ـ ــﺔ اﻟـ ـ ــﱵ ﺧﻄّـ ـ ــﺖ ﰲ اﻟﻔﺼـ ـ ــﻞ اﻟﺮاﺑـ ـ ــﻊ ،واﻵﻟﻴـ ـ ــﺎت اﻟﻌﻤﻠﻴ ـ ــﺔ ﻟﻸﺳ ـ ــﺲ اﻟ ـ ــﱵ ﺳ ـ ــﻴﺘﻢ ﻣﻨﺎﻗﺸـ ـ ــﺘﻬﺎ وﺗﻄﺒﻴﻘﻬ ـ ــﺎ
وﲢﻠﻴﻠﻬـ ــﺎ ﰲ ﻫـ ــﺬا اﻟﻔﺼـ ــﻞ ،ﻓﻴﻘـ ــﺪم ﳕﻮذﺟ ـ ـﺎً ﺗﻌﻠﻴﻤﻴ ـ ـﺎً ﺷـ ــﺎﻣﻼً ﻟﻠﺘﻌﻠـ ــﻴﻢ اﳍﻨﺪﺳـ ــﻲ ﺑﻜﺎﻓـ ــﺔ أﺑﻌـ ــﺎدﻩ .اﻟﺪراﺳـ ــﺔ ﻃﺒﻘـ ــﺖ ﻋﻠـ ــﻰ ﻣـ ــﺪى ﻓﺼـ ــﻠﲔ دراﺳـ ــﻴﲔ
2009-2010ﺧـ ـ ــﻼل ﺗ ـ ـ ــﺪرﻳﺲ اﳌﻘ ـ ـ ــﺮ اﻟﻌﻤﻠ ـ ـ ــﻲ اﳌﺨ ـ ـ ــﱪي ﳌﻘ ـ ـ ــﺮر اﻟﺘﺼ ـ ـ ــﻤﻴﻢ ﺑﺎﺳ ـ ـ ــﺘﺨﺪام اﳊﺎﺳ ـ ــﺐ – اﻟﺴ ـ ــﻨﺔ اﻟﺮاﺑﻌ ـ ــﺔ ﻗﺴ ـ ــﻢ ﻫﻨﺪﺳ ـ ــﺔ اﻟ ـ ــﺘﺤﻜﻢ
اﻵﱄ واﻷﲤﺘ ـ ـ ـ ــﺔ 2008-2009 ،وﻣﻘ ـ ـ ـ ــﺮر اﳌﻌﺎﳉ ـ ـ ـ ــﺎت ﰲ ﻧﻈ ـ ـ ـ ــﻢ اﻟ ـ ـ ـ ــﺘﺤﻜﻢ – اﻟﺴ ـ ـ ـ ــﻨﺔ اﻟﺜﺎﻟﺜ ـ ـ ــﺔ ﻗﺴ ـ ـ ــﻢ ﻫﻨﺪﺳ ـ ـ ــﺔ اﻟ ـ ـ ــﺘﺤﻜﻢ اﻵﱄ واﻷﲤﺘ ـ ـ ــﺔ ،وﻛ ـ ـ ــﻼ
اﳌﻘﺮرﻳﻦ ﻋﻘﺪا ﰲ ﻛﻠﻴﺔ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ اﻹﻟﻜﱰوﻧﻴﺔ ﲜﺎﻣﻌﺔ ﺣﻠﺐ.
ﺗﻔﺘﻘﺮ اﻷﲝﺎث اﻟﻘﺎﺋﻤﺔ ﰲ ﳎﺎل اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﻋﻤﻮﻣﺎً واﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ﺧﺼﻮﺻﺎً إﱃ اﻟﺪراﺳﺎت اﻟﻜﻤﻴﺔ اﻟﺘﺠﺮﻳﺒﻴﺔ] ،[682وﻫﺬا ﻣﺎ ﰎ ﻣﻨﺎﻗﺸﺘﻪ
ﺑﺸﻜﻞ واﺳﻊ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ .ﺑﺎﻹﺿﺎﻓﺔ إﱃ ذﻟﻚ ،ﻓﺈﻧﻪ ﻧﺎدراً ﻣﺎ ﳒﺪ ﳕﻮذﺟﺎً ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ﻳﻘﻮم ﻋﻠﻰ أﺳﺲ ﺗﺮﺑﻮﻳﺔ ﺻﺤﻴﺤﺔ ،وﻻ ﺳﻴﻤﺎ
ﰲ ﺣﺎﻟﺔ دﻣﺞ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ وﳐﺎﺑﺮ اﻟﺘﻌﻠﻢ ﻋﻦ ﺑﻌﺪ ﻣﻊ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ.
ﻳﻘﺪم ﻫﺬا اﻟﻔﺼﻞ ﲢﻘﻴﻘﺎً ﰲ ﺿﻌﻒ اﳊﺼﻴﻠﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﳛﺎول أن ﻳﻠﺘﻤﺲ ﺗﻔﺴﲑاً ﺗﺮﺑﻮﻳﺎً ﻟﺬﻟﻚ ﰲ ﺿﻮء ﻧﻈﺮﻳﺔ Kolbﰲ
اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،وﲢﻠﻴﻞ اﻟﺒﻴﺎﻧﺎت اﻟﺘﺠﺮﻳﺒﻴﺔ ﻟﻨﺘﺎﺋﺞ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي.
اﺳﺘﻨﺎداً إﱃ اﻟﻨﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻠﻴﺔ ﺳﻴﺘﻢ ﺗﻘﺪﱘ ﳕﻮذج ﺟﺪﻳﺪ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي ،ﻳﻌﺘﻤﺪ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﻋﻠﻰ ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ]،[545
وﻳﺘﻢ ﺿﻤﻦ اﻟﻨﻤﻮذج دﻣﺞ اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ) (Virtual Labsواﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ) (Hands-on Labsﻣﻊ اﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ ) Remote
ﻳﺴﺘﻨﺪ ﻫﺬا اﻟﻨﻤﻮذج إﱃ اﻟﺘﺤﻠﻴﻞ اﻟﺘﺠﺮﻳﱯ اﻟﺸﺎﻣﻞ ﻟﻠﺒﻴﺎﻧﺎت ) (Empirical Analysisﻣﻦ ﺧﻼل اﺳﺘﺨﺪام اﻷﺳﺎﻟﻴﺐ اﻹﺣﺼﺎﺋﻴﺔ
) (Statistical Methodsواﻟﱵ ﺗﺴﺘﺨﺪم ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ اﻟﻜﻤﻴﺔ ) (Quantitative Educational Researchواﳌﻮﺿﺤﺔ ﰲ
اﻟﻔﻘﺮات اﻟﺘﺎﻟﻴﺔ.
ﻳﻬﺪف ﻫﺬا اﻟﻘﺴﻢ إﱃ ﺗﻌﺮﻳﻒ اﻟﻄﺮاﺋﻖ اﻹﺣﺼﺎﺋﻴﺔ ) (Statistical Methodsاﻟﱵ ﺗﺴﺘﺨﺪم ﻷﻏﺮاض ﲢﻠﻴﻞ اﻟﺒﻴﺎﻧﺎت ،ﺣﻴﺚ أن ﻣﻌﻈﻢ
اﻟﺒﻴﺎﻧﺎت ﰲ ﻫﺬا اﻟﻔﺼﻞ )ﻛﻨﺘﺎﺋﺞ اﻻﺧﺘﺒﺎرات واﻟﻔﺮﺿﻴﺎت( ﰎ ﲢﻠﻴﻠﻬﺎ ﺑﻮاﺳﻄﺔ اﺧﺘﺒﺎرات إﺣﺼﺎﺋﻴﺔ اﺳﺘﻨﺘﺎﺟﻴﺔ ).(Inferential Statistics
ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ اﻟﻜﻤﻴﺔ ) ،[749](Quantitative Educational Researchﻻ ﳝﻜﻦ إﺟﺮاء اﳌﻘﺎرﻧﺔ ﺑﲔ اﳌﺘﻮﺳﻄﺎت اﳊﺴﺎﺑﻴﺔ
) (Meansﺑﺒﺴﺎﻃﺔ ﻣﻦ ﺧﻼل ﻣﻌﺮﻓﺔ ﻣﻦ ﻫﻮ اﻷﻛﱪ ﻣﻦ اﻷﺻﻐﺮ ،ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :ﻟﻨﻔﺮض ﺗﻄﺒﻴﻖ ﻣﻨﻬﺠﻴﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺟﺪﻳﺪة ﻋﻠﻰ ﻋﻴﻨﺔ ﻣﻦ
اﻟﻄﻼب )ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻣﺸﺎﻬﺑﺔ ﻟﻌﻴﻨﺔ أﺧﺮى )ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ( ،وﻫﺬﻩ اﻷﺧﲑة ﺗﺘﺒﻊ ﻣﻨﻬﺠﻴﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺗﻘﻠﻴﺪﻳﺔ؛ وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻻ ﳝﻜﻦ
اﳉﺰم ﻓﻴﻤﺎ إذا ﻛﺎن اﻟﻔﺮق ﻧﺎﺗﺞ ﻋﻦ اﻻﺧﺘﻼف ﰲ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻴﻢ أو أﻧﻪ ﻧﺎﺗﺞ ﻓﻘﻂ ﻋﻦ اﻟﺼﺪﻓﺔ ،ﻣﻦ ﺧﻼل اﻻﻗﺘﺼﺎر ﻋﻠﻰ اﻟﻨﻈﺮ إﱃ
اﻻﺧﺘﻼف ﺑﲔ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻤﺠﻤﻮﻋﺘﲔ.
إن أدوات اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ ﺗﻮﻓﺮ ﻟﻠﺒﺎﺣﺜﲔ ﰲ اﻟﻌﻠﻮم اﻻﺟﺘﻤﺎﻋﻴﺔ وﺳﺎﺋﻞ ﲢﻠﻴﻞ ﻣﺘﻌﻤﻖ وﺷﺎﻣﻞ ﻟﻠﺒﻴﺎﻧﺎت ،وﺑﺸﻜﻞ ﺧﺎص ﺗﺴﺘﺨﺪم
اﻻﺧﺘﺒﺎرات اﻟﺒﺎراﻣﱰﻳﺔ ” “Parametric Testsواﻻﺧﺘﺒﺎرات اﻟﻼﺑﺎراﻣﱰﻳﺔ ” “Non-Parametric Testsﳌﻘﺎرﻧﺔ اﳌﺘﻮﺳﻄﺎت اﳊﺴﺎﺑﻴﺔ
ﻋﻠﻰ ﻧﻄﺎق واﺳﻊ ﰲ اﻷﲝﺎث اﻟﺘﻌﻠﻴﻤﻴﺔ].[745
ﻣﻦ اﳌﻌﺮوف أن اﲣﺎذ أي ﻗﺮار ﻻ ﻳﺘﻢ إﻻ ﻣﻦ ﺧﻼل اﺧﺘﺒﺎرات اﻟﻔﺮوض اﻹﺣﺼﺎﺋﻴﺔ اﻟﱵ ﺗﻌﺘﻤﺪ ﺑﺪورﻫﺎ ﻛﻤﺎ ﺳﺒﻖ ﻋﻠﻰ اﻻﺣﺘﻤﺎﻻت وﺗﻮزﻳﻌﺎت
اﻟﻌﻴﻨﺔ ،وﻫﺬا ﻳﺆﻛﺪ أﳘﻴﺔ اﻟﺪور اﻟﺬى ﺗﻠﻌﺒﻪ ﻧﻈﺮﻳﻪ اﻻﺣﺘﻤﺎﻻت ﰲ اﻟﺘﻨﺒﺆ واﻟﺘﺨﻄﻴﻂ واﲣﺎذ اﻟﻘﺮارات ،إﺿﺎﻓﺔ إﱃ أﳘﻴﺘﻬﺎ ﰲ ﺗﻘﺪﻳﺮ ﻋﻴﻨﺔ ﻤﻟﺘﻤﻊ
ﻤﻟﻬﻮﻟﺔ واﻟﱵ ﺗﻌﺘﱪ أﺣﺪ اﻫﺘﻤﺎﻣﺎت اﻟﺒﺎﺣﺜﲔ.
واﻟﻔﺮض :ﻣﺎ ﻫﻮ إﻻ ﲣﻤﲔ أو ادﻋﺎء )ﻗﺪ ﻳﻜﻮن ﺻﺎﺋﺒﺎً أو ﺧﺎﻃﺌﺎً( أو اﺳﺘﻨﺘﺎج ذﻛﻲ ﻣﺒﲏ ﻋﻠﻰ ﺣﻴﺜﻴﺎت ﻣﻌﻘﻮﻟﺔ أو ﻣﻨﻄﻘﻴﺔ ،وﻟﻜﻨﻪ ﻟﻴﺲ ﻣﺒﻨﻴﺎً
ﻋﻠﻰ ﺣﺴﺎﺑﺎت دﻗﻴﻘﺔ ﺧﺎﺻﺔ ﺑﻌﻴﻨﺔ ﻤﻟﺘﻤﻊ ،ﻷﻧﻨﺎ ﻧﻔﱰض أﻧﻪ ﻻ ﳝﻜﻦ دراﺳﺔ ﻤﻟﺘﻤﻊ ﺑﺎﻟﻜﺎﻣﻞ ﻋﻦ ﻃﺮﻳﻖ اﳊﺼﺮ اﻟﺸﺎﻣﻞ ،وإﳕﺎ ﳓﺎول
اﻻﺳﺘﻨﺘﺎج أو اﻻﺳﺘﺪﻻل ﻋﻠﻰ ﻣﻘﺎﻳﻴﺲ ﻤﻟﺘﻤﻊ ﺑﺎﺳﺘﺨﺪام ﺑﻴﺎﻧﺎت وﻧﺘﺎﺋﺞ اﻟﻌﻴﻨﺔ ،واﳌﻄﻠﻮب ﻫﻮ اﺧﺘﻴﺎر ﻣﺪى ﺻﺤﺔ ﻫﺬﻩ اﻟﻔﺮوض؛ ﺣﻴﺚ ﺗﺒﺪأ
ﻣﺸﻜﻠﺔ اﻟﺘﻌﺮف ﻋﻠﻰ ﻣﻌﻠﻤﺔ ﻤﻟﺘﻤﻊ ﻤﻟﻬﻮﻟﺔ ﲟﺎ ﻳﺴﻤﻰ ﺑﺎﻻﺳﺘﺪﻻل اﻹﺣﺼﺎﺋﻲ ) (Statistical Inferencesواﻟﺬي ﻳﻨﻘﺴﻢ اﱃ ﻓﺮﻋﲔ:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 240
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻟﻔﺮع اﻷول ﻳﻬﺘﻢ ﺑﺘﻘﺪﻳﺮ ) (Estimationﻋﻴﻨﺔ ﻤﻟﺘﻤﻊ اﻹﺣﺼﺎﺋﻲ ،واﻟﻔﺮع اﻟﺜﺎﱐ ﳜﺘﺺ ﺑﺈﺟﺮاء اﺧﺘﺒﺎرات ﻓﺮﺿﻴﺔ ) Testing
ﻫﻮ اﻟﻔﺮض اﻷﺳﺎﺳﻲ اﳌﺮاد اﺧﺘﺒﺎرﻩ ﺣﻮل ﻋﻴﻨﺔ ﻤﻟﺘﻤﻊ اﻟﱵ ﳚﺮى ﻋﻠﻴﻬﺎ اﻻﺧﺘﺒﺎر ،وﻳﺸﲑ إﱃ وﺟﻮد ﻓﺮوق ﺑﲔ ﻋﻴﻨﺎت ﻤﻟﺘﻤﻊ اﻹﺣﺼﺎﺋﻲ ،وﻫﻮ
اﻟﻔﺮﺿﻴﺔ اﻟﱵ ﻧﻨﻄﻠﻖ ﻣﻨﻬﺎ وﻧﺮﻓﻀﻬﺎ ﻋﻨﺪﻣﺎ ﺗﺘﻮﻓﺮ اﻟﺪﻻﺋﻞ ﻋﻠﻰ ﻋﺪم ﺻﺤﺘﻬﺎ ،وﺧﻼف ذﻟﻚ ﻧﻘﺒﻠﻬﺎ ،وﺗﻌﲏ ﻛﻠﻤﺔ Nullأﻧﻪ ﻻ ﻳﻮﺟﺪ ﻓﺮق ﺑﲔ
ﻋﻴﻨﺔ ﻤﻟﺘﻤﻊ واﻟﻘﻴﻤﺔ اﻹﺣﺼﺎﺋﻴﺔ ﻟﻠﻌﻴﻨﺔ . Ho : μ = Means
ﻫﻮ اﻟﻔﺮض اﻟﺬي ﻳﻀﻌﻪ اﻟﺒﺎﺣﺚ ﻛﺒﺪﻳﻞ ﻋﻦ اﻟﻔﺮض اﻟﺼﻔﺮي اﳌﺮاد اﺧﺘﺒﺎرﻩ ،ﻫﺬا اﻟﻔﺮض ﻫﻮ اﻟﺬي ﺳﻴﻘﺒﻞ ﰲ ﺣﺎﻟﺔ رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي
ﺑﻨﺎء ﻋﻠﻰ اﳌﻌﻠﻮﻣﺎت اﳌﺄﺧﻮذة ﻣﻦ اﻟﻌﻴﻨﺔ اﳌﺨﺘﱪة . H1 : μ ≠ Means
اﻻﺧﺘﺒﺎرات اﻟﺒﺎراﻣﱰﻳﺔ ﺗﺘﻄﻠﺐ اﻓﱰاض ﺻﺤﺔ اﻟﺒﻴﺎﻧﺎت اﻟﱵ ﻳﺘﻢ اﺧﺘﺒﺎرﻫﺎ ،وﲢﺪﻳﺪاً ،ﺗﻔﱰض ﻫﺬﻩ اﻻﺧﺘﺒﺎرات أن اﻟﺒﻴﺎﻧﺎت ﻣﻮزﻋﺔ ﻃﺒﻴﻌﻴﺎً
).[745](Normally Distributed
ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈن اﻻﺧﺘﺒﺎرات اﻟﻼﺑﺎراﻣﱰﻳﺔ ﻻ ﺗﺘﻄﻠﺐ اﻓﱰاض ﺻﺤﺔ اﻟﺒﻴﺎﻧﺎت اﻟﱵ ﻳﺘﻢ اﺧﺘﺒﺎرﻫﺎ ،وﻻ ﺣﱴ اﻟﺘﻮزﻳﻊ اﻟﻄﺒﻴﻌﻲ ﻟﻠﺒﻴﺎﻧﺎت ،وﳍﺬا
اﻟﺴﺒﺐ ﺗﻌﺘﱪ اﻻﺧﺘﺒﺎرات اﻟﻼﺑﺎراﻣﱰﻳﺔ أﻛﺜﺮ ﻣﻼﺋﻤﺔ ﻋﻨﺪﻣﺎ ﻻ ﺗﺘﻮﻓﺮ اﳌﻌﻠﻮﻣﺎت ﻋﻦ ﺗﻮزع اﻟﺒﻴﺎﻧﺎت أو ﻋﻨﺪﻣﺎ ﻻ ﺗﻜﻮن اﳌﻌﻠﻮﻣﺎت ﻣﻮزﻋﺔ
ﻃﺒﻴﻌﻴﺎً] ،[745وﻳﻨﺼﺢ ﺑﺎﺳﺘﺨﺪام اﻻﺧﺘﺒﺎرات اﻟﻼﺑﺎراﻣﱰﻳﺔ ﻛﺒﺪﻳﻞ ﻋﻦ اﻻﺧﺘﺒﺎرات اﻟﺒﺎراﻣﱰﻳﺔ ﰲ ﺣﺎل ﻛﻮن ﻋﺪد اﻟﻌﻴﻨﺎت ﺻﻐﲑاً].[747
ﻳﺘﻢ ﻋﺎدةً رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي إذا ﻛﺎﻧﺖ ﻧﺘﻴﺠﺔ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﺗﺘﻤﺜﻞ ﺑﻮﺟﻮد اﺣﺘﻤﺎل ” “95%أو أﻛﺜﺮ ﻋﻠﻰ أن اﻻﺧﺘﻼف ﻟﻴﺲ
ﻧﺎﲡﺎً ﻋﻦ ﺻﺪﻓﺔ ﻋﺸﻮاﺋﻴﺔ ،وﻫﺬا ﻣﺎ ﻳﻌﱪ ﻋﻨﻪ ﺑﻘﻴﻤﺔ دﻻﻟﻴﺔ ) (=95%) “0.05” (Significance Valueأو أﻗﻞ ) (>95%وﺗﻌﺮف ﻋﺎدة
ﺿﻤﻦ اﻟﺪراﺳﺎت اﻟﺒﺤﺜﻴﺔ ﺑ ـ ـ”.“p-value
ﻟﻘﺪ ﰎ اﻗﱰاح اﻟﻘﻴﻤﺔ 0.05ﺑﺸﻜﻞ اﻋﺘﺒﺎﻃﻲ ،إﻻ أ�ﺎ ﺗﻌﺘﱪ ﻣﻦ اﳌﺴﻠﻤﺎت ﻌﻴﺎرﻳﺔ ﰲ اﺠﻤﻟﺘﻤﻌﺎت اﻹﺣﺼﺎﺋﻴﺔ ،ﻟﻘﺪ ﻗﺎﻣﺖ دراﺳﺔ ﺗﺎرﳜﻴﺔ ﺣﻮل
ﻫﺬﻩ اﳌﺴﺄﻟﺔ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻴﻬﺎ ﰲ اﻟﻮرﻗﺔ اﻟﺒﺤﺜﻴﺔ].[748
241 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ إذا ﻛﺎﻧﺖ ﻧﺘﻴﺠﺔ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ) (p-valueأﺧﻔﺾ )ﻣﺜﻼً ،(p=0.02 :ﻓﺈن ﻫﺬا ﺳﻴﺴﻔﺮ ﻋﻦ درﺟﺔ ﺛﻘﺔ أﻛﱪ ﺑﻜﺜﲑ ﰲ
ﻗﺒﻮل أو رﻓﺾ ﻧﺘﺎﺋﺞ اﺧﺘﺒﺎرات اﻟﻔﺮض اﻟﺼﻔﺮي.
إن ﻣﺜﻞ ﻫﺬﻩ اﻟﻘﻴﻤﺔ اﳌﻨﺨﻔﻀﺔ ﻟ ـ p-valueﺗﻘﻠﻞ ﻣﺎ ﻳﺪﻋﻰ ﺑـ”) “Type-I Errorﻳﺮﻣﺰ ﻟﻪ ﺑﺎﻟﺮﻣﺰ ،(αﺣﻴﺚ ﻳﺘﻢ رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي ﰲ
ﺣﲔ أﻧﻪ ﰲ اﳊﻘﻴﻘﺔ ﺻﺤﻴﺢ – أي أﻧﻪ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن اﻟﻔﺮض اﻟﺼﻔﺮي ﰲ اﻟﻮاﻗﻊ ﺻﺤﻴﺢ وﻛﺎن ﻣﻦ اﻟﻮاﺟﺐ ﻗﺒﻮﻟﻪ ﻓﻘﺪ ﰎ أﺧﺬ ﻗﺮار
ﺧﺎﻃﺊ ﺑﺮﻓﻀﻪ.
ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈن اﻟﻘﻴﻤﺔ اﳌﻨﺨﻔﻀﺔ ﻟ ـ p-valueﳝﻜﻦ أن ﺗﺆدي أﻳﻀﺎً إﱃ ﻣﺎ ﻳﺪﻋﻰ ﺑـ”) “Type-II Errorﻳﺮﻣﺰ ﻟﻪ ﺑﺎﻟﺮﻣﺰ 1- = β
،(αﺣﻴﺚ ﻳﺘﻢ ﻗﺒﻮل اﻟﻔﺮض اﻟﺼﻔﺮي ﻣﻊ أﻧﻪ ﰲ اﳊﻘﻴﻘﺔ ﺧﺎﻃﺊ – أي أﻧﻪ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ أن اﻟﻔﺮض اﻟﺼﻔﺮي ﺧﺎﻃﺊ وﻛﺎن ﻣﻦ اﻟﻮاﺟﺐ
رﻓﻀﻪ ﻓﻘﺪ ﰎ أﺧﺬ ﻗﺮار ﺧﺎﻃﺊ ﺑﻘﺒﻮﻟﻪ.
ﻟﺬﻟﻚ ﻓﺈﻧﻪ ﻣﻦ اﳌﻔﻀﻞ اﻋﺘﺒﺎر ﻗﻴﻤﺔ اﻟﻌﺘﺒﺔ p-value=0.10ﻋﻨﺪﻣﺎ ﻳﻜﻮن ﻋﺪد اﻟﻌﻴﻨﺎت ﺻﻐﲑاً ) ،(N=15وذﻟﻚ ﻟﺘﻔﺎدي اﻟﻮﻗﻮع ﰲ أﺧﻄﺎء
ﻣﻦ اﻟﻨﻮع ” .[745]“Type-II Errorاﻟﺸﻜﻞ 3-5ﻳﺒﲔ اﳊﺎﻻت اﻟﱵ ﺗﻘﻊ ﻓﻴﻬﺎ اﻷﺧﻄﺎء ﻣﻦ اﻟﻨﻮﻋﲔ.
إن ﻋﺪد اﻟﻌﻴﻨﺎت ﰲ دراﺳﺘﻨﺎ ﻫﺬﻩ ﻛﺒﲑ ﻧﺴﺒﻴﺎً ) ،(N>30ﻟﺬﻟﻚ اﻋﺘﻤﺪﻧﺎ ﻗﻴﻤﺔ اﻟﻌﺘﺒﺔ اﻻﻓﱰاﺿﻴﺔ ).(p-value=0.05
إن ﻣﺴﺘﻮى اﻷﳘﻴﺔ ﻣﻜﻤﻞ ﻟﺪرﺟﺔ اﻟﺜﻘﺔ ،ﲟﻌﲎ أن ﳎﻤﻮﻋﻬﻤﺎ ﻳﺴﺎوي 100%أو واﺣﺪ؛ ﻓﺈذا ﻛﺎﻧﺖ درﺟﺔ اﻟﺜﻘﺔ ،(0.95) 95%ﻓﺈن ﻣﺴﺘﻮى
اﻷﳘﻴﺔ ﻳﺴﺎوي ،(0.05) 5%واﻟﻌﻜﺲ ﺻﺤﻴﺢ ،وﻟﻌﻞ ﻣﻦ أﻫﻢ اﳌﻼﺣﻈﺎت ﻫﻨﺎ ﻫﻮ اﺳﺘﺨﺪام ﺗﻌﺒﲑ "ﻣﺴﺘﻮى اﻷﳘﻴﺔ" ﰲ ﺣﺎﻻت اﺧﺘﺒﺎرات
اﻟﻔﺮوض ،ﺑﻴﻨﻤﺎ ﻳﺴﺘﺨﺪم ﻣﺼﻄﻠﺢ "درﺟﺔ أو ﻣﺴﺘﻮى اﻟﺜﻘﺔ" ﰲ ﺣﺎﻻت اﻟﺘﻘﺪﻳﺮ.
اﻟﻔﻜﺮة اﻷﺳﺎﺳﻴﺔ ﰲ اﺧﺘﺒﺎر اﻟﻔﺮوض ﻫﻲ ﺗﻘﺴﻴﻢ اﳌﺴﺎﺣﺔ ﲢﺖ اﳌﻨﺤﲎ إﱃ ﻣﻨﻄﻘﺘﲔ :إﺣﺪاﳘﺎ ﺗﺴﻤﻰ "ﻣﻨﻄﻘﺔ اﻟﻘﺒﻮل" -أي ﻣﻨﻄﻘﺔ ﻗﺒﻮل
اﻟﻔﺮض اﻟﺼﻔﺮي ،واﻷﺧﺮى ﺗﺴﻤﻰ "ﻣﻨﻄﻘﺔ اﻟﺮﻓﺾ" -أي ﻣﻨﻄﻘﺔ رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي ،ﻛﻤﺎ ﺗﺴﻤﻰ أﺣﻴﺎﻧﺎً ﺑﺎﳌﻨﻄﻘﺔ اﳊﺮﺟﺔ ) Critical
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 242
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
- (Regionواﻟﻨﻘﻄﺔ اﳉﺪﻳﺮة ﺑﺎﳌﻼﺣﻈﺔ ﻫﻨﺎ ﻫﻲ أن ﻣﻨﻄﻘﺔ اﻟﻘﺒﻮل ﲤﺜﻞ درﺟﺔ اﻟﺜﻘﺔ ،ﺑﻴﻨﻤﺎ ﲤﺜﻞ ﻣﻨﻄﻘﺔ اﻟﺮﻓﺾ ﻣﺴﺘﻮى اﻷﳘﻴﺔ ،وﺗﻜﻮن
ﻣﻨﻄﻘﺔ اﻟﺮﻓﺾ ﻣﻮزﻋﺔ ﻋﻠﻰ ﻃﺮﰲ اﳌﻨﺤﲎ ﺑﺎﻟﺘﺴﺎوي ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.4-5
اﻟﺸﻜﻞ 3-5اﻟﻌﻼﻗﺔ ﺑﲔ اﻟﻔﺮﺿﻴﺔ اﻟﺼﻔﺮﻳﺔ واﻟﺒﺪﻳﻠﺔ واﻷﺧﻄﺎء ﻣﻦ اﻟﻨﻮع اﻷول ) (Type-I Errorواﻟﺜﺎﱐ )(Type-II Error
اﻟﺸﻜﻞ 4-5ﺗﻮزع ﻣﻨﻄﻘﱵ اﻟﺮﻓﺾ واﻟﻘﺒﻮل ﻋﻠﻰ ﻃﺮﰲ ﻣﻨﺤﲏ اﻟﺘﻮزﻳﻊ اﻹﺣﺼﺎﺋﻲ اﻟﻄﺒﻴﻌﻲ
ﲤﺜﻞ اﳌﻨﻄﻘﺔ اﻟﺒﻴﻀﺎء ﻏﲑ اﳌﻈﻠﻠﺔ ﻣﻨﻄﻘﺔ اﻟﻘﺒﻮل واﻟﱵ ﻗﺪ ﺗﺴﺎوي 95%وﺑﺎﻟﺘﺎﱄ ﻓﻤﻨﻄﻘﺔ اﻟﺮﻓﺾ ﻣﻘﺴﻤﺔ ﺑﺎﻟﺘﺴﺎوي ﻋﻠﻰ ﻃﺮﰲ اﳌﻨﺤﲎ ﰲ ﻫﺬﻩ
اﳊﺎﻟﺔ ﺗﻜﻮن ﻗﻴﻤﺔ ﻛﻞ ﻣﻨﻬﻤﺎ .2.5 %اﻟﻨﺘﻴﺠﺔ ﻫﻮ أن اﻟﻘﺮار أﻳﺎ ﻛﺎن ﻧﻮﻋﻪ ﺳﻴﻜﻮن ﲟﺴﺘﻮى ﻣﻌﻨﻮﻳﺔ 5%ﲟﻌﲎ أن اﺣﺘﻤﺎل أو ﻧﺴﺒﺔ اﳋﻄﺄ ﻓﻴﻪ
ﻣﻦ اﻟﻨﻮع اﻷول ﺗﺴﺎوي .5%
243 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﻳﻌﺘﱪ اﻻﺧﺘﺒﺎر Wilcoxonﻣﻦ اﻻﺧﺘﺒﺎرات اﻟﻼﺑﺎراﻣﱰﻳﺔ أﻳﻀﺎً وﻳﺸﺎﺑﻪ اﻻﺧﺘﺒﺎر ،Mann-Whitney Uإﻻ أﻧﻪ ﻳﻄﺒﻖ ﻋﻠﻰ اﻟﺒﻴﺎﻧﺎت اﻟﱵ
ﻳﺘﻢ اﳊﺼﻮل ﻋﻠﻴﻬﺎ ﻣﻦ ﳎﻤﻮﻋﺔ واﺣﺪة ،ﻓﻌﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل ﳝﻜﻦ اﺳﺘﺨﺪام اﺧﺘﺒﺎر Wilcoxonﻹﳚﺎد اﻟﺪﻻﻟﺔ اﻹﺣﺼﺎﺋﻴﺔ ) Statistical
ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﻣﻨﻬﺠﻴﺔ ﺣﺴﺎب وﺗﻄﺒﻴﻖ اﻻﺧﺘﺒﺎرات اﻟﻼﺑﺎراﻣﱰﻳﺔ Mann-Whitney U; Wilcoxonﰲ ﻛﺘﺐ اﻹﺣﺼﺎء
اﻟﻜﻼﺳﻴﻜﻴﺔ][747؛ إن اﻹﺟﺮاءات اﻟﻌﺪدﻳﺔ ﰲ ﺗﻨﻔﻴﺬ اﻻﺧﺘﺒﺎرات اﻹﺣﺼﺎﺋﻴﺔ ﳝﻜﻦ أن ﺗﻜﻮن ﻣﺮﻫﻘﺔ وﺗﺴﺘﻐﺮق وﻗﺘﺎً ﻃﻮﻳﻼً ،وﻟﻜﻦ اﻟﱪاﻣﺞ
اﻹﺣﺼﺎﺋﻴﺔ ﻣﺜﻞ اﻟﱪﻧﺎﻣﺞ SPSSﲢﺘﻮي ﺧﻮارزﻣﻴﺎت ﻣﺒﻨﻴﺔ ﻣﺴﺒﻘﺎً ﻟﺘﺴﻬﻴﻞ ﻣﺜﻞ ﻫﺬﻩ اﳊﺴﺎﺑﺎت].[745
إن ﻣﻘﺎرﻧﺔ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻌﻴﻨﺘﲔ ﻣﺴﺘﻘﻠﺘﲔ ﻳﺒﺪأ ﺑﺎﻓﱰاض ﻣﻔﺎدﻩ أﻧﻪ ﻻ ﺗﻮﺟﺪ ﻓﺮوﻗﺎت ذات دﻻﻟﺔ إﺣﺼﺎﺋﻴﺔ ﰲ اﻟﻨﺘﺎﺋﺞ ،ﺣﻴﺚ أﻧﻪ ﰲ ﻋﻠﻮم
اﻹﺣﺼﺎء ﻣﻦ أﺟﻞ إﺛﺒﺎت ﻓﺮﺿﻴﺔ ﻣﺎ ﻋﻠﻰ أ�ﺎ ﺻﺤﻴﺤﺔ ،ﻓﺈن اﻟﻄﺮﻳﻘﺔ اﻟﻮﺣﻴﺪة ﺗﻜﻮن ﺑﺮﻓﺾ ﻧﻘﻴﻀﻬﺎ – أي رﻓﺾ أ�ﺎ ﻏﲑ ﺻﺤﻴﺤﺔ ،وﻣﻦ
اﳌﺘﻔﻖ ﻋﻠﻴﻪ ﻋﻤﻮﻣﺎً أوﺳﺎط اﺠﻤﻟﺘﻤﻌﺎت اﻹﺣﺼﺎﺋﻴﺔ أﻧﻪ ﻣﻦ اﳌﺴﺘﺤﻴﻞ إﺛﺒﺎت ﺷﻲء ﻣﺎ ﻋﻠﻰ أﻧﻪ ﺻﺤﻴﺢ ،وﻟﻜﻦ ﻣﻦ اﳌﻤﻜﻦ إﺛﺒﺎت أن ﺷﻲء
ﻣﺎ ﻋﻠﻰ أﻧﻪ ﺧﺎﻃﺊ][746؛ ﳍﺬا اﻟﺴﺒﺐ ﳒﺪ أن اﺧﺘﺒﺎر اﻟﻔﺮﺿﻴﺎت إﺣﺼﺎﺋﻴﺎً ﻳﺘﻢ إﳒﺎزﻩ ﻋﺎدة ﺑﺈﺛﺒﺎت ﺑﻄﻼن اﻟﻔﺮض اﻟﺼﻔﺮي “Null-
ﻳﺪﻋﻰ ﻫﺬا اﳌﺒﺪأ ﲟﺒﺪأ اﻟﻔﺮض اﻟﺼﻔﺮي ’ ‘Null Hypothesisﻷﻧﻪ ﻳﻨﺺ ﻋﺎدة ﻋﻠﻰ أﻧﻪ" :ﻻ ﻳﻮﺟﺪ ﻓﺮق إﺣﺼﺎﺋﻲ )ﺪوم( ﺑﲔ اﺠﻤﻟﻤﻮﻋﺔ
ﻘﻴﺎﺳﻴﺔ واﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ"...؛ وﻋﻨﺪﻣﺎ ﻳﺘﻢ إﺛﺒﺎت أن اﻟﻔﺮض اﻟﺼﻔﺮي ﻏﲑ ﳏﻘﻖ – أي أن ﻋﻜﺲ اﻟﻔﺮض ﺧﺎﻃﺊ ،ﺳﻴﺘﻢ ﻋﻨﺪﻫﺎ اﻟﻨﻈﺮ
إﱃ اﻟﻔﺮﺿﻴﺔ اﳌﺪروﺳﺔ ﻋﻠﻰ أ�ﺎ ﻓﺮﺿﻴﺔ ﺻﺤﻴﺤﺔ].[747
ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻄﺮق اﻹﺣﺼﺎﺋﻴﺔ ﻻﺧﺘﺒﺎر ﲢﻘﻖ أو ﻋﺪم ﲢﻘﻖ اﻟﻔﺮض اﻟﺼﻔﺮي ،ﻫﺬا اﻷﺳﻠﻮب ﻳﺮﺟﻊ ﺑﺸﻜﻞ أﺳﺎﺳﻲ إﱃ ﻋﻠﻢ اﻹﺣﺼﺎء
اﻻﺳﺘﻨﺘﺎﺟﻲ ).(Inferential Statistics
أوﻻً :ﻳﺒﺪأ اﻻﺧﺘﺒﺎر ﺑﺘﻔﻬﻢ أﻫﺪاف اﻟﺒﺤﺚ ﰒ اﻋﺎدة ﺻﻴﺎﻏﺔ ﻫﺬﻩ اﻷﻫﺪاف ﰲ ﻓﺮﺿﲔ:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 244
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
H 0 : µ1 = µ 2
.2وﺿﻊ اﻟﻔﺮض اﻟﺒﺪﻳﻞ ) ،H1 (Alternative Hypothesisواﻟﺬي ﻳﺄﺧﺬ أﺣﺪ اﻷﺷﻜﺎل اﻟﺘﺎﻟﻴﺔ:
اﻟﺬي ﳛﺪد ﺷﻜﻞ اﻟﻔﺮض اﻟﺒﺪﻳﻞ ﻫﻮ ﻣﺪى اﻗﺘﻨﺎع اﻟﺒﺎﺣﺚ ﺑﺬﻟﻚ أو ﻣﺪى ﺗﻮﻓﺮ اﳌﻌﻠﻮﻣﺎت اﻷوﻟﻴﺔ ،ﻓﻤﺜﻼً إذا ﻛﺎﻧﺖ وﺟﻬﺔ ﻧﻈﺮ اﻟﺒﺎﺣﺚ أن
اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻻ ﳝﻜﻦ أن ﻳﻘﻞ ﻛﺬا ،ﻓﺈﻧﻪ ﳜﺘﺎر اﻟﻔﺮض اﻟﺒﺪﻳﻞ ”>“ ،واﻟﻌﻜﺲ ﺻﺤﻴﺢ ،أﻣﺎ إذا ﱂ ﻳﻜﻦ ﻟﺪﻳﻪ أي ﺗﺼﻮر أو أي ﻣﻌﻠﻮﻣﺎت
ﻓﺈﻧﻪ ﳜﺘﺎر اﻟﻔﺮض اﻟﺒﺪﻳﻞ ”≠“.
ﺛﺎﻧﻴﺎً :ﳛﺪد اﺣﺘﻤﺎل اﳋﻄﺄ وﳝﺜﻞ اﳋﻄﺄ ﻣﻦ اﻟﻨﻮع اﻷول ) (Type-I Errorوﻳﺮﻣﺰ ﻟﻪ ﺑﺎﻟﺮﻣﺰ αوﻏﺎﻟﺒﺎً .α = 5%
ﺛﺎﻟﺜﺎً :ﲢﺪﻳﺪ إﺣﺼﺎﺋﻴﺔ اﻻﺧﺘﺒﺎر :ﻲ اﻹﺣﺼﺎﺋﻴﺔ اﻟﱵ ﻳﺘﻢ ﺣﺴﺎﻬﺑﺎ ﻣﻦ ﺑﻴﺎﻧﺎت اﻟﻌﻴﻨﺔ ﺑﺎﻓﱰاض أن اﻟﻔﺮض اﻟﺼﻔﺮي ﺻﺤﻴﺢ ،وﻳﺘﻮﻗﻒ ﺷﻜﻞ
اﻹﺣﺼﺎﺋﻴﺔ ﻋﻠﻰ اﻟﻌﻮاﻣﻞ اﻟﺘﺎﻟﻴﺔ:
زﻳﻊ اﺠﻤﻟﺘﻤﻊ ،وﻫﻞ ﻫﻮ ﻃﺒﻴﻌﻲ أم ﻻ ،وﻫﻞ ﺗﺒﺎﻳﻨﻪ ﻣﻌﺮوف أم ﻻ. ‹
ﺣﺠﻢ اﻟﻌﻴﻨﺔ ،وﻫﻞ ﻫﻮ ﻛﺒﲑ أم ﺻﻐﲑ. ‹
اﻟﻔﺮض اﻟﺼﻔﺮي اﳌﺮاد اﺧﺘﺒﺎرﻩ ،وﻫﻞ ﻫﻮ ﻋﻦ اﻟﻮﺳﻂ أو اﻟﻨﺴﺒﺔ أو اﻟﺘﺒﺎﻳﻦ أو اﻻرﺗﺒﺎط...اﱁ. ‹
اﻟﻔﻜﺮة اﻷﺳﺎﺳﻴﺔ ﰲ إﺣﺼﺎﺋﻴﺔ اﻻﺧﺘﺒﺎر ﻫﻲ ﺣﺴﺎب اﻟﻔﺮق ﺑﲔ ﻗﻴﻤﺔ اﳌﻌﻠﻤﺔ اﻟﱵ ﻧﻔﱰﺿﻬﺎ ﻟﻠﻤﺠﺘﻤﻊ )ﰲ اﻟﻔﺮض اﻟﺼﻔﺮي( واﻟﻘﻴﻤﺔ اﳌﻘﺎﺑﻠﺔ ﳍﺎ
ﰲ اﻟﻌﻴﻨﺔ أي اﻟﺘﺎﺑﻊ اﻹﺣﺼﺎﺋﻲ ،ﰒ ﻧﻘﺴﻢ ﻫﺬا اﻟﻔﺮق إﱃ اﳋﻄﺄ اﳌﻌﻴﺎري ﻟﻠﺘﺎﺑﻊ اﻹﺣﺼﺎﺋﻲ؛ ﻓﻤﺜﻼً :إذا ﻛﺎن اﻻﺧﺘﺒﺎر ﻋﻦ اﻟﻮﺳﻂ اﳊﺴﺎﰊ ﻓﺈﻧﻪ
ﻳﺘﻢ ﺣﺴﺎب اﻟﻔﺮق ﺑﲔ ﻗﻴﻤﺔ اﻟﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻤﺠﺘﻤﻊ اﻟﱵ ﻧﻔﱰﺿﻬﺎ وﻗﻴﻤﺔ اﻟﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻌﻴﻨﺔ ،ﰒ ﻧﻘﺴﻢ ﻫﺬا اﻟﻔﺮق ﻋﻠﻰ اﳋﻄﺄ اﳌﻌﻴﺎري
ﻟﻠﻮﺳﻂ ،وﻫﻜﺬا ﻣﻊ ﺑﺎﻗﻲ اﻹﺣﺼﺎﺋﻴﺎت.
راﺑﻌﺎً :ﺗﺴﺘﺨﺪم اﳌﻌﻠﻮﻣﺎت اﳌﺘﺎﺣﺔ ﻣﻦ اﻟﻌﻴﻨﺔ ﺠﻤﻟﺘﻤﻊ وﺗﻮزﻳﻊ اﳌﻌﺎﻳﻨﺔ ﻻﲣﺎذ ﻗﺮار ﻣﻌﲔ إﻣﺎ ﺑﻘﺒﻮل أو رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي ﻣﻦ ﺧﻼل ﲢﺪﻳﺪ
ﻣﻨﻄﻘﱵ اﻟﻘﺒﻮل واﻟﺮﻓﺾ ،وذﻟﻚ ﺑﻨﺎءً ﻋﻠﻰ اﳉﺪاول اﻹﺣﺼﺎﺋﻴﺔ واﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻟﺘﻮزﻳﻊ وﻧﻮﻋﻪ وﻋﻠﻰ اﻟﻔﺮض اﻟﺒﺪﻳﻞ وﻋﻠﻰ ﻣﺴﺘﻮى اﻷﳘﻴﺔ،
وﻻﲣﺎذ ﻗﺮار ﰲ اﻻﺧﺘﺒﺎرات اﻹﺣﺼﺎﺋﻴﺔ ﻳﺘﻢ ﺣﺴﺎب اﻟﻘﻴﻤﺔ اﻻﺣﺘﻤﺎﻟﻴﺔ ) ،(P-valueﻓﺈذا ﻛﺎن اﻻﺧﺘﺒﺎر ذو ﻃﺮف واﺣﺪ ،ﺗﻘﺎرن ﻗﻴﻤﺔ p-
ﺧﺎﻣﺴﺎً :ﻧﻘﺎرن ﻗﻴﻤﺔ إﺣﺼﺎﺋﻴﺔ اﻻﺧﺘﺒﺎر اﶈﺴﻮﺑﺔ ﻣﻦ اﳋﻄﻮة اﻟﺜﺎﻟﺜﺔ ﲝﺪود ﻣﻨﻄﻘﱵ اﻟﻘﺒﻮل واﻟﺮﻓﺾ اﻟﱵ ﺣﺪدﻧﺎﻫﺎ ﰲ اﳋﻄﻮة اﻟﺮاﺑﻌﺔ ،ﻓﺈذا
وﻗﻌﺖ ﻗﻴﻤﺔ إﺣﺼﺎﺋﻴﺔ اﻻﺧﺘﺒﺎر اﶈﺴﻮﺑﺔ داﺧﻞ ﻣﻨﻄﻘﺔ اﻟﻘﺒﻮل؛ ﻓﺈن اﻟﻘﺮار ﻫﻮ ﻗﺒﻮل اﻟﻔﺮض اﻟﺼﻔﺮي ،أﻣﺎ إذا وﻗﻌﺖ ﻗﻴﻤﺔ إﺣﺼﺎﺋﻴﺔ اﻻﺧﺘﺒﺎر
ﰲ ﻣﻨﻄﻘﺔ اﻟﺮﻓﺾ؛ ﻓﺈن اﻟﻘﺮار ﻫﻮ رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي ،وﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﻧﻘﺒﻞ اﻟﻔﺮض اﻟﺒﺪﻳﻞ ﻣﻊ ﻣﻼﺣﻈﺔ أن اﻟﻘﺮار ﻣﺮﺗﺒﻂ ﲟﺴﺘﻮى اﻷﳘﻴﺔ
اﶈﺪد.
245 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 246
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﺧﺘﺼﺎر ﻟ ـ "اﳊﺰم اﻹﺣﺼﺎﺋﻴﺔ ﻟﻠﻌﻠﻮم اﻻﺟﺘﻤﺎﻋﻴﺔ"] ،[750وﻫﻲ ﻋﺒﺎرة ﻋﻦ ﺣﺰم رﻳﺎﺿﻴﺔ إﺣﺼﺎﺋﻴﺔ ﻣﺘﻜﺎﻣﻠﺔ ﻹدﺧﺎل
ٌ اﳌﺼﻄﻠﺢ SPSSﻫﻮ
اﻟﺒﻴﺎﻧﺎت وﲢﻠﻴﻠﻬﺎ ،ﺗﺴﺘﺨﺪم ﻋﺎدة ﰲ ﲨﻴﻊ اﻟﺒﺤﻮث اﻟﻌﻠﻤﻴﺔ اﻟﱵ ﺗﺸﺘﻤﻞ ﻋﻠﻰ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺒﻴﺎﻧﺎت اﻟﺮﻗﻤﻴﺔ ،وﻻ ﺗﻘﺘﺼﺮ ﻋﻠﻰ اﻟﺒﺤﻮث
اﻻﺟﺘﻤﺎﻋﻴﺔ ﻓﻘﻂ ﺑﺎﻟﺮﻏﻢ ﻣﻦ أ�ﺎ أﻧﺸﺄت أﺻﻼً ﳍﺬا اﻟﻐﺮض ،وإن اﺷﺘﻤﺎﳍﺎ ﻋﻠﻰ ﻣﻌﻈﻢ اﻻﺧﺘﺒﺎرات اﻹﺣﺼﺎﺋﻴﺔ ﻗﺪرﻬﺗﺎ اﻟﻔﺎﺋﻘﺔ ﰲ ﻣﻌﺎﳉﺔ
اﻟﺒﻴﺎﻧﺎت وﺗﻮاﻓﻘﻬﺎ ﻣﻊ ﻣﻌﻈﻢ اﻟﱪﳎﻴﺎت اﳌﺸﻬﻮرة ﺟﻌﻞ ﻣﻨﻬﺎ أداة ﻓﺎﻋﻠﺔ ﻟﺘﺤﻠﻴﻞ ﺷﱴ أﻧﻮاع اﻷﲝﺎث اﻟﻌﻠﻤﻴﺔ.
ﺗﺴﺘﻄﻴﻊ اﳊﺰﻣﺔ اﻹﺣﺼﺎﺋﻴﺔ SPSSﻗﺮاءة اﻟﺒﻴﺎﻧﺎت ﻣﻦ ﻣﻌﻈﻢ أﻧﻮاع اﳌﻠﻔﺎت ﻟﺘﺴﺘﺨﺪﻣﻬﺎ ﻻﺳﺘﺨﺮاج اﻟﻨﺘﺎﺋﺞ ﻋﻠﻰ ﻫﻴﺌﺔ ﺗﻘﺎرﻳﺮ إﺣﺼﺎﺋﻴﺔ أو
أﺷﻜﺎل ﺑﻴﺎﻧﻴﺔ أو ﺑﺸﻜﻞ ﺗﻮزﻳﻊ اﻋﺘﺪاﱄ أو إﺣﺼﺎء وﺻﻔﻲ ﺑﺴﻴﻂ أو ﻣﺮﻛﺐ ،ﻛﻤﺎ ﺗﺴﺘﻄﻴﻊ اﳊﺰم ﺟﻌﻞ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ ﻣﻨﺎﺳﺒﺎً ﻟﻠﺒﺎﺣﺚ
اﳌﺒﺘﺪئ واﳋﺒﲑ ﻋﻠﻰ ﺣﺪ ﺳﻮاء.
وﻳﻌﺘﱪ ﳏﺮر ﺑﻴﺎﻧﺎت اﻟـ SPSSاﻟﻮاﺟﻬﺔ اﻷوﻟﻴﺔ ﻟﻠﺤﺰم ،وﻫﻲ واﺟﻬﺔ ﺗﺸﺒﻪ اﳉﺪاول اﻹﻟﻜﱰوﻧﻴﺔ وﺗﺴﺘﺨﺪم ﻹدﺧﺎل اﻟﺒﻴﺎﻧﺎت ،وﻣﻦ ﺧﻼل اﶈﺮر
ﳝﻜﻦ ﻗﺮاءة اﻟﺒﻴﺎﻧﺎت وﺗﻌﺪﻳﻠﻬﺎ وﺣﻔﻈﻬﺎ ﰲ ﻣﻠﻔﺎت اﻟﺒﻴﺎﻧﺎت ) ،(Data Filesﻛﻤﺎ ﺗﺮﺳﻞ اﻟﻨﺘﺎﺋﺞ إﱃ ﻣﻠﻔﺎت اﳋﺮج ) (Output filesاﻟﱵ
ﲢﻮي ﻋﻠﻰ ﲨﻴﻊ اﻟﻨﺘﺎﺋﺞ اﻟﱵ ﺗﺘﻢ ﺑﻌﺪ أي ﻋﻤﻠﻴﺔ إﺣﺼﺎﺋﻴﺔ.
ﺑﻌﺪ ﺗﻘﺪﱘ اﳌﺒﺎدئ اﻹﺣﺼﺎﺋﻴﺔ اﻟﱵ ﺳﻮف ﺗﺴﺘﺨﺪم ﰲ ﲢﻠﻴﻞ اﻟﻨﺘﺎﺋﺞ ﰲ ﻫﺬا اﻟﻔﺼﻞ ،وﻗﺒﻞ اﻟﺪﺧﻮل ﰲ اﳌﻨﻬﺠﻴﺎت اﻟﺘﻄﺒﻴﻘﻴﺔ وﻧﺘﺎﺋﺠﻬﺎ ،ﻧﻘﺪم
ﻣﻦ ﺧﻼل اﻟﻔﻘﺮات اﻟﺘﺎﻟﻴﺔ ﳎﻤﻼً ﳐﺘﺼﺮاً ﻋﻦ ﺗﺼﻤﻴﻢ اﳌﺨﱪ اﻟﺬي اﺳﺘﺨﺪام ﰲ اﻟﺘﺠﺎرب.
ﺗﺼﻤﻴﻢ وﺑﻨﺎء ﻣﺨﺒﺮ اﻷﻧﻈﻤﺔ اﻟﻤﺪﻣﺠﺔ ):(Implementation of Embedded Systems Lab 3-5
إن اﳍﺪف اﻷﺳﺎﺳﻲ ﳍﺬا اﻟﺒﺤﺚ ﻫﻮ رﺑﻂ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﲟﺨﺘﻠﻒ أﻧﻮاﻋﻬﺎ ﻋﻤﻮﻣﺎً وﺑﺎﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻣﺼﻔﻮﻓﺎت
اﻟﺒﻮاﺑﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺧﺼﻮﺻﺎً ﺑﺎﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﻮﺟﻮدة ﰲ ﺟﺎﻣﻌﺎﺗﻨﺎ ،وﻟﺬﻟﻚ ﳌﺎ ﳍﺎ ﻣﻦ اﻷﳘﻴﺔ اﻟﻜﺒﲑة اﻟﱵ أﺳﻠﻔﻨﺎ ﰲ ذﻛﺮﻫﺎ ﰲ
اﻟﻔﺼﻞ اﻟﺜﺎﱐ ﻣﻦ ﻫﺬﻩ اﻷﻃﺮوﺣﺔ.
ﺑﺸﻜﻞ ﻋﺎم ﺗﻔﺘﻘﺮ ﺟﺎﻣﻌﺎﺗﻨﺎ إﱃ ﳐﺎﺑﺮ اﻟﺘﻄﻮﻳﺮ اﻟﺬاﰐ ،ﻛﻤﺎ ﺗﻔﺘﻘﺮ ﳐﺎﺑﺮ ﻛﻠﻴﺔ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ واﻹﻟﻜﱰوﻧﻴﺔ إﱃ ﻟﻮﺣﺎت ﺗﻄﻮﻳﺮ اﻷﻧﻈﻤﺔ
اﳌﺪﳎﺔ ،وﺑﺪون ﻫﺬﻩ اﳌﺨﺎﺑﺮ ﻻ ﻗﻴﺎم ﳌﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﺘﻄﺒﻴﻘﻲ ) (Learning by Doingواﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ اﻟﻮاﻗﻌﻴﺔ ) Hands-on
(Labs؛ ﻣﻦ ﻫﺬا اﳌﻨﻄﻠﻖ ﰎ إﺟﺮاء دراﺳﺔ ﺷﺎﻣﻠﺔ ﻟﻠﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﻟﺘﺠﺎرﻳﺔ اﳌﺘﻮﻓﺮة ﻋﺎﳌﻴﺎً ،وﰎ ﺗﺼﻨﻴﻔﻬﺎ وﺗﻘﻴﻴﻤﻬﺎ وﻓﻘﺎً ﻟﻌﺪة ﳏﺎور ،وﺑﻨﺎءً ﻋﻠﻴﻪ
ﰎ ﺗﺼﻤﻴﻢ ﻟﻮﺣﺔ ﺗﻄﻮﻳﺮ ﺗﻔﺎﻋﻠﻴﺔ ﺷﺎﻣﻠﺔ اﺳﺘﺨﺪﻣﺖ ﰲ ﻫﺬﻩ اﻟﺪراﺳﺔ .ﻣﻘﺎرﻧﺔ ﻣﻔﺼﻠﺔ ﺣﻮل ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﳌﺘﻮﻓﺮة ﲡﺎرﻳﺎً وﻣﻨﻬﺠﻴﺔ ﺗﺼﻤﻴﻢ
ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ واﳌﺨﻄﻄﺎت اﻟﺘﺼﻤﻴﻤﻴﺔ ﻛﺎﻣﻠﺔ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻴﻬﺎ ﰲ اﻟﺒﺤﺚ] .[801,803ﻓﻴﻤﺎ ﻳﻠﻲ ﻣﻮﺟﺰ ﳐﺘﺼﺮ ﺣﻮل ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ واﻟﺒﻴﺌﺎت
اﻟﱪﳎﻴﺔ اﻟﱵ اﺳﺘﺨﺪﻣﺖ ﰲ اﻟﺪراﺳﺔ.
247 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
إن اﳍﺪف اﻟﺮﺋﻴﺴﻲ ﻟﺒﻨﺎء ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ وﺟﻮد اﻟﻌﺪﻳﺪ ﻣﻦ ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﻟﺘﺠﺎرﻳﺔ اﳌﺘﻮﻓﺮة ﻋﺎﳌﻴﺎً ﻫﻮ اﺳﺘﺜﻤﺎر اﻷﲝﺎث
اﻟﺘﻄﺒﻴﻘﻴﺔ اﳌﻄﺮوﺣﺔ ﰲ ﺗﻄﻮﻳﺮ ﳐﺘﱪاﺗﻨﺎ اﳉﺎﻣﻌﻴﺔ وﻓﻘﺎً ﻟﻠﺘﻘﻨﻴﺎت اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳊﺪﻳﺜﺔ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﺗﻮﻓﲑ ﻣﻮارد ﻋﻤﻠﻴﺔ ﺗﻄﺒﻴﻘﻴﺔ ﺗﺮاﻓﻖ وﺗﻌﺰز
اﻷﺳﺲ اﻟﻨﻈﺮﻳﺔ – ﻋﻠﻰ ﻛﻞ ﺣﺎل ﻓﺈن اﻟﺪراﺳﺔ اﳌﻨﺸﻮرة ﰲ اﻟﺒﺤﺚ] [801,803ﺗﺒﲔ اﳌﻴﺰات اﻟﻔﺎﺋﻘﺔ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﳌﺼﻤﻤﺔ ﻋﻠﻰ ﻟﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ
اﳌﺘﻮﻓﺮة ﲡﺎرﻳﺎً .اﻟﺸﻜﻞ 7-5ﻳﺒﲔ ﳐﻄﻂ ﺗﻮزع اﶈﻴﻄﻴﺎت ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ .اﻟﺸﻜﻞ 8-5ﻳﺒﲔ ﺻﻮرة اﻟﻨﻤﻮذج اﻷوﱄ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ.
ﻟﻘﺪ ﰎ ﺗﺼﻤﻴﻢ ﻫﺬﻩ اﻟﻠﻮﺣﺔ ﲝﻴﺚ ﲣﺪم اﳌﺒﺘﺪئ واﳌﺘﻘﺪم ﰲ ﺗﻌﻠﻢ ﺑﺮﳎﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ) ،(MCUsﺣﻴﺚ أ�ﺎ ﺗﻀﻢ أﻛﺜﺮ ﻣﻦ 50
وﺣﺪة ﳏﻴﻄﻴﺔ ﻋﻠﻰ ﻧﻔﺲ اﻟﻠﻮﺣﺔ ﻟﺘﻐﻄﻲ ﻣﺎ ﻳﻘﺎرب 70ﲡﺮﺑﺔ أﺳﺎﺳﻴﺔ ،وﻗﺪ ﺗﺼﻞ إﱃ أﻛﺜﺮ ﻣﻦ 100ﲡﺮﺑﺔ ﺑﺎﻟﺪﻣﺞ ﺑﲔ اﻟﻮﻇﺎﺋﻒ اﶈﻴﻄﻴﺔ ﻋﻠﻰ
اﻟﻠﻮﺣﺔ ،ﺑﺎﻹﺿﺎﻓﺔ إﱃ إﻣﻜﺎﻧﻴﺔ رﺑﻂ وﺣﺪات ﺧﺎرﺟﻴﺔ ) (External Modelsﻋﻦ ﻃﺮﻳﻖ وﺣﺪات اﻟﺘﻮﺳﻌﺔ اﶈﻴﻄﻴﺔ؛ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ
ﻗﺎﺋﻤﺔ اﻟﺘﺠﺎرب واﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ اﳌﺘﻮﻓﺮة ﰲ اﻟﺒﺤﺚ] .[801,803ﳝﺜﻞ اﳌﺘﺤﻜﻢ اﳌﺼﻐﺮ ATmega128ﻗﻠﺐ اﻟﻨﻈﺎم وﻳﺮﺗﺒﻂ ﻣﻊ ﺑﺎﻗﻲ
اﶈﻴﻄﻴﺎت اﳌﻮزﻋﺔ إﻣﺎ ﻋﻦ ﻃﺮﻳﻖ ﺑﻮاﺑﺎت اﻟﺪﺧﻞ واﳋﺮج ،ﻛﺎرﺗﺒﺎﻃﻪ ﻣﻊ ﺷﺎﺷﺎت اﻹﻇﻬﺎر اﶈﺮﻓﻴﺔ واﻟﺮﺳﻮﻣﻴﺔ ووﺣﺪات اﻟﺘﻮﺳﻌﺔ اﳋﺎرﺟﻴﺔ ،أو ﻋﻦ
ﻃﺮﻳﻖ ﻧﻮاﻓﺬ اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻲ )RS232, USB, RS485, CAN, PS2, I2C؛ أو ﻋﻦ ﻃﺮﻳﻖ أﻗﻄﺎب اﳌﺒﺪﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ
) - (ADCsﻛﺎرﺗﺒﺎﻃﻪ ﻣﻊ ﺣﺴﺎﺳﺎت اﳊﺮارة واﻟﺮﻃﻮﺑﺔ واﻟﻀﻐﻂ واﻟﻀﻮء وﺗﻮﻟﻴﺪ اﳉﻬﺪ اﳋﻄﻲ؛ أو ﻋﻦ ﻃﺮﻳﻖ أﻗﻄﺎب اﳌﻘﺎﻃﻌﺎت اﳋﺎرﺟﻴﺔ -
ﻛﺎرﺗﺒﺎﻃﻪ ﻣﻊ اﳌﻔﺎﺗﻴﺢ اﻟﻠﺤﻈﻴﺔ وﺣﺴﺎس اﻟﺪوران؛ أو ﻋﻦ ﻃﺮﻳﻖ أﻗﻄﺎب ﺗﻮﻟﻴﺪ إﺷﺎرة ﺗﻌﺪﻳﻞ ﻋﺮض اﻟﻨﺒﻀﺔ ) (PWMﻣﻦ أﺟﻞ اﻟﺘﺤﻜﻢ
ﺑﺎﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﲢﺘﺎج إﱃ ﺟﻬﺪ ﺧﺮج ﻣﺴﺘﻤﺮ ذو ﻗﻴﻤﺔ وﺳﻄﻴﺔ ﻣﺘﻐﲑة.
اﻟﺸﻜﻞ 9-5ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻨﻈﺎم ﺣﻴﺚ أن ﲨﻴﻊ اﶈﻴﻄﻴﺎت اﻟﱵ ﳍﺎ اﺗﺼﺎل ﻣﻊ اﻟﻌﺎﱂ اﳋﺎرﺟﻲ ﺗﺮﺗﺒﻂ ﻣﻊ اﻟﺼﻨﺎدﻳﻖ اﳌﻈﻠﻠﺔ ﺑﺎﻟﻠﻮن
اﻟﺮﻣﺎدي.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 248
25 Chapter 5 | اﻟﻔﺼﻞ اﳋﺎﻣﺲ
GLCD LCD
UART1
AK500 RS485
MAX485<>UART Interface GLCD 128x64 Interface LCD 20x4 Interface
249 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
إن ﺗﻘﺪﱘ اﻟﻄﻼب إﱃ ﻣﻨﻬﺞ ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ اﻟﺘﻄﺒﻴﻘﻲ ﻟﻠﻤﺮة اﻷوﱃ ﻗﺪ ﻳﻀﻊ ﻋﻠﻰ ﻋﺎﺗﻘﻬﻢ ﲪﻼً ﻣﻌﺮﻓﻴﺎً ﻛﺒﲑاً ،ﺣﻴﺚ أن ﻃﺒﻴﻌﺔ ﺗﺼﻤﻴﻢ
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺗﺘﻄﻠﺐ ﻣﻌﺮﻓﺔ ﺟﻴﺪة ﻋﻠﻰ ﻋﺪة ﳏﺎور ﻣﺜﻞ :اﻹﻟﻜﱰوﻧﻴﺎت اﻟﻌﻤﻠﻴﺔ ،ﻗﺮاءة اﻟﻮﺛﺎﺋﻖ اﻟﻔﻨﻴﺔ ﻟﻠﻜﻴﺎن اﻟﺼﻠﺐ ،اﳋﻮارزﻣﻴﺎت اﻟﱪﳎﻴﺔ،
ﺑﻨﻴﺔ اﳊﺎﺳﺐ ،وﻏﲑﻫﺎ][795,796؛ وﻋﻠﻴﻪ ﻓﺈن اﺧﺘﻴﺎر ﻟﻐﺎت اﻟﱪﳎﻴﺔ ﻋﺎﻟﻴﺔ اﳌﺴﺘﻮى ﻣﺜﻞ BASIC:ﻳﺴﺎﻫﻢ ﺑﺸﻜﻞ ﻛﺒﲑ ﺟﺪاً ﰲ ﲣﻔﻴﺾ اﻟﻌﺐء
اﻹدراﻛﻲ ﻋﻠﻰ اﻟﻄﻼب.
ﰎ اﺧﺘﻴﺎرﻫﺎ ﻟﱪﳎﺔ ﲨﻴﻊ اﻟﺘﺠﺎرب وذﻟﻚ ﺑﻌﺪ إﺟﺮاء ﻣﻘﺎرﻧﺔ ﺷﺎﻣﻠﺔ ﻟﺒﻴﺌﺎت اﻟﺘﻄﻮﻳﺮ ][797
ﺑﻴﺌﺔ اﻟﺘﻄﻮﻳﺮ اﳌﺘﻜﺎﻣﻠﺔ Bascom-AVR
اﳌﺘﻮﻓﺮة] .[801,803ﺗﻌﺘﻤﺪ ﻫﺬﻩ اﻟﺒﻴﺌﺔ ﻋﻠﻰ ﻟﻐﺔ اﻟﱪﳎﺔ BASICوﲤﺘﻠﻚ ﻣﻜﺘﺒﺎت ﺑﺮﳎﻴﺔ ﻣﺘﻘﺪﻣﺔ وﺷﺎﻣﻠﺔ وﺗﻌﺘﱪ ﻣﻦ اﻟﺒﻴﺌﺎت اﻟﱪﳎﻴﺔ اﳌﺸﻬﻮرة
واﳌﺨﺼﺼﺔ ﳌﺘﺤﻜﻤﺎت اﻟﻌﺎﺋﻠﺔ .AVRاﻟﺸﻜﻞ 10-5ﻳﺒﲔ اﻟﻮاﺟﻬﺎت اﻟﺮﺋﻴﺴﻴﺔ ﻟﻠﱪﻧﺎﻣﺞ.
ﲤﻠﻚ ﺑﻴﺌﺔ اﻟﺘﻄﻮﻳﺮ Bascom-AVRاﻟﻌﺪﻳﺪ ﻣﻦ واﺟﻬﺎت اﻟﺘﻄﺒﻴﻘﺎت واﻷدوات اﻟﱵ ﺗﺴﺎﻋﺪ اﳌﱪﻣﺞ ﻋﻠﻰ ﺑﺮﳎﺔ اﻟﻨﻈﺎم ﲟﺮوﻧﺔ وﺳﺮﻋﺔ ﻛﺒﲑة،
ﻫﺬﻩ اﻟﻮاﺟﻬﺎت ﻫﻲ:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 250
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
• واﺟﻬﺔ اﻟﺮﺑﻂ اﻟﺒﻴﲏ :وﻓﻴﻬﺎ ﻳﺘﻢ ﻋﺮض ﻣﻌﻠﻮﻣﺎت اﻟﺘﺨﺎﻃﺐ ﺑﲔ اﳌﻌﺎﰿ واﳊﺎﺳﺐ.
• واﺟﻬﺎت اﻷدوات اﳌﺴﺎﻋﺪة وﻫﻲ ﻛﺜﲑة.
ﺑﺪف ﲢﻀﲑ اﻟﻄﻼب ﻟﺒﻨﺎء ﺗﻄﺒﻴﻘﺎت أﻗﺮب ﻣﺎ ﻳﻜﻮن إﱃ اﻟﻮاﻗﻊ ،ﰎ وﺿﻊ ﺧﻄﻮات ﻣﻨﻬﺠﻴﺔ ﻟﺘﺸﻜﻴﻞ اﻟﱪاﻣﺞ ﰲ اﻟﺒﻴﺌﺔ ،Bascom-AVR
واﳍﺪف ﻣﻦ ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ ﻫﻮ ﻛﺘﺎﺑﺔ اﻟﱪاﻣﺞ ﺑﻄﺮﻳﻘﺔ اﺣﱰاﻓﻴﺔ ﻣﺆﺳﺴﺎﺗﻴﺔ ،ﲝﻴﺚ ﳝﻜﻦ ﺗﻄﻮﻳﺮﻫﺎ وﻣﺮاﺟﻌﺘﻬﺎ ﻣﺴﺘﻘﺒﻼً ﺑﻜﻞ ﺳﻬﻮﻟﺔ ﻣﻦ ﺧﻼل
ﻛﺘﺎﺑﺘﻬﺎ ﺑﺎﺳﺘﺨﺪام ﻣﻨﻬﺠﻴﺔ اﻟﻜﺘﻞ اﳌﺴﺘﻘﻠﺔ ،ﲝﻴﺚ ﳝﻜﻦ ﻓﻬﻤﻬﺎ واﺳﺘﺜﻤﺎرﻫﺎ ﰲ ﺗﻄﺒﻴﻘﺎت ﺑﺮﳎﻴﺔ أﺧﺮى ذات ﺻﻠﺔ .اﳍﻴﻜﻠﻴﺔ اﻟﱪﳎﻴﺔ اﻟﻌﺎﻣﺔ
ﻣﻮﺿﺤﺔ ﻋﻠﻰ اﻟﺸﻜﻞ.11-5
1- Directives
Directives are special instructions for the compiler. They can override a setting from the IDE.
2- Configuration
Configuration commands initialize the hardware to the desired state.
3- Variables
Dimension all required variables.
ﻳﻌﺘﱪ ﺑﺮﻧﺎﻣﺞ PROTEUSﻣﻦ أﻗﻮى ﺑﺮاﻣﺞ اﶈﺎﻛﺎة ﻟﻠﻤﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،وﻫﻮ ﳝﻠﻚ اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻜﺘﺒﺎت اﻟﱵ ﺗﻐﻄﻲ ﲨﻴﻊ أﻧﻮاع
اﶈﻴﻄﻴﺎت اﻟﱵ ﳝﻜﻦ وﺻﻠﻬﺎ ﻣﻊ اﳌﺘﺤﻜﻢ اﳌﺼﻐﺮ ﺑﺎﻹﺿﺎﻓﺔ إﱃ أدوات اﻟﻘﻴﺎس اﻟﻌﺪﻳﺪة ،وﺳﻮف ﻧﺴﺘﺨﺪم ﻫﺬا اﻟﱪﻧﺎﻣﺞ ﶈﺎﻛﺎة ﲨﻴﻊ اﻟﺘﺠﺎب
اﻟﱵ ﺳﻮف ﻧﺘﻄﺮق إﻟﻴﻬﺎ ﻻﺣﻘﺎً ،ﻛﻤﺎ ﺳﻴﺘﻢ اﺳﺘﺨﺪاﻣﻪ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﰲ اﳌﺨﺘﱪ اﻻﻓﱰاﺿﻲ.
251 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
اﻟﺸﻜﻞ 12-5اﺳﺘﺨﺪام ﺑﻴﺌﺔ اﶈﺎﻛﺎة PROTEUSﶈﺎﻛﺎة ﺑﺮﻧﺎﻣﺞ ﻟﻠﺘﺤﻜﻢ ﲟﺤﺮك ﺗﻴﺎر ﻣﺴﺘﻤﺮ
اﻟﺸﻜﻞ 12-5ﻳﺒﲔ إﺣﺪى اﳌﺸﺎرﻳﻊ اﻟﱵ ﻗﻤﻨﺎ ﺑﺪراﺳﺘﻬﺎ ﰲ ﺑﻴﺌﺔ اﶈﺎﻛﺎة ،وﻣﻦ ﰒ ﺗﻄﺒﻴﻘﻬﺎ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻫﻲ اﻟﺘﺤﻜﻢ ﺑﺴﺮﻋﺔ ﳏﺮك ﺗﻴﺎر
ﻣﺴﺘﻤﺮ ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ ﺗﻌﺪﻳﻞ ﻋﺮض اﻟﻨﺒﻀﺔ ) ،(PWMﺣﻴﺚ ﻳﺘﻢ ﲢﺪﻳﺪ اﻟﺴﺮﻋﺔ اﳌﺮﺟﻌﻴﺔ اﳌﻄﻠﻮﺑﺔ ﻋﻦ ﻃﺮﻳﻖ إدﺧﺎﳍﺎ ﻣﻦ ﺧﻼل ﻟﻮﺣﺔ
ﻣﻔﺎﺗﻴﺢ ﺳﺖ ﻋﺸﺮﻳﺔ أو ﻋﻦ ﻃﺮﻳﻖ ﻣﻘﺎوﻣﺔ ﻣﺘﻐﲑة ﺧﻄﻴﺔ ،وﻳﺘﻢ ﺣﺴﺎب اﻟﺴﺮﻋﺔ وﻋﺮﺿﻬﺎ ﻋﻠﻰ ﺷﺎﺷﺔ إﻇﻬﺎر ﻛﺮﻳﺴﺘﺎﻟﻴﺔ وإرﺳﺎﳍﺎ إﱃ اﳊﺎﺳﺐ
) (RS232ﻋﱪ ﻧﺎﻓﺬة اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻴﺔ ) ،(UARTﻛﻤﺎ أن اﻟﻨﻈﺎم ﻣﺰود ﺑﻜﻠﻤﺔ ﻣﺮور ﻷﻏﺮاض اﳊﻤﺎﻳﺔ.
ﻳﺒﲔ اﻟﺸﻜﻞ 13-5ﻣﺜﺎﻻً ﺗﻄﺒﻴﻘﻴﺎً ﻋﻦ اﺳﺘﺨﺪام ﺑﻴﺌﺔ اﶈﺎﻛﺎة PROTEUSﻟﺘﺤﻠﻴﻞ دارة ﻋﺪاد ﺗﺼﺎﻋﺪي ﺗﻨﺎزﱄ ﻗﺎﺑﻞ ﻟﻠﻀﺒﻂ ﲝﻴﺚ ﻳﺘﻢ
ﻋﺮض اﻟﻘﻴﻢ ﻋﻠﻰ ﻟﻮﺣﺎت إﻇﻬﺎر رﻗﻤﻴﺔ ﰲ ﳕﻂ اﳌﺴﺢ.
اﻟﺸﻜﻞ 13-5اﺳﺘﺨﺪام ﺑﻴﺌﺔ اﶈﺎﻛﺎة PROTEUSﻟﺘﺤﻠﻴﻞ دارة ﻋﺪاد ﺗﺼﺎﻋﺪي ﺗﻨﺎزﱄ ﻗﺎﺑﻞ ﻟﻠﻀﺒﻂ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 252
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻟﺸﻜﻞ 14-5اﳌﺨﻄﻂ اﻟﻨﻈﺮي واﻟﱪﻧﺎﻣﺞ ﻹﺣﺪى اﻷﻣﺜﻠﺔ اﻟﻌﻤﻠﻴﺔ ﰲ دﻟﻴﻞ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ
5-3-5اﺳﺘﻄﻼع رأي وﺗﻘﻴﻴﻢ ﻟﻠﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ ):(Survey and Evaluation of the Educational System
ﺑﺪف ﺗﻘﻴﻴﻢ ﺟﻮدة ﻫﺬا اﻟﻨﻈﺎم وﻣﺪى ﻣﻼﺋﻤﺘﻪ ،ﰎ ﺗﻘﺪﱘ ﲨﻠﺔ ﻣﻦ اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ﻟ ـ 64ﻃﺎﻟﺐ ﻣﻦ ﻃﻼب اﻟﺴﻨﺔ اﻟﺮاﺑﻌﺔ ﰲ ﻗﺴﻢ ﻫﻨﺪﺳﺔ
اﻟﺘﺤﻜﻢ اﻵﱄ واﻷﲤﺘﺔ ﰲ ﻛﻠﻴﺔ اﳍﻨﺪﺳﺔ اﻹﻟﻜﱰوﻧﻴﺔ ،ﺿﻤﻦ ﻣﻘﺮر ﺗﺼﻤﻴﻢ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ -اﻟﻔﺼﻞ اﻟﺜﺎﱐ؛ ﺑﻨﺎءً ﻋﻠﻴﻪ ﰎ
إﺟﺮاء اﺳﺘﻄﻼع رأي ﻣﺪروس ﻟﻠﻄﻼب ﺣﻮل اﻟﻨﻈﺎم واﳌﻨﻬﺠﻴﺔ ،وأﻇﻬﺮت اﻟﻨﺘﺎﺋﺞ اﻧﻄﺒﺎﻋﺎً ﺟﻴﺪاً ﳍﺬا اﻟﻨﻈﺎم ﻋﻠﻰ ﻣﺴﺘﻮى اﳌﻨﻬﺠﻴﺔ واﻟﻔﺎﺋﺪة
اﻟﻌﻠﻤﻴﺔ واﻟﻌﻤﻠﻴﺔ اﶈﺼﻠﺔ ،ﻛﻤﺎ ﻇﻬﺮ أﺛﺮﻩ واﺿﺤﺎً ﻋﻠﻰ ﻣﺴﺘﻮى اﳌﺸﺎرﻳﻊ اﻟﻔﺼﻠﻴﺔ اﻟﱵ ﻋﻤﻞ ﻋﻠﻴﻬﺎ اﻟﻄﻼب ،وأﻫﻢ ﻧﺘﺎﺋﺞ اﻻﺳﺘﻄﻼع ﻣﺒﻴﻨﺔ ﻋﻠﻰ
اﻟﺸﻜﻞ 15-5وﻧﺬﻛﺮﻫﺎ ﻓﻴﻤﺎ ﻳﻠﻲ:
-ﰎ إﺟﺮاء اﻣﺘﺤﺎن أوﱄ ﻟﺘﺤﺪﻳﺪ ﻣﺴﺘﻮى ﻣﻌﺮﻓﺔ اﻟﻄﻼب ﰲ ﺑﺮﳎﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻗﺒﻞ اﻟﺒﺪء ﺑﺘﻄﺒﻴﻖ ﻫﺬا اﻟﻨﻈﺎم ،وﻛﺎن اﳌﻌﺪل
اﻟﻮﺳﻄﻲ ﻟﻠﻨﺘﺎﺋﺞ - 5% :ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﳕﻮذج اﻻﻣﺘﺤﺎن ﰲ اﳌﻠﺤﻖ.2-
-ﰎ إﺟﺮاء اﻣﺘﺤﺎن �ﺎﺋﻲ أﻇﻬﺮ أن اﻟﺰﻳﺎدة ﰲ ﻣﺴﺘﻮى ﻣﻌﺮﻓﺔ اﻟﻄﻼب ﺑﱪﳎﺔ اﳌﺘﺤﻜﻤﺎت ﺑﻌﺪ اﻧﺘﻬﺎء اﻟﺘﺠﺎرب .85%
253 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model | ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
.90% : ﻛﺎن ﺗﻘﻴﻴﻢ اﻟﻄﻼب ﻟﻠﺪرﺟﺔ اﻟﱵ ﺳﺎﻫﻢ ﻓﻴﻬﺎ ﻫﺬا اﻟﻨﻈﺎم ﰲ إﻏﻨﺎء ﻣﻌﺮﻓﺘﻬﻢ ﺑﱪﳎﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﺑﻨﺴﺒﺔ-
.96% : ﺎن ﺗﻘﻴﻴﻢ اﻟﻄﻼب ﻟﺪرﺟﺔ اﺳﺘﻔﺎدﻬﺗﻢ ﻣﻦ اﶈﺎﺿﺮات واﻟﺘﺠﺎرب ﺑﻨﺴﺒﺔ-
.98% : ﻛﺎن ﺗﻘﻴﻴﻢ اﻟﻄﻼب ﳌﺮوﻧﺔ اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻟﻮﺣﺔ اﻻﺧﺘﺒﺎر واﻟﺘﺠﺎرب ﺑﻨﺴﺒﺔ-
.55% ﻟﻄﻼب اﻟﺬﻳﻦ رﻏﺒﻮا ﰲ اﳊﺼﻮل ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﺠﺎرب اﳋﺎﺻﺔ ﻬﺑﻢ ﻣﻦ ﺧﻼل اﻻﺳﺘﻔﺎدة ﻣﻦ ﻣﻠﻔﺎت اﻟﺘﺼﻤﻴﻢ
ﺗﻘﻴﻴﻤﺎت أﺧﺮى وردت ﻣﻦ اﳌﺼﺎدر اﻟﱵ ﰎ ﻓﻴﻬﺎ ﻧﺸﺮ اﳌﻨﻬﺞ واﳌﻠﻔﺎت اﻟﺘﺼﻤﻴﻤﻴﺔ ﻛﻨﻈﺎم ﻣﻔﺘﻮح اﳌﺼﺪر ﻧﻮرد ﻣﻨﻬﺎ ﺗﻘﻴﻴﻢ اﳌﺪﻳﺮ اﳌﺎﻟﻚ ﻟﺸﺮﻛﺔ
:( وﻫﻮ ﺑﻨﺼﻪ اﻵﰐMr. Mark Albert; mark@mcselec.com) MCS Electronic Inc.
«There are a number of courses and books available in various languages at MCS website. However,
the best course is “Programming Embedded Systems Microcontroller” by Walid Balid (R&D
Engineer at AL-AWAIL CO.), because it scores on all points:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 254
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﺷﺮح ﺿﻌﻒ ﻧﺘﺎﺋﺞ اﻟﻤﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ اﻟﻤﺴﺘﻨﺪة إﻟﻰ ﻧﻈﺮﻳﺔ ﻛﻮﻟﺐ ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺑﻴﺪاﻏﻮﺟﻴﺔ ) Pedagogically 4-5
:(Explaining Poor Outcome of Kolb-based Hands-on Lab
ﰲ ﻇﻞ ﺿﻮء ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ] -[545اﻟﱵ ﺗﻘﺪم ﺷﺮﺣﻬﺎ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ،ﻧﺴﺘﻄﻴﻊ أن ﻧﻔﱰض أن ﺿﻌﻒ اﳊﺼﻴﻠﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ
ﳉﻠﺴﺎت اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي -اﻟﺬي أﺷﺎرت إﻟﻴﻪ اﻟﺘﻘﺎرﻳﺮ واﻟﺪراﺳﺎت ﺑﺎﺳﺘﻤﺮار -ﳝﻜﻦ أن ﻳﻌﺰى إﱃ ﺿﻌﻒ ﺗﻔﻌﻴﻞ اﻟﺒﻌﺪ ”“Prehension
ﻟﻚ ﻗﺒﻞ اﺠﻤﻟﻲء إﱃ اﳌﺨﱪ ،وﻟﺬﻟﻚ ﺗﺘﺤﻮل اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ إﱃ ﻋﻤﻠﻴﺔ ﺗﻨﻔﻴﺬ ﳋﻮارزﻣﻴﺔ ﳏﺪدة ﻣﻦ اﳋﻄﻮات اﳌﻌﺪة ﻣﺴﺒﻘﺎً ﺿﻤﻦ دﻟﻴﻞ اﻟﻌﻤﻞ
ﻣﻐﺰى وﻫﺪف ﳏﺪد.
اﳌﺨﱪي ،ﺑﺪﻻً ﻣﻦ أن ﺗﻜﻮن ﺑﻨﺎءً ﻟﻠﻤﻌﺮﻓﺔ ﻣﻦ ﺧﻼل ﻧﺸﺎﻃﺎت ذات ً
ﻧﻘﺪم ﰲ ﻫﺬا اﻟﻔﺼﻞ ﻃﺮﺣﺎً ﻣﻔﺎدﻩ أن اﺳﺘﺨﺪام اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﺤﻀﲑ ﻟﻠﺠﻠﺴﺎت اﳌﺨﱪﻳﺔ ﳝﻜﻦ أن ﻳﺆدي إﱃ ﺗﻨﺸﻴﻂ أﻓﻀﻞ
ﻟﻠﺒﻌﺪ ” “Prehensionﻣﻦ دورة ،Kolbاﻷﻣﺮ اﻟﺬي ﻳﻌﻄﻲ ﺑﺪورﻩ ﺗﻔﻌﻴﻼً أﻓﻀﻞ ﻟﺒﻌﺪ ﻧﻘﻞ اﳌﻌﺮﻓﺔ ” .“Transformationﻟﻠﺘﺤﻘﻖ ﻣﻦ
ﻫﺬﻩ اﻟﻔﺮﺿﻴﺔ ،ﰎ ﺗﺼﻤﻴﻢ اﳋﻄﻮات واﻹﺟﺮاءات اﻟﱰﺑﻮﻳﺔ اﻟﺘﺠﺮﻳﺒﻴﺔ وﺗﻄﺒﻴﻘﻬﺎ ﺑﺎﺳﺘﺨﺪام ﻧﻈﺎم ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﳌﻔﺼﻞ أﻋﻼﻩ ﺿﻤﻦ ﺑﻨﻮد اﻟﻔﻘﺮة
،3-6وﻗﺪ ﺿﻤﺖ إﺟﺮاءات اﻟﺘﺤﻘﻖ ﻣﺮﺣﻠﺘﲔ أﺳﺎﺳﻴﺘﲔ:
اﳌﺮﺣﻠﺔ اﻷوﱃ :اﻟﺘﺤﻘﻖ ﻓﻴﻤﺎ إذا ﻛﺎن اﻟﺘﺤﻀﲑ اﳌﺴﺒﻖ ﻟﻠﺘﺠﺮﺑﺔ ﺑﺎﺳﺘﺨﺪام ﳐﱪ اﻓﱰاﺿﻲ ) (Virtual Labﻗﺪ ﻳﺆدي إﱃ ﺗﻔﻌﻴﻞ أﻓﻀﻞ ﻟﻠﺒﻌﺪ
” “Prehensionﻣﻦ دورة .Kolb
وﻓﻘﺎً ﻟﻨﻈﺮﻳﺔ اﻟﺘﺸﻔﲑ اﳌﺰدوج ﻹدراك اﳌﻌﻠﻮﻣﺎت ) – (Dual Coding Theory of Information Cognitionﺗﻘﺪم ﺷﺮﺣﻬﺎ ﰲ
اﻟﻔﺼﻞ اﳋﺎﻣﺲ – ﻓﺈن اﻟﻌﻘﻞ اﻟﺒﺸﺮي ﻳﺪرك وﳜﺰن اﳌﻌﻠﻮﻣﺎت اﻟﻠﻔﻈﻴﺔ واﻟﺒﺼﺮﻳﺔ ﰲ ﻗﻨﺎﺗﲔ ﻣﻨﻔﺼﻠﺘﲔ] ،[539واﻻﺳﺘﻔﺎدة ﻣﻦ ﻫﺬا ﰲ اﻟﻌﻤﻠﻴﺎت
اﻟﺘﻌﻠﻴﻤﻴﺔ ﻫﻮ أن ﻋﻤﻠﻴﺔ دﻣﺞ اﻟﻌﻨﺎﺻﺮ اﳌﺮﺋﻴﺔ ﻣﻊ اﻟﻨﺼﻮص اﳌﻜﺘﻮﺑﺔ )ﻛﺪﻟﻴﻞ ﻋﻤﻞ اﳌﺨﱪ ﻣﺜﻼً( ﳝﻜﻦ أن ﺗﺆدي إﱃ ﺗﻌﻠﻢ أﻓﻀﻞ] ،[532ﻛﻤﺎ أن
اﳌﺨﱪ اﻻﻓﱰاﺿﻲ ﳝﻜﻦ أن ﻳﻜﻮن اﻷداة اﳌﻨﺎﺳﺒﺔ ﻟﻌﺮض ﻣﻜﻮﻧﺎت وﻋﻨﺎﺻﺮ اﻟﺘﺠﺎرب ﺑﺸﻜﻞ ﻣﺮﺋﻲ ،وﳝﻜﻦ أﻳﻀﺎً ﻋﺮض ﻣﻌﻠﻮﻣﺎت اﻟﺘﺠﺮﺑﺔ
ﺑﺮﺳﻮم ﺗﻮﺿﻴﺤﻴﺔ ﻣﺒﺴﻄﺔ.
ﺑﺎﻟﻌﻮدة إﱃ ﳕﻮذج ،VARKﻓﺈن أﺳﺎﻟﻴﺐ VARKاﻟﺘﻌﻠﻴﻤﻴﺔ ﺗﻘﱰح أن ﻫﻨﺎك أرﺑﻊ أﳕﺎط رﺋﻴﺴﻴﺔ ﻟﻠﺘﻌﻠﻢ]:[743
اﻟﻘﺮاءة/اﻟﻜﺘﺎﺑﺔ ).(Read/Write ‹
اﻟﺘﻌﻠﻢ اﻟﺒﺼﺮي أو اﳌﺮﺋﻲ ).(Visual ‹
اﻟﺘﻌﻠﻢ اﻟﺸﻔﻬﻲ ).(Aural ‹
اﻟﺘﻌﻠﻢ اﳊﺮﻛﻲ أو اﻟﺘﻌﻠﻢ اﻟﺘﻔﺎﻋﻠﻲ ).(Kinesthetic ‹
255 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
إن اﻟﺘﺤﻀﲑ ﻣﻦ دﻟﻴﻞ ﻋﻤﻞ اﳌﺨﱪ ﳝﻜﻦ أن ﻳﻨﺎﺳﺐ اﻟﻄﻼب اﻟﺬﻳﻦ ﻳﺘﻤﺘﻌﻮن ﲟﺴﺘﻮى ﻋﺎﱄ ﻣﻦ ﳕﻂ اﻟﺘﻌﻠﻢ ﻗﺮاءة-ﻛﺘﺎﺑﺔ )،(Read/Write
أﻣﺎ إدﺧﺎل اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﻣﻊ دﻟﻴﻞ ﻋﻤﻞ اﳌﺨﱪ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﺤﻀﲑ ﻓﻬﻮ ﻳﻨﺎﺳﺐ اﻟﻄﻼب اﻟﺬﻳﻦ ﺗﻜﻮن أﳕﺎط ﺗﻌﻠﻤﻬﻢ ﺑﺼﺮﻳﺔ )(Visual
وﺣﺮﻛﻴﺔ ) ،(Kinestheticوﻫﺬا ﻳﻌﻮد إﱃ أن اﳌﺨﱪ اﻻﻓﱰاﺿﻲ ﳚﻌﻞ اﻟﺘﺠﺮﺑﺔ ﻣﺮﺋﻴﺔ ) ،(Visual styleوﻛﺬﻟﻚ ﻳﻌﻄﻲ اﻟﻔﺮﺻﺔ ﻟﻠﻄﻼب
ﻹﺟﺮاء اﻟﺘﺠﺮﺑﺔ ﺑﺸﻜﻞ ﻓﻌﻠﻲ ).(Kinesthetic
وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﳌﺨﱪ اﻻﻓﱰاﺿﻲ ﻳﻌﻄﻲ اﻟﻔﺮﺻﺔ ﻹﺟﺮاء اﻟﺘﺠﺮﺑﺔ واﻟﺘﻌﻠﻢ ﺑﺎﳌﻤﺎرﺳﺔ إﺿﺎﻓﺔً إﱃ اﻟﻘﺮاءة ،وﳍﺬا اﻟﺴﺒﺐ ﻳﺆدي إﱃ ﻣﺴﺘﻮى أﻋﻠﻰ
ﻟﻼﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت ﻣﻦ اﺳﺘﺨﺪام دﻟﻴﻞ ﻋﻤﻞ اﳌﺨﱪ ﻓﻘﻂ.
إن ﺗﻮﻓﲑ ﺟﻠﺴﺎت ﲢﻀﲑﻳﺔ ﻟﻠﻄﻼب ﺑﺎﺳﺘﺨﺪام دﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ واﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﳝﻜﻦ أن ﻳﺴﺎﻋﺪ ﰲ اﻟﺘﻐﻠﺐ ﻋﻠﻰ ﺑﻌﺾ أوﺟﻪ اﻟﻘﺼﻮر
واﻟﻀﻌﻒ ﰲ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ) (Hands-on Labsﻣﺜﻞ اﻹرﻫﺎق اﳌﻌﺮﰲ ) (Cognitive Overloadوﳏﺪودﻳﺔ اﻟﻮﺻﻮل إﱃ ﻋﻨﺎﺻﺮ
اﻟﺘﺠﺮﺑﺔ ﻣﻜﻮﻧﺎﻬﺗﺎ واﻟﱵ ﻧﻮﻗﺸﺖ ﺳﺎﺑﻘﺎً ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ.
ﺑﺎﻹﺿﺎﻓﺔ إﱃ ذﻟﻚ ،إن اﺳﺘﺨﺪام اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ﻳﺆﻣﻦ إﻃﺎر اﻟﻌﻤﻞ اﻷﻣﺜﻞ ﻟﺘﺤﻔﻴﺰ اﻟﺘﻔﻜﲑ واﻟﺘﺄﻣﻞ ) (Reflectionﺧﻼل ﻋﻤﻠﻴﺔ
اﻟﺘﺤﻀﲑ ،وﺑﺎﻟﺘﺎﱄ ﻳﻌﺰز اﻟﺘﺼﻮر واﻟﻔﻬﻢ ) (Conceptualizationوﳛﻘﻖ ﻟﻠﻄﺎﻟﺐ ﺑﻌﺾ ﻣﻦ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻣﺴﺒﻘﺎً أﺛﻨﺎء اﳉﻠﺴﺔ اﻟﺘﺤﻀﲑﻳﺔ
– وذﻟﻚ وﻓﻘﺎً ﻟﻨﻤﻮذج ].Kolb[545
2-4-5اﺳﱰاﺗﻴﺠﻴﺔ ﺗﻄﺒﻴﻖ اﳌﺨﺘﱪ اﻻﻓﱰاﺿﻲ اﻟﺘﺤﻀﲑي ):(Conducting the Virtual Pre-Lab Strategy
اﻟﻌﻴﻨﺔ اﻻﺧﺘﺒﺎرﻳﺔ اﻟﻜﻠﻴﺔ ﺿﻤﺖ 62ﻃﺎﻟﺒﺎً ،ﰎ ﺗﻮزﻳﻌﻬﻢ ﻋﻠﻰ ﳎﻤﻮﻋﺘﲔ ) -1ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ -2 ،ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ( ﻣﺘﻨﺎﻇﺮﺗﲔ ﻣﻦ ﺣﻴﺚ
أﻋﻤﺎر اﻟﻄﻼب ﻣﻌﺪﻻﻬﺗوﺟﻨﺴﻬﻢ؛ ﺗﻀﻢ ﻛﻞ ﳎﻤﻮﻋﺔ ﻣﻦ ﻫﺬﻩ اﺠﻤﻟﻤﻮﻋﺎت 32ﻃﺎﻟﺒﺎً وﻃﺎﻟﺒﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 256
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻻﺳﱰاﺗﻴﺠﻴﺔ ﰲ ﺟﻠﺴﺔ اﳌﺨﱪ اﻟﺘﺤﻀﲑﻳﺔ ﳐﺘﻠﻔﺔ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻜﻞ ﳎﻤﻮﻋﺔ ،أﻣﺎ اﺳﱰاﺗﻴﺠﻴﺔ ﺟﻠﺴﺔ اﳌﺨﱪ اﻟﺮﺋﻴﺴﻴﺔ ﻓﻬﻲ ﻧﻔﺴﻬﺎ .اﻟﺸﻜﻞ17-5
ﻳﺒﲔ ﳐﻄﻂ ﺳﲑ اﻟﻌﻤﻞ ﰲ ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ.
Classical Group
Hand-On
Pre-Test Post-Test
Preparing Lab Session
Manual Only
& Simple & Strait
Experimental Group General Deep
Quiz Quiz
Preparing
& Manual
اﳉﻠﺴﺔ اﻟﺘﻤﻬﻴﺪﻳﺔ ) :(Pre-Labﲤﺘﺪ اﳉﻠﺴﺔ ﻋﻠﻰ ﻣﺪار ﺳﺎﻋﺔ واﺣﺪة ﻓﻘﻂ ﲣﺼﺺ ﺑﺎﻟﻜﺎﻣﻞ ﻟﻘﺮاءة اﻟﺘﺠﺮﺑﺔ ﻣﻦ اﻟﺪﻟﻴﻞ.
َﲢ اﺠﻤﻟﻤﻮﻋﺔ ﻗﺒﻞ ﻳﻮم ﻣﻦ اﳉﻠﺴﺔ اﳌﻘﺮرة إﱃ ﺟﻠﺴﺔ ﲤﻬﻴﺪﻳﺔ ﳌﺪة ﺳﺎﻋﺔ واﺣﺪة ﻓﻘﻂ. ‹
ﻮم ﻫﺬﻩ اﺠﻤﻟﻤﻮﻋﺔ ﺧﻼل اﳉﻠﺴﺔ اﻟﺘﺤﻀﲑﻳﺔ ﺑﺘﺤﻀﲑ اﻟﺘﺠﺎرب اﳌﺘﻌﻠﻘﺔ ﺑﺎﳉﻠﺴﺔ ﻣﻦ ﺧﻼل ﻗﺮاءة دﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ. ‹
ﻳﻘﻮم اﳌﻌﻠﻢ ﺑﺎﻹﺟﺎﺑﺔ ﻋﻦ ﺗﺴﺎؤﻻت اﻟﻄﻼب ﲟﺎ ﻳﺘﻌﻠﻖ ﲟﻮﺿﻮع دﻟﻴﻞ اﻟﺘﺠﺎرب ﻓﻘﻂ. ‹
ﻻ ﻳﻄﻠﺐ أي وﻇﺎﺋﻒ أو ﲢﻀﲑ إﺿﺎﰲ ﻣﻨﺰﱄ. ‹
اﳉﻠﺴﺔ اﻷﺳﺎﺳﻴﺔ :ﲤﺘﺪ اﳉﻠﺴﺔ اﻷﺳﺎﺳﻴﺔ ﻋﻠﻰ ﻣﺪار 120دﻗﻴﻘﺔ 18 :دﻗﻴﻘﺔ ﻣﺬاﻛﺮة ﲤﻬﻴﺪﻳﺔ 35 +دﻗﻴﻘﺔ ﻣﺬاﻛﺮة أﺳﺎﺳﻴﺔ 67 +دﻗﻴﻘﺔ
ﺗﺸﻐﻴﻞ اﻟﺘﺠﺎرب ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ واﻹﺟﺎﺑﺔ ﻋﻦ اﻟﺘﺴﺎؤﻻت.
اﳉﻠﺴﺔ اﻟﺘﻤﻬﻴﺪﻳﺔ ) :(Pre-Labﲤﺘﺪ اﳉﻠﺴﺔ ﻋﻠﻰ ﻣﺪار ﺳﺎﻋﺔ واﺣﺪة ﻓﻘﻂ ﲣﺼﺺ ﺑﺎﻟﻜﺎﻣﻞ ﻟﻘﺮاءة اﻟﺘﺠﺮﺑﺔ ﻣﻦ اﻟﺪﻟﻴﻞ وﺗﻄﺒﻴﻘﻬﺎ ﻋﻠﻰ اﳌﺨﱪ
اﻻﻓﱰاﺿﻲ ﺑﺎﺳﺘﺨﺪام اﻟﱪﻧﺎﻣﺞ BASCOMﺑﻴﺌﺔ اﶈﺎﻛﺎة .PROTEUS
َﲢ اﺠﻤﻟﻤﻮﻋﺔ ﻗﺒﻞ ﻳﻮم ﻣﻦ اﳉﻠﺴﺔ اﳌﻘﺮرة إﱃ ﺟﻠﺴﺔ ﲤﻬﻴﺪﻳﺔ ﳌﺪة ﺳﺎﻋﺔ واﺣﺪة ﻓﻘﻂ. ‹
ﺗﻘﻮم ﻫﺬﻩ ﻤﻟﻤﻮﻋﺔ ﺧﻼل اﳉﻠﺴﺔ اﻟﺘﺤﻀﲑﻳﺔ ﺑﺘﺤﻀﲑ اﻟﺘﺠﺎرب اﳌﺘﻌﻠﻘﺔ ﺑﺎﳉﻠﺴﺔ ﻣﻦ ﺧﻼل اﺗﺒﺎع اﳋﻄﻮات اﳌﺸﺮوﺣﺔ ﰲ اﻟﺪﻟﻴﻞ ‹
وﺗﻨﻔﻴﺬﻫﺎ ﻋﻠﻰ ﺑﻴﺌﺔ اﶈﺎﻛﺎة .Proteus
ﻳﻘﻮم اﻷﺳﺘﺎذ ﺑﺎﻹﺟﺎﺑﺔ ﻋﻦ ﺗﺴﺎؤﻻت اﻟﻄﻼب ﲟﺎ ﻳﺘﻌﻠﻖ ﺑﺪﻟﻴﻞ اﻟﺘﺠﺎرب أو ﺑﻴﺌﺔ اﶈﺎﻛﺎة دون اﻟﺘﻄﺮق إﱃ إﻋﻄﺎء ﺣﻞ ﻣﺒﺎﺷﺮ ‹
ﳌﺸﻜﻠﺔ ،وﻳﱰك ﻟﻠﻄﻼب ﺣﻠﻬﺎ.
ﻻ ﻳﻄﻠﺐ أي وﻇﺎﺋﻒ أو ﲢﻀﲑ إﺿﺎﰲ ﻣﻨﺰﱄ. ‹
اﳉﻠﺴﺔ اﻷﺳﺎﺳﻴﺔ :ﲤﺘﺪ اﳉﻠﺴﺔ اﻷﺳﺎﺳﻴﺔ ﻋﻠﻰ ﻣﺪار 120دﻗﻴﻘﺔ 18 :دﻗﻴﻘﺔ ﻣﺬاﻛﺮة ﲤﻬﻴﺪﻳﺔ 35 +دﻗﻴﻘﺔ ﻣﺬاﻛﺮة أﺳﺎﺳﻴﺔ 67 +دﻗﻴﻘﺔ
ﺗﺸﻐﻴﻞ اﻟﺘﺠﺎرب ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ واﻹﺟﺎﺑﺔ ﻋﻦ اﻟﺘﺴﺎؤﻻت.
3-4-5اﻟﺘﺠﺎرب اﻻﺧﺘﺒﺎرﻳﺔ واﻷﻫﺪاف اﻟﺮﺋﻴﺴﻴﺔ ﳍﺎ ):(The Experiments and their Main Goals
ﻛﻤﺎ ذﻛﺮﻧﺎ أن اﻟﺪراﺳﺔ اﺷﺘﻤﻠﺖ ﻋﻠﻰ ﺟﻠﺴﺘﲔ ﻣﻦ ﺟﻠﺴﺎت اﳌﺨﱪ اﻟﺮﺋﻴﺴﻴﺔ اﻣﺘﺪت ﻛﻞ ﻣﻨﻬﻤﺎ ﻋﻠﻰ ﻣﺪى ﺳﺎﻋﺘﲔ ﻣﻦ اﻟﺰﻣﻦ ،ﺗﻀﻤﻨﺖ
اﳉﻠﺴﺎت إﺟﺮاء اﻟﺘﺠﺮﺑﺔ اﻟﺴﺎﺑﻌﺔ واﻟﺜﺎﻣﻨﺔ ﻣﻦ دﻟﻴﻞ اﻟﺘﺠﺎرب اﳌﺼﻤﻢ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ.
اﳉﻠﺴﺔ اﻷوﱃ )اﻟﺘﺠﺮﺑﺔ اﻟﺴﺎﺑﻌﺔ ﰲ اﻟﺪﻟﻴﻞ( ﺗﻀﻤﻨﺖ اﻟﺘﺠﺎرب اﻟﱪﳎﻴﺔ اﳉﺰﺋﻴﺔ اﻟﺘﺎﻟﻴﺔ:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 258
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
-رﺑﻂ ﺣﺴﺎس ﻗﻴﺎس اﻟﻀﻐﻂ اﳉﻮي واﻻرﺗﻔﺎع ﻋﻦ ﺳﻄﺢ اﻟﺒﺤﺮ ) (Barometerإﱃ ﻣﺒﺪل .ADC
-رﺑﻂ ﻣﻘﺎوﻣﺔ ذات اﻟﻌﺎﻣﻞ اﳊﺮاري اﻟﺴﺎﻟﺐ NTCﻣﻊ ﻣﺒﺪل .ADC
-ﺎس درﺟﺔ اﳊﺮارة ﰲ اﺠﻤﻟﺎل -45⁰C ~ +100⁰Cﺑﺎﺳﺘﺨﺪام اﳊﺴﺎس .LM35DZ
-ﻗﻴﺎس ﺷﺪة اﻹﺿﺎءة ﺑﺎﺳﺘﺨﺪام ﻣﻘﺎوﻣﺔ ﺿﻮﺋﻴﺔ .LDR
-ﻴﺎس اﻟﺮﻃﻮﺑﺔ اﻟﻨﺴﺒﻴﺔ ﺑﺎﺳﺘﺨﺪام ﺣﺴﺎس رﻃﻮﺑﺔ ﺗﺸﺎﻬﺑﻲ ﺳﻌﻮي.
اﳉﻠﺴﺔ اﻟﺜﺎﻧﻴﺔ )اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻣﻨﺔ ﰲ اﻟﺪﻟﻴﻞ( ﺗﻀﻤﻨﺖ اﻟﺘﺠﺎرب اﻟﱪﳎﻴﺔ اﳉﺰﺋﻴﺔ اﻟﺘﺎﻟﻴﺔ:
-ﻛﺸﻒ ﺟﺒﻬﺎت اﻟﻘﺪح اﳋﺎرﺟﻴﺔ ﻋﻠﻰ أﻗﻄﺎب اﳌﺘﺤﻜﻢ ﺑﺎﺳﺘﺨﺪام اﳌﻘﺎﻃﻌﺎت اﳋﺎرﺟﻴﺔ.
-ﺗﻄﺒﻴﻘﺎت أﳕﺎط ﻋﻤﻞ اﳌﺆﻗﺘﺎت واﻟﻌﺪادات ﰲ اﳌﺘﺤﻜﻢ اﳌﺼﻐﺮ.
-ﻣﻘﻴﺎس ﺗﺮددي 4MHzﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ اﳌﺆﻗﺖ (8-bit) Time0واﻟﻌﺪاد .(16-bit) Timer1
-ﻣﻘﻴﺎس ﺳﻌﺎت 1nF~100uFﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ اﳌﺆﻗﺖ .Timer1
إن ﻛﻞ ﲡﺮﺑﺔ ﻣﻦ اﻟﺘﺠﺎرب اﳉﺰﺋﻴﺔ اﻟﺴﺒﻌﺔ ﰲ اﳉﻠﺴﺔ اﻷوﱃ )اﻟﺘﺠﺮﺑﺔ اﻟﺴﺎﺑﻌﺔ ﰲ اﻟﺪﻟﻴﻞ( واﻟﺘﺠﺎرب اﳉﺰﺋﻴﺔ اﻷرﺑﻌﺔ ﰲ اﳉﻠﺴﺔ اﻟﺜﺎﻧﻴﺔ )اﻟﺘﺠﺮﺑﺔ
اﻟﺜﺎﻣﻨﺔ ﰲ اﻟﺪﻟﻴﻞ( ﳍﺎ ﺗﻮﻇﻴﻒ ﻋﻤﻠﻲ ﻫﺎم ﺟﺪاً ﰲ اﻟﺘﻄﺒﻴﻘﺎت اﳍﻨﺪﺳﻴﺔ وﱂ ﺗﻮﺿﻊ ﺑﺸﻜﻞ اﻋﺘﺒﺎﻃﻲ.
ﰎ ﺗﻘﺴﻴﻢ اﳉﻠﺴﺔ اﻷوﱃ إﱃ ﺳﺒﻌﺔ ﲡﺎرب ﺟﺰﺋﻴﺔ ﲨﻴﻌﻬﺎ ﺗﺮﻛﺰ ﻋﻠﻰ اﺳﺘﺜﻤﺎر اﳌﺒﺪﻻت ﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ ﰲ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻣﻦ اﻟﻌﺎﺋﻠﺔ
.AVR
اﻟﺘﺠﺮﺑﺔ اﻷوﱃ :ﺣﻞ ﻣﺒﺘﻜﺮ ﻟﺮﺑﻂ ﻋﺪة ﻣﻔﺎﺗﻴﺢ ﻣﻊ ﻗﻄﺐ وﺣﻴﺪ ﺑﺸﺮط أن ﻳﻜﻮن ﻗﻄﺐ ،ADوﻫﺬا ﻣﻔﻴﺪ ﺟﺪاً ﰲ اﳊﺎﻻت اﻟﱵ ﳛﺘﺎج ﻓﻴﻬﺎ
إﱃ رﺑﻂ ﻣﻔﺎﺗﻴﺢ وﻻ ﻳﺘﻮﻓﺮ أﻗﻄﺎب ﻓﺎرﻏﺔ ﰲ اﳌﺘﺤﻜﻢ.
اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻧﻴﺔ :ﺗﻮﻟﻴﺪ ﺟﻬﺪ ﺸﺎﻬﺑﻲ ﺧﻄﻲ ﻋﻦ ﻃﺮﻳﻖ ﻣﻘﺎوﻣﺔ دوراﻧﻴﺔ ﻣﺘﻐﲑة ،ﳝﻜﻦ أن ﻳﺴﺘﺨﺪم ﻛﺠﻬﺪ ﻣﺮﺟﻌﻲ ﻟﻠﺘﺤﻜﻢ ﺑﺴﺮﻋﺔ ﳏﺮك أو
اﻟﺘﺤﻜﻢ ﺑﺸﺪة إﺿﺎءة...
اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻟﺜﺔ إﱃ اﻟﺴﺎﺑﻌﺔ :اﻻﺳﺘﺜﻤﺎر اﻟﻌﻤﻠﻲ واﳌﺒﺎﺷﺮ ﳉﻤﻠﺔ ﻫﺬﻩ اﻟﺘﺠﺎرب ﻫﻮ ﻧﻈﺎم أرﺻﺎد ﺟﻮي ﻟﻘﻴﺎس اﳌﺘﻐﲑات اﳌﺘﻤﺜﻠﺔ ﺑﺎﳊﺮارة واﻟﺮﻃﻮﺑﺔ
واﻟﻀﻐﻂ واﻻرﺗﻔﺎع ﻋﻦ ﺳﻄﺢ اﻟﺒﺤﺮ ).(Weather Station
إن ﳎﻤﻞ اﻟﺘﺠﺎرب ﰲ ﻫﺬﻩ اﳉﻠﺴﺔ ﰎ ﺗﻮﻇﻴﻔﻪ ﲟﺸﺮوع ﻋﻤﻠﻲ ﺗﻄﺒﻴﻘﻲ ﻟﺒﻨﺎء ﳏﻄﺔ أرﺻﺎد ﺟﻮﻳﺔ ﻣﺼﻐﺮة وﻣﺰودة ﺑﻨﻈﺎم ﺗﻮﻗﻴﺖ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ،
ﺣﻴﺚ ﻳﺘﻢ إرﺳﺎل اﻟﻘﻴﻢ إﱃ اﳊﺎﺳﺐ ﻋﻦ ﻃﺮﻳﻖ اﻟﱪوﺗﻮﻛﻮل RS485وﲣﺰﻳﻦ اﻟﻘﻴﻢ ﻋﻨﺪ ﻛﻞ ﻗﻴﻤﺔ ﺗﻮﻗﻴﺖ ﰲ ﻧﻈﺎم .DAQاﻟﺸﻜﻞ18-5
ﻳﺒﲔ ﺻﻮرة ﻣﺸﺮوع ﻣﺼﻐﺮ ﻟﻨﻈﺎم ﳏﻄﺔ أرﺻﺎد ﺟﻮﻳﺔ.
259 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﰎ ﺗﻘﺴﻴﻢ اﳉﻠﺴﺔ اﻟﺜﺎﻧﻴﺔ إﱃ ﲬﺲ ﲡﺎرب ﺟﺰﺋﻴﺔ ﺗﺮﻛﺰ ﻋﻠﻰ اﺳﺘﺜﻤﺎر اﳌﻘﺎﻃﻌﺎت اﳋﺎرﺟﻴﺔ واﳌﺆﻗﺘﺎت واﻟﻌﺪادات ﰲ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ﻣﻦ
اﻟﻌﺎﺋﻠﺔ .AVR
اﻟﺘﺠﺮﺑﺔ اﻷوﱃ :اﺳﺘﺜﻤﺎر اﳌﻘﺎﻃﻌﺎت اﳋﺎرﺟﻴﺔ ) (External Interruptsﰲ ﻣﺘﺤﻜﻤﺎت اﻟﻌﺎﺋﻠﺔ AVRﻬﺑﺪف رﺑﻂ ﻣﻔﺎﺗﻴﺢ ،أو إدﺧﺎل
إﺷﺎرات ،ﺣﻴﺚ أﻧﻪ ﰲ أﻧﻈﻤﺔ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ) (RTSﳚﺐ أن ﻻ ﻳﻨﺸﻐﻞ اﳌﻌﺎﰿ ﺑﺎﻟﺘﻌﻠﻴﻤﺎت اﻟﺸﺮﻃﻴﺔ وﺗﻌﻠﻴﻤﺎت اﻟﺘﺄﺧﲑ اﻟﺰﻣﲏ ،ﻟﺬﻟﻚ
ﺗﺴﺘﺨﺪم اﳌﻘﺎﻃﻌﺎت وﻫﻲ ﻣﻦ أﻫﻢ اﻋﺘﺒﺎرات أﻧﻈﻤﺔ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ.
اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻧﻴﺔ :اﺳﺘﺜﻤﺎر وﺑﺮﳎﺔ اﳌﺆﻗﺘﺎت ) (Timersﰲ ﻣﺘﺤﻜﻤﺎت اﻟﻌﺎﺋﻠﺔ ،AVRوﻓﻴﻬﺎ ﻳﺘﻢ دراﺳﺔ ﲨﻴﻊ أﳕﺎط ﻋﻤﻞ اﳌﺆﻗﺘﺎت وإﺟﺮاء
ﺗﻄﺒﻴﻖ )ﲡﺮﺑﺔ( ﻟﻜﻞ ﳕﻂ ﻣﻦ اﻷﳕﺎط ﻟﺘﺪﻋﻴﻢ ﻓﻜﺮة ﻋﻤﻞ اﳌﺆﻗﺖ ﻟﺪى اﻟﻄﺎﻟﺐ.
اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻟﺜﺔ :اﺳﺘﺜﻤﺎر وﺑﺮﳎﺔ اﻟﻌﺪادات ) (Countersﰲ ﻣﺘﺤﻜﻤﺎت اﻟﻌﺎﺋﻠﺔ ،AVRوﻓﻴﻬﺎ ﻳﺘﻢ دراﺳﺔ ﲨﻴﻊ أﳕﺎط ﻋﻤﻞ اﳌﺆﻗﺘﺎت وإﺟﺮاء
ﺗﻄﺒﻴﻖ )ﲡﺮﺑﺔ( ﻟﻜﻞ ﳕﻂ ﻣﻦ اﻷﳕﺎط ﻟﺘﺪﻋﻴﻢ ﻓﻜﺮة ﻋﻤﻞ اﳌﺆﻗﺖ ﻟﺪى اﻟﻄﺎﻟﺐ.
اﻟﺘﺠﺮﺑﺔ اﻟﺮاﺑﻌﺔ :ﻣﺸﺮوع ﻣﻘﻴﺎس ﺗﺮدد ) ،(1Hz~4MHzﻳﺘﻢ ﻓﻴﻪ اﺳﺘﺨﺪام اﳌﺆﻗﺖ Timer0ﰲ ﳕﻂ ﻣﻘﺎﻃﻌﺔ اﻟﻄﻔﺤﺎن ﲝﻴﺚ ﻳﺘﻢ ﺗﻮﻟﻴﺪ
ﻣﻘﺎﻃﻌﺔ ﻃﻔﺤﺎن ﻛﻞ ،20uSﺑﻴﻨﻤﺎ ﻳﺴﺘﺨﺪم اﻟﻌﺪاد Counter1ﻛﻌﺪاد أﺣﺪاث ﺧﺎرﺟﻴﺔ ﻋﻠﻰ اﻟﻘﻄﺐ ) T1ﻣﺪﺧﻞ اﻹﺷﺎرة اﳌﺮاد
ﻗﻴﺎﺳﻬﺎ( ،ﳕﻮذج اﶈﺎﻛﺎة ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.19-5
اﻟﺘﺠﺮﺑﺔ اﳋﺎﻣﺴﺔ :ﻣﺸﺮوع ﻣﻘﻴﺎس ﺳﻌﺎت ) (1nF~100uFﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ اﳌﺆﻗﺖ Timer1ﰲ ﳕﻂ ﻣﻘﺎﻃﻌﺔ اﻟﻨﻈﲑ ﻟﻠﻤﺆﻗﺖ Timer1؛
ﳕﻮذج اﶈﺎﻛﺎة ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.20-5
ﳑﺎ ﳚﺪر اﻹﺷﺎرة إﻟﻴﻪ ﰲ ﻫﺬا اﳌﻌﺮض أن ﺷﺮح اﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ ﰲ اﳌﺘﺤﻜﻢ اﳌﺼﻐﺮ ﺟﺎء ﺿﻤﻦ ﺳﻴﺎق ﻣﻔﻬﻮﻣﻲ ﻣﺘﻤﺜﻼً ﲟﺜﺎل ﺗﻄﺒﻴﻘﻲ ،ﰒ
ﻳﻠﺤﻖ ﺑﺬﻟﻚ ﺗﺜﺒﻴﺖ وﺗﻮﺿﻴﺢ اﻟﻨﻘﺎط اﻟﻨﻈﺮﻳﺔ اﻷﻋﻤﻖ .ﺑﺸﻜﻞ ﻓﻌﻠﻲ ﻓﺈن ﳏﺘﻮى ﻛﻞ ﺟﻠﺴﺔ ﻣﻦ ﻫﺬﻩ اﳉﻠﺴﺎت ﻻ ﳝﻜﻦ اﺣﺘﻮاءﻩ ﰲ ﺟﻠﺴﺔ
ﳐﱪﻳﺔ وﺣﻴﺪة ﻛﻤﺎ ﻫﻮ اﳊﺎل ﻫﻬﻨﺎ ،إﻻ أن اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ اﻟﺘﺤﻀﲑﻳﺔ ﺳﺎﳘﺖ ﺑﺸﻜﻞ ﻛﺒﲑ ﰲ ﲡﺎوز اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻌﻘﺒﺎت ،وﰲ ﺗﺴﺮﻳﻊ وﲢﺴﲔ
ﻧﺎﺗﺞ اﻟﺘﻌﻠﻢ واﶈﻔﺰ واﻻﺳﺘﺠﺎﺑﺔ ﻟﻠﻄﻼب.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 260
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﰲ ﺳﻨﺔ ﺳﺎﺑﻘﺔ ﰎ ﺗﻘﺪﱘ ﳏﺘﻮى اﳉﻠﺴﺔ اﻟﺜﺎﻣﻨﺔ إﱃ ﻃﻼب اﻟﺴﻨﺔ اﻟﺮاﺑﻌﺔ ﻣﻦ ﻧﻔﺲ اﻟﻘﺴﻢ واﻟﺴﻨﺔ ،وﱂ ﺗﻄﺒﻖ وﻗﺘﻬﺎ أي ﻣﻨﻬﺠﻴﺔ ﻏﲑ اﳌﻨﻬﺠﻴﺔ
اﻟﺘﻘﻠﻴﺪﻳﺔ ،واﺣﺘﺎج ﺗﻘﺪﱘ ﳏﺘﻮى ﻫﺬﻩ اﳉﻠﺴﺔ إﱃ ﺛﻼث ﺟﻠﺴﺎت ﻛﺎﻣﻠﺔ وﱂ ﺗﺘﻀﻤﻦ ﻣﺸﺎرﻳﻊ ﺣﻘﻴﻘﻴﺔ.
ﺑﺸﻜﻞ ﻣﺸﺎﺑﻪ ﻓﺈن اﳍﺪف ﻣﻦ ﺗﺼﻤﻴﻢ اﻻﺧﺘﺒﺎر اﻟﻼﺣﻖ ﻟﻠﺠﻠﺴﺔ ) (Pre-Lab Testاﻟﺬي ﻳﺘﻢ إﺟﺮاؤﻩ ﺑﻌﺪ اﻻﻧﺘﻬﺎء ﻣﻦ اﳉﻠﺴﺔ اﻟﺮﺋﻴﺴﻴﺔ ﻫﻮ
ﻣﻦ أﺟﻞ اﻟﺘﺤﻘﻖ ﻓﻴﻤﺎ إذا ﰎ ﺗﻔﻌﻴﻞ ﳏﻮر ﻧﻘﻞ اﳌﻌﺮﻓﺔ ﰲ دورة ﻛﻮﻟﺐ ﺑﺸﻜﻞ ﻓﻌﺎل.
ﺑﺎﳋﻼﺻﺔ ﻓﺈن اﻻﺧﺘﺒﺎر اﻟﺘﻤﻬﻴﺪي ﰎ ﺗﺼﻤﻴﻤﻪ أﺳﺎﺳﺎً ﻟﻘﻴﺎس ﻣﺴﺘﻮى ﲢﻀﲑ اﻟﻄﻼب ﻗﺒﻞ ﺟﻠﺴﺔ اﳌﺨﱪ ،ﰲ ﺣﲔ أن اﻻﺧﺘﺒﺎر اﻟﻼﺣﻖ
ﻟﻠﺠﻠﺴﺔ ﰎ ﺗﺼﻤﻴﻤﻪ ﻟﻘﻴﺎس ﺣﺼﻴﻠﺔ اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب ﺑﻌﺪ ﺟﻠﺴﺔ اﳌﺨﺘﱪ .ﳝﻜﻦ اﻻﻃﻼع ﳕﻮذج ﻋﻦ اﻻﺧﺘﺒﺎر اﻟﺘﻤﻬﻴﺪي واﻻﺧﺘﺒﺎر اﻟﻼﺣﻖ ﰲ
اﳌﻠﺤﻖ.3-
إن ﻣﻨﻬﺠﻴﺔ ﻗﻴﺎس ﻓﻌﺎﻟﻴﺔ أي ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﺗﺸﱰط أن ﺗﻜﻮن أﺎر وﻣﻌﺪﻻت اﻟﻄﻼب ﻣﺘﺴﺎوﻳﺔ ﻟﻜﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ،ﻛﻤﺎ ﺗﺸﱰك أن ﻳﻜﻮن
اﻟﻄﻼب ﻣﻦ ﺧﻠﻔﻴﺔ ﺗﺮﺑﻮﻳﺔ ﻣﺸﱰﻛﺔ.
ﻋﺪد اﻟﻄﻼب ﰲ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ )اﳌﻌﻴﺎرﻳﺔ( ﻫﻮ N=31ﻃﺎﻟﺒﺎً؛ وﻣﺘﻮﺳﻂ أﻋﻤﺎرﻫﻢ 22.47ﺳﻨﺔ؛ واﳌﻌﺪل اﻟﻮﺳﻄﻲ ﳌﻌﺪﻻﺗﻢ اﻟﺪراﺳﻴﺔ
ﺧﻼل اﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺜﻼث اﻷوﱃ ﻳﺴﺎوي .66.45%
ﻋﺪد اﻟﻄﻼب ﰲ اﺠﻤﻟﻤﻮﻋﺔ اﻟﺘﺠﺮﻳﺒﻴﺔ )اﻻﺧﺘﺒﺎرﻳﺔ( ﻫﻮ N=31ﻃﺎﻟﺒﺎً؛ وﻣﺘﻮﺳﻂ أﻋﻤﺎرﻫﻢ 22.65ﻨﺔ؛ واﳌﻌﺪل اﻟﻮﺳﻄﻲ ﳌﻌﺪﻻﻬﺗﻢ
اﻟﺪراﺳﻴﺔ ﺧﻼل اﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺜﻼث اﻷوﱃ ﻳﺴﺎوي .67.89%
ﲨﻴﻊ اﻟﻄﻼب ﻣﻦ ﺧﻠﻔﻴﺔ ﺗﻌﻠﻴﻤﻴﺔ واﺣﺪة وﻣﺘﻤﺎﺛﻠﺔ – أي أن ﻟﻐﺔ اﳌﻘﺮر ﻫﻲ اﻟﻠﻐﺔ اﻷم ﻟﻠﻄﻼب واﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺜﺎﻧﻮﻳﺔ واﳉﺎﻣﻌﻴﺔ ﻣﺸﱰﻛﺔ
ﰲ ﻣﻨﻬﺎﺟﻬﺎ .ﻌﺪل اﻟﻮﺳﻄﻲ ﻟﻨﺘﺎﺋﺞ اﻻﺧﺘﺒﺎرات اﻟﺴﺎﺑﻘﺔ واﻟﻼﺣﻘﺔ ﳉﻠﺴﺔ اﳌﺨﺘﱪ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺘﲔ ﻣﺒﻴﻨﺔ ﺑﺎﳉﺪول.1-5
Means Means
Variable
Classical Group Experimental Group
Students Age 22.74 22.65
Previous Years Average 66.45 67.98
Pre-Lab 1 Test 79.29 91.13
Pre-Lab 2 Test 77.39 91.61
Post-Lab 1 Test 83.84 94.74
Post-Lab 2 Test 78.29 94.03
اﳉﺪول 1-5اﳌﻌﺪﻻت اﻟﻮﺳﻄﻴﺔ ﻷﻋﻤﺎر اﻟﻄﻼب وﻧﺘﺎﺋﺞ اﻻﺧﺘﺒﺎرات وﻣﻌﺪل اﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺴﺎﺑﻘﺔ )(N=31/31
Different Outcome
Equivalent Groups
Experimental Group
اﻟﺸﻜﻞ 21-5ﻣﻨﻬﺠﻴﺔ ﻗﻴﺎس اﻟﻔﻌﺎﻟﻴﺔ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ ﰲ ﳕﻮذج اﳌﺨﱪ اﻻﻓﱰاﺿﻲ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 262
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﳌﻘﺎرﻧﺔ اﻟﻨﺘﺎﺋﺞ ﻧﻄﺒﻖ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﻟﻠﻔﺮﺿﻴﺔ ﺑﺎﺳﺘﺨﺪام اﻟﱪﻧﺎﻣﺞ SPSSﳊﺴﺎب اﻟﻘﻴﻤﺔ اﻟﺪﻻﻟﻴﺔ p-valueوﻓﻘﺎً ﻻﺧﺘﺒﺎرMann-
Whiteny؛ إن ﻧﺘﺎﺋﺞ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﻟﻠﻤﻨﻬﺠﻴﺔ اﳌﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 21-5أﻇﻬﺮت اﻟﺘﺎﱄ:
ﺟﺪ ﻓﺮق دﻻﻟﺔ إﺣﺼﺎﺋﻴﺔ ﺑﲔ ﻣﺘﻮﺳﻂ أﻋﻤﺎر اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ).(p=0.475>0.05
ﻻ ﻳﻮﺟﺪ ﻓﺮق دﻻﻟﺔ إﺣﺼﺎﺋﻴﺔ ﺑﲔ ﻣﺘﻮﺳﻂ ﻣﻌﺪﻻت اﻟﻄﻼب ﻟﻠﺴﻨﻮات ﺑﻘﺔ ﻣﻦ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ
) p=0.338>0.05ﳑﺎ ﻳﻌﲏ أن ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﻣﻦ ﻧﻔﺲ ﻓﻀﺎء اﻟﻌﻴﻨﺔ.
ﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻨﺘﺎﺋﺞ اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻓﺎق ﺑﺸﻜﻞ واﺿﺢ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻨﺘﺎﺋﺞ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﰲ ﲨﻴﻊ اﻻﺧﺘﺒﺎرات
اﻟﺴﺎﺑﻘﺔ واﻟﻼﺣﻘﺔ ﳉﻠﺴﺔ اﳌﺨﺘﱪ اﻷﺳﺎﺳﻴﺔ.
إن ﻗﻴﻤﺔ اﻟﺪاﻟﺔ p-valueﻟﻨﺘﺎﺋﺞ اﻻﺧﺘﺒﺎرات اﻟﺴﺎﺑﻘﺔ واﻟﻼﺣﻘﺔ ﳉﻠﺴﺔ اﳌﺨﺘﱪ اﻷﺳﺎﺳﻴﺔ أﻇﻬﺮت اﻟﻘﻴﻤﺔ p=0.00واﻟﱵ ﻫﻲ أﻗﻞ
ﺑﻜﺜﲑ ﻣﻦ ﻋﺘﺒﺔ اﻟﻘﺒﻮل واﻟﺮﻓﺾ اﻟﻘﻴﺎﺳﻴﺔ ) ،(p=0.05واﻟﺬي ﺑﺪورﻩ ﻳﺸﲑ إﱃ دﻟﻴﻞ ﺣﺘﻤﻲ 100%ﻋﻠﻰ رﻓﺾ اﻟﻔﺮض اﻟﺼﻔﺮي
وﻳﻘﻮد إﱃ اﻟﻨﺘﺎﺋﺞ اﻟﺘﺎﻟﻴﺔ:
(1إن ﺟﻠﺴﺔ اﻟﺘﺤﻀﲑ اﳌﺨﱪﻳﺔ ) (Pre-Labﺗﻔﻌﻞ ﺑﺸﻜﻞ ﻛﺒﲑ ﺑُﻌﺪ اﻟﺘﺤﺼﻴﻞ ” “Prehensionواﻟﺬي ﺑﺪورﻩ ﻳﺆدي إﱃ
ﲢﺼﻴﻞ إدراﻛﻲ أﻛﱪ ﻟﻨﺎﺗﺞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ.
(2إن اﳉﻤﻊ ﺑﲔ ﻗﺮاءة دﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ واﳌﺨﱪ اﻻﻓﱰاﺿﻲ ) (Virtualﰲ ﺟﻠﺴﺔ اﻟﺘﺤﻀﲑ اﳌﺨﱪﻳﺔ ﻳﺆدي إﱃ ﺣﺼﻴﻠﺔ ﺗﻌﻠﻢ
أﻓﻀﻞ ﺑﻜﺜﲑ ﻣﻦ اﻻﻛﺘﻔﺎء ﺑﺪﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ ﻓﻘﻂ.
(3إن ﻟﻠﻤﺨﺘﱪ اﻻﻓﱰاﺿﻲ دور ﻫﺎم ﺟﺪاً ﰲ ﲢﻀﲑ اﻟﻄﻼب ﳉﻠﺴﺔ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ) ،(Hands-onوﻫﻮ ﻳﻌﺰز ﻣﻦ
ﺣﺼﻴﻠﺔ اﻟﺘﻌﻠﻢ وﻳﻌﻤﻖ اﻷﺛﺮ اﻹدراﻛﻲ ﳌﻀﻤﻮن اﻟﺘﺠﺮﺑﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﻨﻈﺮي واﻟﺘﻄﺒﻴﻘﻲ.
ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ اﻟﺪراﺳﺔ وﻧﺘﺎﺋﺠﻬﺎ ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺗﺮﺑﻮﻳﺔ ﲝﺜﻴﺔ ﰲ اﻟﺒﺤﺚ].[804
اﻟﺸﻜﻞ 22-5ﻳﺒﲔ ﻧﺘﺎﺋﺞ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﻟﻔﺮﺿﻴﺔ اﳌﺨﺘﱪ اﻻﻓﱰاﺿﻲ وﺟﻠﺴﺎت اﻟﺘﺤﻀﲑ اﳌﺨﱪي ،ﺣﻴﺚ أن ﻋﺪد اﻟﻌﻴﻨﺎت ﻫﻮ
.N=31/31
263 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
اﻟﺸﻜﻞ 22-5ﻧﺘﺎﺋﺞ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﻟﻔﺮﺿﻴﺔ اﳌﺨﺘﱪ اﻻﻓﱰاﺿﻲ ودور ﺟﻠﺴﺎت اﻟﺘﺤﻀﲑ اﳌﺨﱪي )(N=31/31
ﻧﺤﻮ ﺑﻨﺎء ﻣﺨﺘﺒﺮ ﻫﺠﻴﻦ ﻣﺘﻌﺪد اﻷﻧﻤﺎط )”:(Toward a Multi-Style Lab - “Hybrid Lab 5-5
ﻫﺬا اﻟﻨﻮع ﻣﻦ اﳌﺨﺎﺑﺮ ﻳﻀﻢ أﻛﺜﺮ ﻣﻦ ﳕﻂ ﻣﻦ أﳕﺎط اﳌﺨﺎﺑﺮ ،وﻗﺪ ﻗﻤﻨﺎ ﺑﺘﺼﻤﻴﻢ اﻟﻨﻤﻮذج اﳋﺎص ﺑﻪ ،ﺣﻴﺚ ﻳﻀﻢ ﻫﺬا اﻟﻨﻤﻮذج ﺛﻼث أﳕﺎط
ﳐﺘﻠﻔﺔ ﻟﻠﻤﺨﺘﱪات وﻫﻲ :اﳌﺨﺘﱪ اﻻﻓﱰاﺿﻲ ) ،(Virtual Labاﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ) ،(Hands-onﳐﺘﱪ اﻟﺘﻌﻠﻢ ﻋﻦ ﺑﻌﺪ ) Remote
.(Labاﻟﺸﻜﻞ 23-5ﺒﲔ اﻟﻌﻼﻗﺔ ﺑﲔ أﻧﻮاع اﳌﺨﺎﺑﺮ وﺗﺼﻨﻴﻔﺎﻬﺗﺎ واﻻرﺗﺒﺎط ﻣﻊ اﻟﻨﻤﻮذج اﳌﻘﱰح ﻟﻠﻤﺨﱪ اﳍﺠﲔ ﻣﺘﻌﺪد اﻷﳕﺎط “Multi-
Laboratory Style
Standalone Hands-on
Divergent Remote
اﻟﺸﻜﻞ 23-5ﻟﻌﻼﻗﺔ ﺑﲔ أﻧﻮاع اﳌﺨﺎﺑﺮ وﺗﺼﻨﻴﻔﺎﻬﺗﺎ واﻻرﺗﺒﺎط ﻣﻊ اﻟﻨﻤﻮذج اﳌﻘﱰح ﻟﻠﻤﺨﱪ اﳍﺠﲔ ﻣﺘﻌﺪد اﻷﳕﺎط
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 264
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻟﺘﻤﺜﻴﻞ اﻟﺮﻳﺎﺿﻲ ﻟﻠﺤﻠﻘﺔ اﻟﻤﻔﺘﻮﺣﺔ واﻟﻤﻐﻠﻘﺔ ):(Open/Close Loop Mathematical Model 6-5
ﻟﻘﺪ ﺗﻘﺪم ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ اﺳﺘﺨﺪام ﻣﻨﻬﺠﻴﺎت ﻫﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ) (Control Systems Engineeringﰲ اﻟﻌﻠﻮم اﻟﱰﺑﻮﻳﺔ
واﻟﺘﻌﻠﻴﻤﻴﺔ] ،[623,624وﰎ ﺗﻮﺿﻴﺢ اﻟﻌﻼﻗﺔ ﺑﲔ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ وﻫﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ،ﺣﻴﺚ أن ﻫﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﺗﻄﺒﻖ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ
اﻟﺘﺨﺼﺼﺎت ﻏﲑ اﻟﺘﻘﻠﻴﺪﻳﺔ ﻣﺜﻞ :اﻟﺒﻴﻮﻟﻮﺟﻴﺎ] ،[751,752اﻻﻗﺘﺼﺎد] ،[753اﳌﻮارد اﳌﺎﻟﻴﺔ] ،[754اﻟﺴﻴﺎﺳﺔ] ،[755اﻹدارة] ،[756ﻫﻨﺪﺳﺔ اﻟﱪﳎﻴﺎت]،[757
ﻫﻨﺪﺳﺔ اﻷﻧﱰﻧﺖ] ،[758اﻟﻌﻠﻮم اﻟﻔﻴﺰﻳﺎﺋﻴﺔ] ،[759ﺑﺎﻹﺿﺎﻓﺔ إﱃ ﻋﻠﻮم اﻟﻨﻔﺲ اﻟﺴﻴﻜﻮﻟﻮﺟﻴﺔ] ،[533إﻻ أن ﻫﺬﻩ اﳌﻨﻬﺠﻴﺎت ﻣﺎﺗﺰال ذات اﺳﺘﺨﺪام
ﻧﺎدر ﰲ اﻟﺘﺤﻠﻴﻞ اﻟﻜﻤﻲ ﰲ اﻟﻌﻠﻮم اﻟﺘﺪرﻳﺴﻴﺔ واﻟﺒﻴﺪاﻏﻮﺟﻴﺔ ،وذﻟﻚ ﳝﻜﻦ ﻋﺰوﻩ إﱃ ﺗﺸﻜﻚ وإﺣﺠﺎم اﻟﻌﻠﻤﺎء واﻟﺒﺎﺣﺜﲔ اﻟﱰﺑﻮﻳﲔ ﻋﻦ اﺳﺘﺨﺪام
اﻟﻨﻤﺎذج اﻟﺮﻳﺎﺿﻴﺔ اﻟﺘﺤﻠﻴﻠﻴﺔ ﻟﻮﺻﻒ اﻟﻌﻤﻠﻴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ).[760](Pedagogical Processes
إن ﻫﺬا اﻹﺣﺠﺎم ﻳﻌﻮد إﱃ ﻛﻮن ﻋﻠﻤﺎء اﻟﻨﻔﺲ ،وﺧﺎﺻﺔ اﻟﱰﺑﻮﻳﻮن ،ﳝﻴﻠﻮن إﱃ ﻛﻮ�ﻢ ذاﺗﻴﲔ ﻏﲑ ﻣﻮﺿﻮﻋﻴﲔ ) (Subjectiveﻳﺘﺪﺧﻞ اﻟﻌﻨﺼﺮ
اﻟﺸﺨﺼﻲ ﰲ ﺗﻘﻴﻴﻤﻬﻢ ﻟﻸﺳﺲ واﳌﻨﻬﺠﻴﺎت ،ﺣﻴﺚ ﻳﻌﺘﻤﺪون ﻋﻠﻰ اﻟﻄﺮق اﻟﻜﻴﻔﻴﺔ ) (Qualitativeﰲ اﻟﺘﺤﻠﻴﻞ .ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈن
اﻟﺒﺎﺣﺜﲔ ﰲ اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ ﻳﻌﺘﱪون ﻣﻮﺿﻮﻋﻴﲔ ) (Objectiveإﱃ ﺣﺪ ﻛﺒﲑ ،وﻳﻌﺘﻤﺪون ﻋﻠﻰ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ ﺑﺎﺳﺘﺨﺪام اﻟﻄﺮق اﻟﻜﻤﻴﺔ
) .(Quantitativeوﻛﻤﺎ ﻫﻮ واﺿﺢ ﻓﺈن ﻫﻨﺎك ﺗﺒﺎﻳﻨﺎً ﻛﺒﲑاً ﺑﲔ ﻛﻼ اﳌﻨﻬﺠﻴﺘﲔ؛ ﻋﻠﻰ ﻛﻞ ﺣﺎل ،ﻓﺈن اﻟﻨﻈﺮﻳﺎت اﻟﱰﺑﻮﻳﺔ ﱂ ﺗﻮﺿﻊ ﰲ اﻟﺘﻄﺒﻴﻖ
اﻟﻌﻤﻠﻲ إﻻ ﺑﻌﺪ دﺧﻮل اﻟﻌﻠﻮم اﻟﺮﻳﺎﺿﻴﺔ واﳍﻨﺪﺳﻴﺔ ﻋﻠﻴﻬﺎ ،وﻫﺬا ﻣﺎ أﺻﺒﺢ ﻋﻠﻤﺎء اﻟﱰﺑﻴﺔ واﻟﺘﻌﻠﻴﻢ ﻳﻘﺮوﻧﻪ ﺣﺪﻳﺜﺎً.
Evaluation
Reference Controller Educational Process Outcome
+
)(Goals - )(Teacher )(Student Learning
Sensor
)(Assessment
(Assessmentﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ .إن ذﻟﻚ ﳝﻜﻦ ﺗﻔﺴﲑﻩ ﻋﻤﻮﻣﺎً ﺑﻜﻮن ﻣﻌﻈﻢ اﶈﺎﺿﺮﻳﻦ ﻟﺪﻳﻬﻢ ﺿﻌﻒ ﰲ ﻓﻬﻢ اﻷﻧﻈﻤﺔ
اﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ].[640,761-764
إن اﻟﻨﻤﺎذج اﻟﺮﻳﺎﺿﻴﺔ أﻛﺜﺮ دﻗﺔ ﻣﻦ اﻟﻨﻤﺎذج اﻟﻮﺻﻔﻴﺔ أو اﻟﺘﺼﻮرﻳﺔ اﳌﻔﻬﻮﻣﻴﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻣﻦ ﺧﻼل اﺳﺘﺨﺪام ﻣﻨﻬﺠﻴﺎت ﻧﻈﺮﻳﺔ اﻟﺘﺤﻜﻢ
ﻟﻨﻤﺬﺟﺔ اﻟﺴﻠﻮك اﻟﻨﻔﺴﻲ اﻻﺟﺘﻤﺎﻋﻲ ) (Socio-psychological Behaviorﳝﻜﻦ أن ﻳﺆدي إﱃ اﻗﱰاح ﺗﻘﻨﻴﺎت ﲢﻜﻢ ﻋﻠﻰ ﳓﻮ ﻓﻌﺎل
ﻟﺘﻮﺟﻴﻪ ﻋﻤﻠﻴﺔ اﻟﺘﺤﺼﻴﻞ اﻟﻌﻠﻤﻲ ﳓﻮ ﲢﻘﻴﻖ اﻷﻫﺪاف اﳌﺮﺟﻮة.
اﻟﻨﻤﻮذج اﳌﻄﻮر ﰲ ﻫﺬا اﻟﻔﺼﻞ واﻟﺬي ﺳﻴﺘﻢ ﻣﻨﺎﻗﺸﺘﻪ ﻓﻴﻤﺎ ﻳﻠﻲ ﻳﺼﻒ ﺑﻄﺮﻳﻘﺔ رﻳﺎﺿﻴﺔ دﻳﻨﺎﻣﻴﻜﻴﺔ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﺿﻤﻦ ﳕﻮذﺟﲔ ﳐﺘﻠﻔﲔ ﻟﻠﺘﻌﻠﻴﻢ
واﻟﺘﻌﻠﻢ؛ ﳕﻮذج اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ) (Open-loopوﳕﻮذج اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ).(Close-loop
265 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
إن ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻢ واﻟﺘﻌﻠﻴﻢ ﳝﻜﻦ ﺑﻨﺎؤﻫﺎ ﰲ ﻃﻴﻒ واﺳﻊ ﻣﻦ اﻟﻄﺮاﺋﻖ واﻷﺳﺎﻟﻴﺐ اﻟﱵ ﺗﺘﻮزع وﻓﻘﺎً ﶈﻮر ذي ﻃﺮﻓﲔ:
-اﻟﻄﺮف اﻷول ﳝﺜﻞ ﳕﻮذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ) (Open-loop Learningواﻟﺬي ﻳﻘﺎﺑﻞ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ ﰲ ﺣﺎل ﻛﻮن
اﳌﻌﻠﻢ ﳏﻮر اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﺔ ) – (Teacher-centered Approachاﳌﻨﻬﺠﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ.
-اﻟﻄﺮف اﻟﻨﻘﻴﺾ ﳝﺜﻞ ﳕﻮذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ) (Close-loop Learningواﻟﺬي ﻳﻜﻮن ﻓﻴﻪ اﻟﻄﺎﻟﺐ ﳏﻮراً ﻟﻠﻌﻤﻠﻴﺔ
اﻟﺘﻌﻠﻴﻤﻴﺔ ) – (Student-centered Approachاﳌﻨﻬﺠﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ اﳊﺪﻳﺜﺔ.
إن ﳕﻮذج اﻟﺘﻌﻠﻢ ﰲ ﺣﺎل ﻛﻮن اﳌﻌﻠﻢ ﳏﻮر اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﺔ ﳝﺜﻞ ﳕﻮذﺟﺎً ﺳﻠﺒﻴﺎً ﻟﻨﻘﻞ اﳌﻌﺮﻓﺔ ﻣﻦ اﳌﺮﺳﻞ )اﳌﻌﻠﻢ( إﱃ اﳌﺴﺘﻘﺒﻞ )اﻟﻄﺎﻟﺐ( ،ﻣﺜﻞ
ﻫﺬا اﻟﻨﻤﻮذج ﻻ ﻳﻌﻄﻲ اﻟﻄﻼب اﻟﻔﺮﺻﺔ ﻟﺒﻨﺎء اﳌﻌﺮﻓﺔ .ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻓﺈن اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﺗﻌﺘﱪ ﺻﻔﺎت ﳑﻴﺰة ﻫﺎﻣﺔ ﻟﻠﺘﻌﻠﻢ
اﻟﺒﻨﺎﺋﻲ] ،[545ﻛﻤﺎ أن ﻋﻤﻠﻴﺔ ﺑﻨﺎء اﳌﻌﺮﻓﺔ ﻫﻲ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﻣﺴﺆوﻟﻴﺔ اﻟﻄﺎﻟﺐ].[545-551
ﻗﺒﻞ ﻋﻘﺪﻳﻦ ﻣﻦ اﻟﺰﻣﻦ ﻛﺎن ﻣﻔﻬﻮم اﻟﺘﻌﻠﻢ -ﻋﻠﻰ أﻧﻪ ﻋﻤﻠﻴﺔ ﻣﺮاﻛﻤﺔ ﺑﺴﻴﻄﺔ ﻟﻠﻤﻌﺮﻓﺔ ﻳﺘﻢ ﻧﻘﻠﻬﺎ ﻣﻦ اﳌﻌﻠﻢ إﱃ اﻟﻄﺎﻟﺐ -ﻣﻬﻴﻤﻨﺎً ﰲ اﻷﲝﺎث
اﻟﱰﺑﻮﻳﺔ] ،[650وﲟﺎ أن اﻟﺘﻌﻠﻢ ﻋﺒﺎرة ﻋﻦ ﻋﻤﻠﻴﺔ ﻣﺮاﻛﻤﺔ ﻟﻠﻤﻌﺮﻓﺔ ،ﻓﺈن اﻟﻨﻤﺬﺟﺔ ﳝﻜﻦ أن ﺗﺘﻢ ﺑﺸﻜﻞ ﻣﺸﺎﺑﻪ ﻫﻨﺪﺳﻴﺎً؛ ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :ﻋﻤﻠﻴﺔ
ﻣﻞء ﺧﺰان ﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ.25-5
إن اﳌﻀﺨﺔ ﺳﻮف ﺗﻘﻮم ﺑﻀﺦ اﻟﺴﺎﺋﻞ ﻣﻦ اﳌﺼﺪر إﱃ اﳋﺰان ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن إﺷﺎرة اﻟﺪﺧﻞ إﱃ اﳋﺰان ﲤﺜﻞ ﻣﻌﺪل ﺗﺪﻓﻖ اﻟﺴﺎﺋﻞ اﳋﺎرج ﻣﻦ
اﳌﻀﺨﺔ ،ﻛﻤﺎ أن ارﺗﻔﺎع ﻣﺴﺘﻮى اﻟﺴﺎﺋﻞ ﰲ اﳋﺰان ﻫﻮ ﻋﻤﻠﻴﺔ ﻣﺮاﻛﻤﺔ ﺗﻜﺎﻣﻠﻴﺔ ﻟﻠﺘﺪﻓﻖ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﺧﺮج اﻟﻨﻈﺎم ﻫﻮ ﻣﺴﺘﻮى اﻟﺴﺎﺋﻞ ﰲ
اﳋﺰان وﻫﻮ ﻳﻌﺘﻤﺪ ﻋﻠﻰ ﻋﺎﻣﻠﲔ رﺋﻴﺴﻴﲔ :ﻣﻌﺪل ﺗﺪﻓﻖ اﻟﺴﺎﺋﻞ ﻣﻦ اﳌﻀﺨﺔ ،وﺣﺠﻢ اﳋﺰان .إذا اﻓﱰﺿﻨﺎ ﺷﻜﻼً اﺳﻄﻮاﻧﻴﺎً ﻟﻠﺨﺰان ﻓﺈن اﻟﻌﻼﻗﺔ
ﺑﲔ اﻟﺪﺧﻞ واﳋﺮج ﺗﻌﻄﻰ ﺑﺎﳌﻌﺎدﻟﺔ:1-5
𝑌𝑑 1
= 𝑋 اﳌﻌﺎدﻟﺔ1-5
𝑑𝑑 𝐴
ﺣﻴﺚ ” :“Yﻣﺴﺘﻮى اﻟﺴﺎﺋﻞ ﰲ اﳋﺰان :“X” ،ﻣﻌﺪل ﺗﺪﻓﻖ اﻟﺴﺎﺋﻞ :“A” ،ﻣﺴﺎﺣﺔ ﻣﻘﻄﻊ اﳋﺰان.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 266
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
”“X
Flow Rate
Pump Input Output
X 𝑑𝑑 � 𝑋. Y
”“Y
Open-loop Process
Tank Level
وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ إﳚﺎد ﻣﺴﺘﻮى اﻟﺴﺎﺋﻞ ﰲ اﳋﺰان ﻛﺘﺎﺑﻊ ﻟﻠﺰﻣﻦ ﲟﻜﺎﻣﻠﺔ اﻟﻄﺮﻓﲔ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﺑﺎﳌﻌﺎدﻟﺔ:2-5
ﺑﻄﺮﻳﻘﺔ ﳑﺎﺛﻠﺔ ﲤﺎﻣﺎً ﳝﻜﻦ ﳕﺬﺟﺔ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ﺣﻴﺚ ﳝﺜﻞ اﳌﻌﻠﻢ ﻣﻀﺨﺔ اﻟﺘﻌﺒﺌﺔ وﻳﻘﻮم ﻋﻠﻰ ﻧﻘﻞ اﳌﻌﻠﻮﻣﺎت ﺧﻼل اﶈﺎﺿﺮات
ﲟﻌﺪل ﺗﺪﻓﻖ ”“X؛ ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت ﻣﻦ اﳌﻔﱰض ﺗﻜﺪﻳﺴﻬﺎ ﰲ ذﻫﻦ اﻟﻄﻼب اﳌﺘﻤﺜﻞ ﺑﺎﳋﺰان.
ﺑﺸﻜﻞ ﻋﺎم ﺳﻴﻘﻮم اﳌﻌﻠﻢ ﺑﺘﺼﻤﻴﻢ اﶈﺘﻮى اﻟﺘﻌﻠﻴﻤﻲ وﻣﻌﺪل ﺗﻮﺻﻴﻞ اﳌﻌﻠﻮﻣﺎت إﱃ اﻟﻄﻼب ﺑﻄﺮﻳﻘﺔ ﺗﻔﱰض وﺻﻮل اﻟﻄﻼب إﱃ ﻣﺴﺘﻮى ﳏﺪد
ﰲ �ﺎﻳﺔ اﳌﺮﺣﻠﺔ ،إﻻ أﻧﻪ ﰲ اﻟﺘﻌﻠﻴﻢ اﻟﺘﻘﻠﻴﺪي ﻻ ﻳﻮﺟﺪ ﻧﻈﺎم اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ – ﻣﺜﻞ اﻟﺘﻘﺪﻳﺮ واﻟﺘﻘﻴﻴﻢ اﻟﻠﺬان ﻳﺸﲑان إﱃ ﻣﺴﺘﻮى اﻹﳒﺎز واﻟﺬي
ﻫﻮ اﳌﻌﻠﻮﻣﺎت اﻟﱵ ﰎ ﺗﻌﻠﻤﻬﺎ ﺣﻘﻴﻘﺔ ﺑﻨﺠﺎح .اﻟﺸﻜﻞ 26-5ﻳﺒﲔ ﲤﺜﻴﻼً ﻟﻠﺘﻨﺎﻇﺮ اﻟﻮﻇﻴﻔﻲ ﺑﲔ ﻧﻈﺎم ﻣﻞء اﳋﺰان ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ وﻧﻈﺎم
اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ اﻟﺘﻘﻠﻴﺪي.
Information
اﻟﺸﻜﻞ 26-5ﳕﻮذج ﻫﻨﺪﺳﻲ ﻟﺘﻤﺜﻴﻞ ﻧﻘﻞ اﳌﻌﻠﻮﻣﺎت ﰲ ﻧﻈﺎم ﺗﻌﻠﻴﻢ وﺗﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ
ﺑﺸﻜﻞ ﻣﺸﺎﺑﻪ ﻟﻠﻨﻤﻮذج ﰲ اﳌﻌﺎدﻟﺔ 1-5ﻓﺈﻧﻪ ﳝﻜﻨﻨﺎ ﻛﺘﺎﺑﺔ اﻟﻨﻤﻮذج اﻟﺮﻳﺎﺿﻲ اﻟﺬي ﻳﺼﻒ اﻟﻌﻼﻗﺔ ﺑﲔ إﺷﺎرة اﻟﺪﺧﻞ )اﳌﻌﻠﻢ( واﳌﻌﻠﻮﻣﺎت
اﳌﻨﺘﻘﻠﺔ إﱃ اﻟﻄﺎﻟﺐ ﺑﺎﻟﻄﺮﻳﻘﺔ اﻟﻜﻼﺳﻴﻜﻴﺔ ﺑﺎﳌﻌﺎدﻟﺔ.3-5
𝑖𝑑
𝑇 = 𝑎. اﳌﻌﺎدﻟﺔ3-5
𝑑𝑑
267 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﺣﻴﺚ ” :“iاﳌﻌﻠﻮﻣﺎت اﻟﱰاﻛﻤﻴﺔ ﰲ ذﻫﻦ اﻟﻄﺎﻟﺐ )ﺧﺮج( :“T” ،ﻣﻌﺪل اﳌﻌﺮﻓﺔ اﳌﻨﺘﻘﻠﺔ ﻣﻦ اﳌﻌﻠﻢ )ﺳﺮﻋﺔ اﻟﺘﻌﻠﻴﻢ -دﺧﻞ( :“a” ،ﻣﺘﻐﲑ
ﳜﺘﻠﻒ ﻣﻦ ﻣﻬﻤﺔ ﺗﻌﻠﻢ ﻷﺧﺮى وﻣﻦ ﻃﺎﻟﺐ ﻵﺧﺮ.
ﰲ اﳊﺎﻟﺔ اﻟﻌﺎﻣﺔ ﻳﻌﺘﱪ اﳌﻌﺎﻣﻞ aﻛﺜﺎﺑﺖ )ﻛﻤﺎ ﰲ اﳌﻌﺎدﻟﺔ (1-5ﳝﺜﻞ ﻣﻌﺪل ﻗﺎﺑﻠﻴﺔ اﻟﺘﻌﻠﻢ اﳌﻔﱰض ﻟﻠﻄﻼب .أﻣﺎ اﳌﺘﺤﻮل iﻓﻴﻤﺜﻞ اﳌﻌﺮﻓﺔ
اﳌﻜﺎﻣﻠﺔ اﳌﻔﱰﺿﺔ ﰲ ذﻫﻦ اﻟﻄﺎﻟﺐ واﳌﻄﺎﺑﻘﺔ ﻟﻠﻜﻢ اﻟﺬي ﻳﻘﺪﻣﻪ اﳌﻌﻠﻢ دون أي ﺿﻴﺎﻋﺎت؛ وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻫﺬا اﻟﻨﻤﻮذج اﳌﺘﻤﺜﻞ ﺑﺎﳌﻌﺎدﻟﺔ3-5
ﳝﺜﻞ اﳌﻌﺮﻓﺔ اﳌﻨﺘﻘﻠﺔ ﻣﻦ اﳌﻌﻠﻢ واﻟﱵ ﻫﻲ ﻟﻴﺴﺖ ﺑﺎﻟﻀﺮورة ﻣﻘﺪار اﻟﺘﻌﻠﻢ اﻟﻔﻌﻠﻲ ،ﻛﻤﺎ أﻧﻪ ﻣﻦ اﻟﻮاﺿﺢ أن اﳌﺘﺤﻜﻢ اﻟﺮﺋﻴﺴﻲ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﰲ
ﻫﺬا اﻟﻨﻤﻮذج ﻫﻮ اﳌﻌﻠﻢ.
ﻣﻦ اﳌﻌﺪل اﳌﻔﱰض اﻟﻜﻠﻲ ،أي a = 0.5وﻓﻘﺎً ﻟﻠﻤﻌﺎدﻟﺔ3-5؛ وﺑﺎﻟﺘﺎﱄ ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﺳﻴﻜﻮن اﻟﺘﻘﺪم ﰲ ﻋﻤﻠﻴﺔ ﻧﻘﻞ اﳌﻌﻠﻮﻣﺎت أﺑﻄﺄ ﲟﻌﺪل
50%إذا ﺗﻮﺟﺐ ﻋﻠﻰ اﳌﻌﻠﻢ ﻣﻼﺣﻈﺔ ﺗﺄﺧﺮ اﻟﻄﻼب ذوي اﳌﺴﺘﻮى اﻷﻗﻞ ،اﻷﻣﺮ اﻟﺬي ﺳﻴﻌﻴﻖ اﻛﺘﻤﺎل اﳌﻘﺮر اﳌﺘﻮﺟﺐ إ�ﺎﺋﻪ وﻓﻖ اﳉﺪول
اﻟﺰﻣﲏ اﳌﻘﺮر ﻟﻪ؛ ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ إذا ﲡﺎﻫﻞ اﳌﻌﻠﻢ ﻫﺬا اﳌﻘﺪار ﰲ ﺗﺄﺧﺮ اﻟﻄﻼب ذوي اﳌﺴﺘﻮى اﻷﻗﻞ وﺗﺎﺑﻊ وﻓﻘﺎً ﳌﺴﺘﻮى اﻟﻄﻼب ذوي
اﳌﻘﺪرة اﻷﻋﻠﻰ ) ،(100%ﻓﺈن ﻓﺎرﻗﺎً ﻛﺒﲑاً ﺳﻴﻼﺣﻆ ﰲ ﻣﺴﺘﻮى اﻟﻄﻼب – ﺳﻴﺤﺼﻞ اﻟﻄﻼب اﻷﺿﻌﻒ ﻧﺼﻒ اﳌﻌﺮﻓﺔ اﳌﻜﺘﺴﺒﺔ ﻓﻘﻂ ،وﻟﻦ
ﺴﺘﻄﻴﻊ اﳌﻌﻠﻢ اﻟﺘﺤﻜﻢ ﻬﺑﺬا اﻟﺘﺄﺧﺮ .اﻟﺸﻜﻞ 27-5ﻳﺒﲔ ﻧﺘﺎﺋﺞ اﶈﺎﻛﺎة ﻟﻠﻨﻤﻮذج اﳌﺘﻤﺜﻞ ﺑﺎﳌﻌﺎدﻟﺔ ،3-5ﺣﻴﺚ ﳝﺜﻞ اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷﲪﺮ
ﻣﻌﺪل اﻟﺘﻘﺪم اﳊﻘﻴﻘﻲ ﻟﻠﻄﻼب ﻣﻘﺎﺑﻞ اﳌﻌﺪل اﳌﻔﱰض ﲢﻘﻴﻘﻪ ﺑﺎﻟﻠﻮن اﻷزرق.
اﻟﺸﻜﻞ 27-5ﳏﺎﻛﺎة أﺛﺮ اﻻﺧﺘﻼف ﰲ ﻗﺎﺑﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب ﻟﻨﻤﻮذج ﺗﻌﻠﻴﻤﻲ ﺑﺎﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 268
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﰲ دراﺳﺘﻨﺎ اﻟﺘﺤﻠﻴﻠﻴﺔ ﻫﺬﻩ ﺳﻨﺄﺧﺬ ﳕﻮذج ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن اﻷﺳﻲ ﻟـ ـ[765] Ebbinghausوﻳﻌﻄﻰ ﺑﺎﳌﻌﺎدﻟﺔ.4-5
ﺣﻴﺚ أن :“m” :اﳌﻌﻠﻮﻣﺎت اﳌﺴﺘﺬﻛﺮة ﺑﻌﺪ اﻧﻘﻀﺎء زﻣﻦ t؛ ” :“cﻛﻤﻴﺔ اﳌﻌﻠﻮﻣﺎت اﳌﺴﺘﺬﻛﺮة ﰲ وﻗﺖ ﺣﻔﻈﻬﺎ t=0؛ ” :“bﻣﻌﺪل اﳊﻔﻆ
وﻫﻮ ﻋﺎﻣﻞ ﻳﺘﺄﺛﺮ ﺑﺎﻟﻌﺪﻳﻞ ﻣﻦ اﻟﻌﻮاﻣﻞ اﻷﺧﺮى ﻣﺜﻞ :درﺟﺔ ﺗﻌﻘﻴﺪ اﳌﻌﻠﻮﻣﺎت اﶈﻔﻮﻇﺔ ،ﻗﻠﺔ اﻟﻨﻮم واﻹﺟﻬﺎد ﺧﻼل ﻣﺮاﺣﻞ ﻋﻤﻠﻴﺔ اﳊﻔﻆ،
اﻟﻌﻼﻗﺔ ﺑﲔ اﳌﻌﻠﻮﻣﺎت اﻟﱵ ﻳﺘﻢ ﺣﻔﻈﻬﺎ ﻣﻊ ﻣﻌﻠﻮﻣﺎت ﺳﺎﺑﻘﺔ ﳐﺰﻧﺔ ﰲ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ ).(Long-term Memory
ﰲ ﺣﺎﻟﺔ ﳕﻮذج اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ﻳﺘﻢ ﺗﻠﻘﻲ اﳌﻌﻠﻮﻣﺎت ﻣﺮة واﺣﺪة ﻓﻘﻂ ﺧﻼل اﶈﺎﺿﺮة وﻻ ﻳﺘﻢ ﻣﺮاﺟﻌﺘﻬﺎ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﳌﻌﻠﻮﻣﺎت اﶈﺘﻔﻈﺔ ﰲ
ذﻫﻦ اﻟﻄﺎﻟﺐ ﺗﺘﺄﺛﺮ واﻗﻌﻴﺎً ﺑﺸﻜﻞ ﻛﺒﲑ ﲟﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ،وﻋﻠﻴﻪ ﻓﺈن ﻣﻌﺎدﻟﺔ ﻣﻨﺤﲏ اﻟﻨﺴﻴﺎن اﳌﻮﺻﻮف ﺑﺎﳌﻌﺎدﻟﺔ 4-5ﳚﺐ ﻣﻜﺎﻣﻠﺘﻪ ﻣﻊ اﳌﻌﺎدﻟﺔ
اﻟﺘﻔﺎﺿﻠﻴﺔ ﻟﻨﻤﻮذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ﻟﻠﺤﺼﻮل ﻋﻠﻰ اﳌﻌﻠﻮﻣﺎت اﳌﺴﺘﺬﻛﺮة ﻓﻌﻠﻴﺎً ” “yﺑﻌﺪ زﻣﻦ – tاﳌﻌﺎدﻟﺔ.5-5
ﻋﻤﻠﻴﺎً ﳝﻜﻦ ﲤﺜﻴﻞ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﰲ ﳕﻮذج اﳌﻀﺨﺔ واﳋﺰان ﺑﺘﺴﺮب ﳛﺼﻞ ﰲ اﳋﺰان ﳝﻜﻦ ﲤﺜﻴﻠﻪ ﺑﺎﳌﻌﺎدﻟﺔ.6-5
𝑌𝑑 1
= ;) 𝑡𝑢𝑜𝑋 (𝑋 − 𝑌√ 𝑋𝑜𝑢𝑡 = 𝑘 . اﳌﻌﺎدﻟﺔ6-5
𝑑𝑑 𝑛𝑖 𝐴
ﺣﻴﺚ أن :𝑋𝑖𝑛 :ﻣﻌﺪل ﺗﺪﻓﻖ اﳌﻌﻠﻮﻣﺎت؛ 𝑡𝑢𝑜𝑋 :ﻣﻌﺪل اﻻرﺗﺸﺎح؛ :kﺛﺎﺑﺖ ﻳﻌﺘﻤﺪ ﻋﻠﻰ ﻃﺒﻴﻌﺔ ﻗﻴﻤﺔ اﻻرﺗﺸﺎح.
ﺑﻨﺎءً ﻋﻠﻴﻪ ﻓﺈن ﳕﻮذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ اﻟﺬي ﻳﺄﺧﺬ ﺑﻌﲔ اﻻﻋﺘﺒﺎر ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﳝﻜﻦ ﲤﺜﻴﻠﻪ ﺑﺎﳌﻌﺎدﻟﺔ.7-5
𝑑𝑑 اﳌﻌﺎدﻟﺔ7-5
;)𝑓 = 𝑎 (𝑇 − 𝑑√ 𝑓 = 𝑘 .
𝑑𝑑
ﺣﻴﺚ أن f :ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن وﻫﻮ ﺗﺎﺑﻊ ﻟﻠﻤﻌﻠﻮﻣﺎت اﳌﺴﺘﺬﻛﺮة.
اﻟﺸﻜﻞ 28-5ﻳﺒﲔ ﻧﺘﺎﺋﺞ اﶈﺎﻛﺎة ﻟﻠﻨﻤﻮذج اﳌﺘﻤﺜﻞ ﺑﺎﳌﻌﺎدﻟﺔ 7-5ﺣﻴﺚ أﻇﻬﺮت ﻧﺘﺎﺋﺞ اﶈﺎﻛﺎة ﳌﻌﻠﻮﻣﺎت ﰎ ﳏﺎﺿﺮﻬﺗﺎ ﺧﻼل ﺳﺎﻋﺔ ﻣﻦ اﻟﺰﻣﻦ
اﺳﺘﻨﺰاﻓﺎً ﰲ اﻹﺑﻘﺎء ﻋﻠﻰ اﳌﻌﻠﻮﻣﺎت ﺧﻼل ﺳﺒﻌﺔ أﻳﺎم ﻓﻘﻂ ،ﺣﻴﺚ ﳝﺜﻞ اﳌﻨﺤﲏ اﻷﲪﺮ واﻷزرق ﻗﻴﻤﺎً ﳐﺘﻠﻔﺔ ﻟﻜﻞ ﻣﻦ ،k, bﻫﺬﻩ اﻟﻘﻴﻢ ﰎ
ﺧﺘﻴﺎرﻫﺎ اﻋﺘﺒﺎﻃﻴﺎً ﻬﺑﺪف اﶈﺎﻛﺎة ،ﻧﺘﺎﺋﺞ وﺗﻘﻴﻴﻤﺎت ﻧﻮﻋﻴﺔ ﺗﻄﺒﻴﻘﻴﺔ ﰎ إﳒﺎزﻫﺎ ﺧﻼل ﻫﺬا اﻟﺒﺤﺚ )ﺳﻴﺘﻢ ﻣﻨﺎﻗﺸﺘﻬﺎ ﰲ اﻟﻔﻘﺮات اﻟﻼﺣﻘﺔ(.
ﻟﻘﺪ أﻇﻬﺮت ﻧﺘﺎﺋﺞ اﶈﺎﻛﺎة اﳌﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 28-5ﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻢ ﺑﺎﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ﺗﺄﺛﲑاً ﺳﻠﺒﻴﺎً ﻛﺒﲑاً ﻋﻠﻰ ﻋﻤﻠﻴﺔ اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت
ﻧﺘﻴﺠﺔ ﻟﺘﺄﺛﲑ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ،وأﻧﻪ ﺑﺪون ﻣﺮاﺟﻌﺔ ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت ﻓﺈ�ﺎ ﺗﻀﻤﺤﻞ ﺧﻼل وﻗﺖ ﻗﺼﲑ ﺟﺪاً.
269 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
اﻟﺸﻜﻞ 28-5ﳏﺎﻛﺎة أﺛﺮ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﻋﻠﻰ اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت ﻟﻨﻤﻮذج ﺗﻌﻠﻴﻤﻲ ﺑﺎﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ
2-1-2-6-5ﳕﻮذج اﻟﺘﻌﻠﻴﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ﻟﻌﺪة ﺟﻠﺴﺎت ):(Cascaded Open-loop Learning Model
ﰲ ﻫﺬﻩ اﻟﻔﻘﺮة ﻧﻌﺎﰿ اﻟﺘﺤﻠﻴﻞ واﶈﺎﻛﺎة ﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ﻟﻌﺪة ﳏﺎﺿﺮات أو ﺟﻠﺴﺎت ،اﻟﺸﻜﻞ 29-5ﳝﺜﻞ ﺳﻠﺴﻠﺔ ﻟﻨﻤﻮذج
اﻟﺘﻌﻠﻴﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ.
اﻟﻨﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻠﻴﺔ ﻟﻠﻤﺤﺎﻛﺎة ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﲤﺖ ﺑﻨﻔﺲ اﻟﻄﺮﻳﻘﺔ وﻫﻲ ﻣﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ.30-5
ﳝﺜﻞ اﳌﻨﺤﲏ اﳌﺪرج ﺑﺎﻟﻠﻮن اﻷﺳﻮد اﳌﻌﺮﻓﺔ اﳌﱰاﻛﻤﺔ اﳌﻘﺪﻣﺔ ﻣﻦ ﻗﺒﻞ اﳌﻌﻠﻢ ﺧﻼل 12-weekﲟﻌﺪل ﺟﻠﺴﺔ ﳌﺪة ﺳﺎﻋﺔ ﻛﻞ أﺳﺒﻮع؛ اﳌﻨﺤﲏ
ﺑﺎﻟﻠﻮن اﻷزرق ﳝﺜﻞ اﳌﻌﻠﻮﻣﺎت اﶈﺘﻔﻈﺔ ﰲ ﻋﻘﻞ اﻟﻄﻼب ) 10~20%ﻓﻘﻂ( ﻣﻊ وﺟﻮد أﺛﺮ ﻣﻌﺎﻣﻞ ﻧﺴﻴﺎن )(b=0.005؛ ﳝﺜﻞ اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن
اﻷﺧﻀﺮ اﳌﻌﺮﻓﺔ اﳌﻘﺪﻣﺔ ﻣﻦ ﻗﺒﻞ اﳌﻌﻠﻢ واﻟﱵ ﻫﻲ ﻋﻠﻰ ﺷﻜﻞ ﻧﺒﻀﻲ ﻳﺴﺘﻤﺮ ﳌﺪة ﺳﺎﻋﺔ ﻓﻘﻂ وﻻ ﻳﻮﺟﺪ ﻟﻪ ﳕﻂ ﺗﻜﺮاري.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 270
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﳝﺜﻞ ﳕﻮذج اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻫﻨﺎ ﻣﻨﻬﺠﻴﺔ ﺑﻨﺎﺋﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻣﺮﻛﺰﻳﺔ اﻟﻄﺎﻟﺐ ﰲ اﻟﺘﻌﻠﻢ ،ﻛﻤﺎ ﺗﺴﺘﻠﺰم ﻧﺸﺎط اﳌﺘﻌﻠﻤﲔ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،وﺗﺘﻤﻴﺰ
ﺑﺎﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﻔﻌﺎﻟﺔ واﳌﻤﺎرﺳﺎت اﳍﺎدﻓﺔ اﻟﱵ ﺗﻨﻌﻜﺲ إﳚﺎﺑﺎً ﻋﻠﻰ ﺑﻴﺌﺔ اﻟﺘﻌﻠﻢ واﻟﺘﻌﻠﻴﻢ.
ﻣﻦ اﻟﻨﺎﺣﻴﺔ اﻟﺮﻳﺎﺿﻴﺔ ﻓﺈن اﳌﻜﺎﻣﻞ ]𝑑 ∫[ ﰲ ﻣﻌﺎدﻟﺔ اﻟﺘﻜﺎﻣﻞ ﳝﺜﻞ ﺑﺎﱐ اﳌﻌﺮﻓﺔ واﻟﺬي ﻫﻮ اﳌﺘﻌﻠﻢ ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ؛ ﺣﻴﺚ أﻧﻪ ﺣﺎﳌﺎ ﻳﻌﻄﻲ اﳌﻌﻠﻢ
أﻫﺪاف اﻟﺘﻌﻠﻢ ) (Learning Objectivesاﻟﻮاﺿﺤﺔ واﶈﺪدة ﻟﻠﻄﺎﻟﺐ ،ﻓﺈن اﻟﻄﺎﻟﺐ ﺳﻴﺸﺮع ﰲ اﻟﻌﻤﻞ ﻋﻠﻰ إﻧﺸﺎء اﻟﻨﻤﺎذج اﻟﺬﻫﻨﻴﺔ
) (Mental Modelsاﻟﱵ ﺗﺒﲏ أﻫﺪاف اﻟﺘﻌﻠﻢ اﳌﻄﻠﻮﺑﺔ .ﺑﻌﺪ ذﻟﻚ ﺳﻮف ﻳﺘﻢ ﺗﻮﺟﻴﻪ اﳌﺘﻌﻠﻢ ) (Feedbackﻣﺮة أﺧﺮى ﲟﻌﻠﻮﻣﺎت ﺣﻮل
اﻟﻨﻤﺎذج اﻟﺬﻫﻨﻴﺔ اﻟﱵ أﻧﺸﺄت ﺑﻌﺪ ﺗﻘﻴﻴﻤﻬﺎ ﻣﻦ ﻗﺒﻞ اﳌﻌﻠﻢ؛ وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﳌﺘﻌﻠﻢ ﺳﻴﺘﻤﻜﻦ ﻣﻦ ﺗﻘﺪﻳﺮ اﻟﻔﺠﻮة ﺑﲔ ﻣﺎ ﰎ ﺗﻌﻠﻤﻪ ﻓﻌﻠﻴﺎً وﺑﲔ ﻣﺎ
ﳚﺐ ﺗﻌﻠﻤﻪ واﻗﻌﻴﺎً ،إن ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت اﳌﺮﲡﻌﺔ ﺣﻮل اﻟﻔﺠﻮة ﺗﺸﻜﻞ ﻣﺪﺧﻞ اﻟﺘﻌﻠﻢ ) (Learning Inputﻟﻠﻤﺘﻌﻠﻢ ،واﳌﺘﻌﻠﻢ ﻳﺴﺘﻤﺮ ﰲ ﻋﻤﻠﻴﺔ
ﺑﻨﺎء اﳌﻌﺮﻓﺔ ﺣﱴ اﻟﻮﺻﻮل إﱃ ﻣﺴﺘﻮى اﻟﺘﻌﻠﻢ اﳊﻘﻴﻘﻲ اﻟﻔﻌﻠﻲ اﳌﻄﺎﺑﻖ ﻷﻫﺪاف اﻟﺘﻌﻠﻢ .اﻟﺸﻜﻞ 31-5ﻳﺒﲔ ﳕﻮذج اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ.
)𝑡(𝑥
1 Actual
k +
-
e 𝑑𝑑 . � 𝑥 .
𝑑𝑑 𝑎 Learning
Assessmen
𝑑𝑑
Close-loop Process
Feedback
Assessment
Process Actual
+
Learning )(Students’ Learning Learning
Objectives Constructor ”“b
Close-loop Process Forgetting
Feedback
إن اﻟﻌﻤﻠﻴﺔ اﳌﺒﻴﻨﺔ ﺑﺎﻟﺸﻜﻞ 31-5ﳝﻜﻦ وﺻﻔﻬﺎ رﻳﺎﺿﻴﺎً ﺑﺎﳌﻌﺎدﻟﺔ ،8-5ﺣﻴﺚ “k” :ﻣﺘﺤﻮل ﺣﺎﻟﺔ داﺧﻠﻲ ﳝﺜﻞ ﻣﺴﺘﻮى اﻟﺘﻌﻠﻢ اﳊﻘﻴﻘﻲ )اﳌﻌﺮﻓﺔ
اﻟﱵ ﰎ ﻟﻠﺘﻮ ﺑﻨﺎؤﻫﺎ(؛ ” :“rﻫﺪف اﻟﺘﻌﻠﻢ )اﻹﺷﺎرة اﳌﺮﺟﻌﻴﺔ(؛ ́𝑎 :ﺛﺎﺑﺖ اﻟﺘﻌﻠﻢ وﳜﺘﻠﻒ ﻣﻦ ﻣﺘﻌﻠﻢ إﱃ آﺧﺮ.
𝑘𝑑 اﳌﻌﺎدﻟﺔ8-5
𝑟 = − 𝑎́ . 𝑘 +
𝑑𝑑
ﺑﺎﻟﻌﻮدة إﱃ ﻧﻈﺎم اﳌﻀﺨﺔ واﳋﺰان ،ﻓﺈن اﳌﺘﻌﻠﻢ ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﳝﺜﻞ اﳌﻀﺨﺔ ،ﰲ ﺣﲔ أن اﳌﻌﻠﻢ ﻳﻠﻌﺐ دور ﲢﺪﻳﺪ أﻫﺪاف وﻣﺼﺎدر اﻟﺘﻌﻠﻢ
واﻟﺬي ﳝﻜﻦ ﺗﺸﺒﻴﻬﻪ ﺑﺈﺷﺎرة اﻟﺘﺤﻜﻢ ﺑﺎﳌﻨﺴﻮب واﳌﺼﺪر اﻟﺬي ﺳﻮف ﺗﻀﺦ ﻣﻨﻪ اﳌﻀﺨﺔ إﱃ اﳋﺰان ،ﻛﺬﻟﻚ ﻓﺈن اﳌﻌﻠﻢ ﻳﺴﺎﻋﺪ ﰲ ﺗﻘﻴﻴﻢ
اﳌﺘﻌﻠﻢ وإﺑﺪاء اﳌﻼﺣﻈﺎت ﺣﻮل اﻟﻔﺠﻮة ﺑﲔ ﻣﺎ ﻫﻮ ﰲ ﻃﻮر ﺗﻌﻠﻤﻪ وﻣﺎ ﳚﺐ ﰲ اﳊﻘﻴﻘﺔ ﺗﻌﻠﻤﻪ .إن اﳌﺘﺤﻜﻢ ) (Controllerﰲ ﻫﺬا
اﻟﻨﻤﻮذج ﻣﻦ اﻟﻨﻮع اﻟﺒﺴﻴﻂ ،واﳌﺘﻌﻠﻢ ﻳﻠﻌﺐ اﻟﺪور اﻷﻛﱪ ﰲ اﻟﺘﺤﻜﻢ ﰲ ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،واﳌﺴﺘﻮى اﻷﻋﻠﻰ ﰲ اﻟﺘﻌﻠﻢ وﻓﻘﺎً ﻟﻨﻤﻮذج اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ
271 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﻳﺘﻤﺜﻞ ﺑﺎﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ) ،(Self-regulated Learningﺣﻴﺚ ﻳﺄﺧﺬ اﳌﺘﻌﻠﻢ ﻋﻠﻰ ﻋﺎﺗﻘﻪ اﳌﺴﺆوﻟﻴﺔ اﻟﻜﺎﻣﻠﺔ ﰲ :ﲢﺪﻳﺪ اﻷﻫﺪاف،
إﳚﺎد ﻣﺼﺎدر اﻟﺘﻌﻠﻢ ،ﲢﺪﻳﺪ اﻻﺳﱰاﺗﻴﺠﻴﺎت اﻷﻧﺴﺐ ،اﳌﺮاﻗﺒﺔ اﻟﺬاﺗﻴﺔ ﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ،واﻟﺘﻘﻴﻴﻢ اﻟﺬاﰐ.
راﺳﺎت ﻣﺘﻘﺪﻣﺔ ﰲ ﻃﻮر اﻟﺒﺤﺚ ﱂ ﻳﺘﻢ ﻃﺮق ﻣﻮﺿﻮﻋﺎﻬﺗﺎ ﻣﻦ ﻗﺒﻞ ﺳﺘﻜﻮن ﳏﻮراً ﻟﺒﺤﺚ اﻟﺪﻛﺘﻮراﻩ اﻟﺬي ﻫﻮ اﻣﺘﺪاد ﲣﺼﺼﻲ ﳍﺬا اﻟﺒﺤﺚ،
ﻫﺬﻩ اﻟﺪراﺳﺎت ﺳﻮف ﺗﺸﺘﻤﻞ ﻋﻠﻰ اﺳﺘﺨﺪام ﻧﻈﺮﻳﺎت اﻟﺘﺤﻜﻢ اﳌﺘﻘﺪﻣﺔ ﰲ ﺗﺼﻤﻴﻢ اﳌﺘﺤﻜﻢ واﻟﻨﻤﺬﺟﺔ ﻣﺜﻞ :ﺗﺼﻤﻴﻢ ﻣﺘﺤﻜﻢ رﻗﻤﻲ ،PID
اﳌﻨﻄﻖ اﻟﻐﺎﻣﺾ ) ،(Fuzzy Logicاﻟﺘﺤﻜﻢ اﻷﻣﺜﻠﻲ ) ،(Optimal Controlاﻟﺘﺤﻜﻢ اﻟﺘﻜﻴﻔﻲ ) ،(Adaptive Controlﻛﺸﻒ ﻫﻮﻳﺔ
اﻟﻨﻈﻢ )...(System Identification
ﻳﻌﺘﱪ ﳕﻮذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻟﻌﻤﻠﻴﺔ اﳌﺮاﻛﻤﺔ ﻟﻠﻤﻌﻠﻮﻣﺎت ﻧﻈﺎﻣﺎً ﺗﻘﺎرﺑﻴﺎً ﻣﺴﺘﻘﺮاً ) – [631](Asymptoticallyﻫﺬا ﻳﻌﲏ أن
اﳌﺘﻌﻠﻢ ﺳﻴﺼﻞ إﱃ اﻷﻫﺪاف اﶈﺪدة ﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻋﻨﺪ ﺑﻨﺎء اﳌﻌﺮﻓﺔ ،وﻫﺬا ﻳﺴﺘﻠﺰم أﻧﻪ ﰲ ﺣﺎل اﳓﺮاف اﻟﻨﻈﺎم ﻋﻠﻰ اﳍﺪف اﶈﺪد،
ﻓﺴﻮف ﻳﺘﻢ ﺗﺼﺤﻴﺢ اﳋﻄﺄ ذاﺗﻴﺎً ﻋﻦ ﻃﺮﻳﻖ اﻟﺘﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﺣﱴ ﻳﻌﻮد إﱃ اﻷﻫﺪاف اﳌﺮﻏﻮﺑﺔ.
ﻳﻌﺘﱪ ﳕﻮذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﳕﻮذﺟﺎً ﻗﻮﻳﺎً ) – (Robustﻫﺬا ﻳﻌﲏ أﻧﻪ ﻳﺴﺘﻄﻴﻊ اﻟﺘﻐﻠﺐ ﻋﻠﻰ ﺣﺎﻻت ﻋﺪم اﻻﺳﺘﻘﺮار
ﻤﻟﻬﻮﻟﺔ ) (Uncertaintyﻋﻦ ﻃﺮﻳﻖ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ،وﻫﺬا ﻳﺴﺘﻠﺰم -ﻣﻦ ﻣﻴﺰة اﻟﻘﻮة ﻟﻠﻨﻤﻮذج -ﺗﻘﻠﻴﺺ اﻟﻔﺠﻮة ﺑﲔ أداء اﻟﻄﻼب
ذوي اﳌﺴﺘﻮى اﳌﺘﺪﱐ ﻣﻊ اﻟﻄﻼب ﰲ اﳌﺴﺘﻮى اﻟﻄﺒﻴﻌﻲ.
إن أﺛﺮ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﳏﺪود أو ﻣﻬﻤﻞ ﰲ ﻧﻈﺎم اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻣﻘﺎرﻧﺔً ﻣﻊ ﻧﻈﺎم اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ.
ﺗﻈﻬﺮ أن اﻟﻄﺎﻟﺐ ذو ﻣﻘﺪرة ﺗﻌﻠﻢ ﻣﺘﻮﺳﻄﺔ ) – (50%اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷﲪﺮ – ﻳﺘﺄﺧﺮ ﺑﺄﻗﻞ ﻣﻦ 10%ﻓﻘﻂ ﻣﻦ ﻣﺴﺘﻮى اﻟﻄﺎﻟﺐ اﻟﻄﺒﻴﻌﻲ –
اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷزرق – ﻋﻨﺪ �ﺎﻳﺔ وﻗﺖ اﻟﺘﻌﻠﻢ اﳌﺨﺼﺺ ﳉﻠﺴﺔ واﺣﺪة ،وﺑﺎﻟﺘﺎﱄ إذا ﰎ إﺗﺎﺣﺔ وﻗﺖ إﺿﺎﰲ ،ﻓﺈن اﳌﻨﺤﲏ اﻷﲪﺮ ﺳﻴﻘﺎرب
اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷزرق وﺻﻮﻻً إﱃ ﻧﻘﻄﺔ اﻻﺳﺘﻘﺮار اﳌﺮﻏﻮﺑﺔ .اﻟﺸﻜﻞ 32-5ﻳﺒﲔ ﳏﺎﻛﺎة أﺛﺮ اﻻﺧﺘﻼف ﰲ ﻗﺎﺑﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب ﰲ ﳕﻮذج
ﺗﻌﻠﻴﻤﻲ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ.
إن ﻫﺬا اﻟﻮﻗﺖ اﻹﺿﺎﰲ ﻳﻌﺘﱪ ﻋﺎﻣﻼً ﻫﺎﻣﺎً ﺟﺪاً ﰲ ﺗﻌﺰﻳﺰ ﻓﺠﻮة ﰲ ﻣﺴﺘﻮى اﻟﻄﻼب اﳌﺘﺄﺧﺮﻳﻦ ﰲ ﻓﻬﻢ ﺑﻌﺾ اﳌﻮﺿﻮﻋﺎت وﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ
اﻻﺳﱰاﺗﻴﺠﻴﺎت ﻟﺪﻋﻢ ﻫﺬﻩ اﻟﻔﺠﻮة ﻣﺜﻞ:
-إﻧﺸﺎء ﺟﻠﺴﺎت ﳐﱪﻳﺔ ﲤﻬﻴﺪﻳﺔ ).(Pre-Lab Sessions
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 272
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻟﺘﻔﺎﺻﻴﻞ ﺣﻮل ﻫﺬﻩ اﳌﻌﺰزات ﺳﻮف ﻳﺘﻢ ﻣﻨﺎﻗﺸﺘﻬﺎ ﺗﻄﺒﻴﻘﻴﺎً ﻻﺣﻘﺎً ﰲ ﻫﺬا اﻟﻔﺼﻞ.
اﻟﺸﻜﻞ 32-5ﳏﺎﻛﺎة أﺛﺮ اﻻﺧﺘﻼف ﰲ ﻗﺎﺑﻠﻴﺔ اﻟﺘﻌﻠﻢ ﻟﻠﻄﻼب ﰲ ﳕﻮذج ﺗﻌﻠﻴﻤﻲ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ
ﻛﻤﺎ أن اﳌﻌﻠﻮﻣﺎت اﳉﺪﻳﺪة ﻳﺘﻢ ﺑﻨﺎؤﻫﺎ ﻋﻠﻰ ﻣﻌﻠﻮﻣﺎت ﳐﺰﻧﺔ ﻣﺴﺒﻘﺎً ﰲ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ وﻳﺘﻢ رﺑﻄﻬﺎ ﺑﺒﻌﻀﻬﺎ ،وﻛﻠﻤﺎ ﺗﻠﻘﻰ اﳌﺘﻌﻠﻢ إﺷﺎرة
ﻣﻦ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ )ذاﺗﺔ ،زﻣﻴﻞ ،ﻣﺪرس ،ﻣﺼﺪر (...ﺑﺄن ﺑﻌﺾ اﳌﻌﻠﻮﻣﺎت ﻧﺎﻗﺼﺔ )ﻣﻨﺴﻴﺔ( ،ﻓﺈﻧﻪ ﻳﻌﻤﻞ ﻋﻠﻰ اﺳﺘﻌﺎدﻬﺗﺎ ﻣﺮة أﺧﺮى؛ وﻳﺸﺎر
إﱃ أن ﺗﻜﺮار اﳌﻌﻠﻮﻣﺎت اﳌﺨﺰﻧﺔ ﰲ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ ﻋﻠﻰ ﻣﺪى ﻣﻦ اﻟﺰﻣﻦ ﻗﺪ ﻳﺴﺒﺐ ﰲ ﲣﺰﻳﻨﻬﺎ ﰲ اﻟﺬاﻛﺮة ﻟﻸﺑﺪ].[532
اﻟﺸﻜﻞ 33-5ﻳﺒﲔ أﺛﺮاً ﻃﻔﻴﻔﺎً ﻟﻨﺴﻴﺎن اﳌﻌﻠﻮﻣﺎت ﰲ ﳕﻮذج اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ )اﳌﻨﺤﲏ اﻷﲪﺮ( ﻣﻘﺎرﻧﺔً ﻣﻊ اﳊﺎﻟﺔ اﳌﺜﺎﻟﻴﺔ اﻟﱵ ﻟﻴﺲ ﻓﻴﻬﺎ ﻧﺴﻴﺎن
ﻟﻠﻤﻌﻠﻮﻣﺎت )اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷزرق(؛ إن ﻗﻴﻢ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن اﻟﱵ ﰎ ﺗﻌﻮﻳﻀﻬﺎ ﰲ ﳕﻮذج اﶈﺎﻛﺎة ﻫﻲ ﻧﻔﺴﻬﺎ اﻟﱵ ﰎ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ ﳕﻮذج
اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ.
ﻓﻴﻤﺎ ﻳﺄﰐ ﰲ ﻫﺬا اﻟﺒﺤﺚ ﻧﻘﺪم ﻧﺘﺎﺋﺞ ﻋﻤﻠﻴﺔ ﻟﻘﻴﺎس ﻋﺎﻣﻞ اﻟﻨﺴﻴﺎن ﻟﻨﻤﻮذج ﺗﻌﻠﻴﻤﻲ ﺗﻄﺒﻴﻘﻲ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ واﳌﻐﻠﻘﺔ.
إن ﳕﺎذج اﻟﺘﻌﻠﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ واﳌﻐﻠﻘﺔ ﺗﺴﺘﻄﻴﻊ وﺻﻒ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻌﻤﻠﻴﺎت واﻷﻧﻈﻤﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻣﺜﻞ :اﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ
اﳌﺸﺎرﻳﻊ] ،[802واﻟﺘﻌﻠﻢ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت] ،[805,806واﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ وﻓﻘﺎً ﻟﻨﻤﻮذج دورة ﻛﻮﻟﺐ.
273 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
اﻟﺸﻜﻞ 33-5ﳏﺎﻛﺎة أﺛﺮ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﻋﻠﻰ اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت ﻟﻨﻤﻮذج ﺗﻌﻠﻴﻤﻲ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ
2-2-2-6-5ﳕﻮذج اﻟﺘﻌﻠﻴﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻟﻌﺪة ﺟﻠﺴﺎت ):(Cascaded Close-loop Learning Model
ﰲ ﻫﺬﻩ اﻟﻔﻘﺮة ﻧﻌﺎﰿ اﻟﺘﺤﻠﻴﻞ واﶈﺎﻛﺎة ﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻟﻌﺪة ﳏﺎﺿﺮات أو ﺟﻠﺴﺎت؛ اﻟﺸﻜﻞ 34-5ﳝﺜﻞ ﺳﻠﺴﻠﺔ ﻟﻨﻤﻮذج
اﻟﺘﻌﻠﻴﻢ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ.
إن اﻟﻨﻤﻮذج ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﻳﺘﻄﻠﺐ اﲣﺎذ وﺗﺰوﻳﺪ اﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ ) (Formative Assessmentاﳌﺘﻜﺮر ﺧﻼل ﺟﻠﺴﺎت اﳌﻘﺮر اﳌﺮاد ﺗﻌﻠﻴﻤﻪ،
ﻛﻤﺎ ﻳﺘﻄﻠﺐ ﻣﻼﺣﻈﺔ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ ﻟﻠﻄﻼب ﺑﺸﻜﻞ ﻣﺴﺘﻤﺮ ،إﺿﺎﻓﺔً إﱃ ذﻟﻚ ﻓﺈﻧﻪ ﻣﻦ اﳌﻬﻢ ﺟﺪاً ﰲ ﻫﺬا اﻟﻨﻤﻮذج أن ﻳـَ ْﻌﻠﻢ اﻟﻄﻼب
ﺑﺸﻜﻞ واﺿﺢ ﺟﺪاً أ�ﻢ ﻫﻢ ﻣﻦ ﺳﻴﻘﻮﻣﻮن ﺑﺒﻨﺎء ﻣﻌﺮﻓﺘﻬﻢ وأن دور اﳌﻌﻠﻢ ﻳﻘﺘﺼﺮ ﻋﻠﻰ ﺗﻨﺴﻴﻖ وﺗﻮﺟﻴﻪ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻤﻬﻢ .إن ﳕﻮذج اﳊﺎﻟﺔ
ﻟﻠﻤﺨﻄﻂ اﳌﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ 34-5ﳝﻜﻦ ﻛﺘﺎﺑﺘﻪ ﺑﺎﳌﻌﺎدﻟﺔ.9-5
̇𝑑1 ́−𝑎1 0 … 0 𝑑1 1 0 ⋯ 0 𝑟1
𝑑̇ 2 ́−𝑎2 … 0 𝑑2 � 0� . �𝑟2
… � =� � � . � ⋮ � . 𝑒 −𝑏𝑡 + �0 1 ⋯
⋯ ⋮
⋮ ⋮ ⋮ ⋮ … ⋮ ⋮ ⋮
̇𝑛𝑑 0 0 ́𝑛𝑎… − 𝑛𝑑 0 0 ⋯ 𝑛𝑟 1
𝑦1 1 0 ⋯ 0 𝑑1
𝑦2 0 1 ⋯ � 0� . �𝑑2
�=�⋮�=𝑌 ⋯ ⋮
⋮ ⋮ ⋮
𝑛𝑦 0 0 ⋯ 1 𝑑 𝑛
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 274
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﺣﻴﺚ أن i=1,2,…,n) 𝑑𝑖 :اﳊﺎﻟﺔ اﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ اﻟﺪاﺧﻠﻴﺔ اﻟﱵ ﲤﺜﻞ اﳌﻌﺮﻓﺔ اﻟﱵ ﻳﺘﻢ اﻛﺘﺴﺎﻬﺑﺎ ﰲ ﻛﻞ ﳏﺎﺿﺮة )(i؛ :Yﻗﻴﺎﺳﺎت ﺗﺄﺧﺬ ﰲ
ﻛﻞ ﳏﺎﺿﺮة ﲤﺜﻞ ﻣﺴﺘﻮى اﻟﺘﻌﻠﻢ اﻟﻔﻌﻠﻲ ﻟﻠﻄﻼب؛ 𝑖𝑟 ) (i=1,2,…,nأﻫﺪاف اﻟﺘﻌﻠﻢ ﻟﻜﻞ ﳏﺎﺿﺮة ).(i
اﻟﻨﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻠﻴﺔ ﻟﻠﻤﺤﺎﻛﺎة ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ واﳌﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 35-5ﲤﺖ ﺑﻨﻔﺲ اﳌﻨﻬﺠﻴﺔ اﳌﺘﺒﻌﺔ؛ ﺣﻴﺚ ﳝﺜﻞ اﳌﻨﺤﲏ اﳌﺪرج ﺑﺎﻟﻠﻮن اﻷﺳﻮد
اﳌﻌﺮﻓﺔ اﳌﱰاﻛﻤﺔ اﳌﻘﺪﻣﺔ ﻣﻦ ﻗﺒﻞ اﳌﻌﻠﻢ ﺧﻼل 12-weekﲟﻌﺪل ﺟﻠﺴﺔ ﳌﺪة ﺳﺎﻋﺔ ﻛﻞ أﺳﺒﻮع؛ اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷزرق ﳝﺜﻞ أداء اﻟﻄﻼب
ذوي ﻣﻘﺪرة اﻟﺘﻌﻠﻢ اﻟﻄﺒﻴﻌﻴﺔ ) ،(100%ﺑﻴﻨﻤﺎ ﳝﺜﻞ اﳌﻨﺤﲏ ﺑﺎﻟﻠﻮن اﻷﲪﺮ أداء اﻟﻄﻼب ذوي ﻣﻘﺪرة اﻟﺘﻌﻠﻢ اﳌﺘﻮﺳﻄﺔ ) ،(50%وﰲ ﻛﻼ
اﳊﺎﻟﺘﲔ ﻳﺘﻢ ﺗﻀﻤﲔ أﺛﺮ ﻣﻌﺎﻣﻞ ﻧﺴﻴﺎن )(b=0.005؛ ﻧﻼﺣﻆ أن ﺧﺼﺎﺋﺺ اﻟﻘﻮة ﳍﺬا اﻟﻨﻤﻮذج ﺗﱪز واﺿﺤﺔ ﻣﻦ ﺧﻼل ﺗﻌﺰﻳﺰ أداء اﻟﻄﻼب
اﻷﺿﻌﻒ وﻣﻘﺎرﺑﺘﻬﻢ ﺑﺎﻷداء إﱃ اﻟﻄﻼب اﻟﻄﺒﻴﻌﻴﲔ ،ﻛﻤﺎ أﻧﻪ ﻣﻊ �ﺎﻳﺔ اﳉﺪول اﻟﺰﻣﲏ ﻟﻠﻤﻘﺮر ﺳﻴﻜﻮن ﻛﻞ اﻟﻄﻼب ﻗﺪ ﺣﻘﻖ ﲨﻠﺔ أﻫﺪاف
اﻟﺘﻌﻠﻢ ﺑﺸﻜﻞ ﻛﺎﻣﻞ وذﻟﻚ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ وﺟﻮد أﺛﺮ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﺑﺸﻜﻞ ﻃﻔﻴﻒ.
ﳑﺎ ﻻ ﺷﻚ ﻓﻴﻪ -وﻛﻤﺎ ﻫﻮ واﺿﺢ ﰲ ﻧﺘﺎﺋﺞ اﶈﺎﻛﺎة -أن ﳕﻮذج اﻟﺘﻌﻠﻴﻢ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ أﻇﻬﺮ اﻓﻀﻠﻴﺔ ذات دﻻﻟﺔ ﻛﺒﲑة ﻋﻠﻰ اﻟﻨﻤﻮذج
اﻟﺘﻘﻠﻴﺪي ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ،إﻻ أن ﻫﺬﻩ اﳌﺴﺄﻟﺔ ﻟﻴﺴﺖ ﺳﻬﻠﺔ اﻟﺘﺤﻘﻴﻖ ،وﻫﻲ ﲢﺘﺎج إﱃ ﺗﻄﺒﻴﻖ ﻣﻨﻬﺠﻴﺎت ﺗﻌﻠﻴﻢ وﺗﻌﻠﻢ ﺑﻨﺎﺋﻴﺔ ﻣﺒﺘﻜﺮة ،ﻛﻤﺎ
أ�ﺎ ﲢﺘﺎج إﱃ ﺗﻘﻴﻴﻢ ﺷﺎﻣﻞ وﻣﺮاﻗﺒﺔ وﻣﻼﺣﻈﺔ ﻣﺴﺘﻤﺮﻳﻦ ،اﻷﻣﺮ اﻟﺬي ﻳﺘﻄﻠﺐ ﺟﻬﺪ ﻛﺒﲑ ﻣﻦ اﳌﻌﻠﻢ.
ﻳﺘﻜﻮن اﻟﻨﻈﺎم ﻣﻦ أﺟﻬﺰة ﲢﻜﻢ ﻻﺳﻠﻜﻴﺔ ﺻﻐﲑة ﺗﺮﺳﻞ إﺟﺎﺑﺎت اﻟﻄﻼب -ﺑﺸﻜﻞ ﻋﺎم ﺗﻜﻮن اﻷﺳﺌﻠﺔ ذات ﳕﻂ ﻣﺘﻌﺪد اﻻﺧﺘﻴﺎرات .ﻳﺘﻢ
ﲨﻊ ردود اﻟﻄﻼب ﻣﻦ ﺧﻼل ﺟﻬﺎز اﺳﺘﻘﺒﺎل ﻣﺮﺗﺒﻂ ﻣﻊ ﺑﺮﳎﻴﺎت ﺗﻘﻮم ﻋﻠﻰ ﲢﻠﻴﻞ اﻹﺟﺎﺑﺎت وﻋﺮﺿﻬﺎ ﻋﻠﻰ ﺷﻜﻞ ﳐﻄﻄﺎت.
ﻳﻄﻠﻖ ﻋﻠﻰ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ أﲰﺎء ﳐﺘﻠﻔﺔ ﻣﺜﻞ :ﻧﻈﺎم ﺗﺼﻮﻳﺖ اﳉﻤﻬﻮر ) ،[766,767](Audience Response Systemﻧﻈﺎم إﺟﺎﺑﺔ اﻟﻄﻼب
) ،[768-770](Student Response Systemﻧﻈﺎم اﻹﺟﺎﺑﺔ ﰲ اﻟﺼﻔﻮف اﻟﺘﻌﻠﻴﻤﻴﺔ )،[771,772](Classroom Response System
275 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﻧﻈﺎم اﻹﺟﺎﺑﺔ ﻟﻠﻤﺠﻤﻮﻋﺎت ) ،[773](Group Response Systemﻧﻈﺎم اﻹﺟﺎﺑﺔ اﻟﺸﺨﺼﻲ )(Personal Response System
[774-
] ،777وﻧﻈﺎم اﻟﺘﺤﻜﻢ ﺑﺎﻟﻨﻘﺮ ) ،[779-783](Clickersﻧﻈﺎم اﻟﺘﺼﻮﻳﺖ اﻹﻟﻜﱰوﱐ ).[778](Electronic Voting System
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﺣﻘﻴﻘﺔ أن ﻫﺬﻩ اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ ﺟﺪﻳﺪة ،إﻻ أن ﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ ﺗﻘﺎرﻳﺮ اﻷﲝﺎث اﻟﱵ ﺗﺸﲑ إﱃ اﺳﺘﺨﺪام ﻧﻈﺎم ARSﰲ
اﻟﺘﺨﺼﺼﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ اﳌﺨﺘﻠﻔﺔ ﲟﺎ ﰲ ذﻟﻚ ﳏﺎﺿﺮات اﻟﻌﻠﻮم واﳍﻨﺪﺳﺔ].[774,777,784-787
إن ﺗﻘﻨﻴﺔ ARSﺗﺴﺎﻋﺪ ﰲ اﻹﺑﻘﺎء ﻋﻠﻰ اﻧﺘﺒﺎﻩ اﻟﻄﻼب أﺛﻨﺎء اﶈﺎﺿﺮات ،ﺣﻴﺚ ﺗﺸﲑ اﻷﲝﺎث إﱃ أن اﻧﺘﺒﺎﻩ اﻟﻄﻼب ﻳﻨﺨﻔﺾ ﺧﻼل اﶈﺎﺿﺮة
ﺑﺸﻜﻞ ﺗﺪرﳚﻲ إﱃ أن ﺗﺒﺪأ اﻟﻌﺪﻳﺪ ﻣﻦ دورات اﳔﻔﺎض ﺣﺎد ﰲ اﻻﻧﺘﺒﺎﻩ ﺑﻌﺪ ﻣﺮور 10إﱃ 18دﻗﻴﻘﺔ ﻣﻦ وﻗﺖ اﶈﺎﺿﺮة] ،[788وﻗﺪ ﻳﻜﻮن ﻫﺬا
اﻟﺴﺒﺐ اﻟﺮﺋﻴﺴﻲ ﻟﻌﺪم ﺗﻠﻘﻲ اﳌﻌﻠﻮﻣﺎت ﻣﻦ ﻗﺒﻞ اﻟﻄﻼب ﻋﻠﻰ ﳓﻮ ﻓﻌﺎل؛ أﺣﺪ اﻟﻌﻼﺟﺎت ﳍﺬﻩ اﳌﺸﻜﻠﺔ ﻫﻲ ﺣﺚ اﻟﻄﻼب ﻋﻠﻰ اﻟﺘﻔﻜﲑ
ﺣﻮل أﺳﺌﻠﺔ واﻗﻌﻴﺔ ﺑﺸﻜﻞ ﻣﺘﻜﺮر ﻛﻞ 10دﻗﺎﺋﻖ ﺧﻼل اﶈﺎﺿﺮة].[789
ﻟﻘﺪ وﺟﺪت اﻷﲝﺎث اﻟﺘﺠﺮﻳﺒﻴﺔ اﳊﺪﻳﺜﺔ ﺗﺄﺛﲑاً إﳚﺎﺑﻴﺎً ﻻﺳﺘﺨﺪام ﻧﻈﺎم ARSﻋﻠﻰ ﻋﻤﻠﻴﺔ ﺗﻌﻠﻢ اﻟﻄﻼب] ،[790ﺣﻴﺚ أن ﻧﻈﺎم ARSﻳﻮﻓﺮ
اﻟﻔﺮﺻﺔ ﳉﻤﻴﻊ اﻟﻄﻼب ﻟﻠﻤﺸﺎرﻛﺔ ﺑﻄﺮﻳﻘﺔ ﻧﺸﻄﺔ ﺧﻼل اﶈﺎﺿﺮة ،واﻟﱵ ﺛﺒﺖ ﻓﻌﺎﻟﻴﺘﻬﺎ ﰲ ﺣﺎﻟﺔ اﳋﺠﻞ ﻟﺪى ﺑﻌﺾ اﻟﻄﻼب أو اﻟﻄﻼب اﻟﺬﻳﻦ
ﻳﻌﺎﻧﻮن ﻣﻦ اﺿﻄﺮاب اﻟﻜﻼم.
ﲡﺎرﻳﺎً ،ﻳﻮﺟﺪ اﻟﻌﺪﻳﺪ ﻣﻦ اﳊﻠﻮل اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﻟﺘﺠﺎرﻳﺔ ﻷﻧﻈﻤﺔ اﻟـ ARSﻣﺜﻞ ،[791]TurningPoint :ﻛﻤﺎ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﻣﻘﺎرﻧﺔ ﺑﲔ
أﺷﻬﺮ ﺳﺘﺔ ﻣﻨﺘﺠﺎت ﲡﺎرﻳﺔ ﻷﻧﻈﻤﺔ اﻟـ ARSﰲ دراﺳﺔ] .[779اﻟﺸﻜﻞ 36-5ﻳﺒﲔ ﻣﻜﻮﻧﺎت ﻧﻈﺎم اﻟﺘﺼﻮﻳﺖ اﻹﻟﻜﱰوﱐ .TurningPoint
إن ﺗﻘﻨﻴﺔ اﻟـ ARSﳝﻜﻦ أن ﺗﻜﻮن أداة ﻓﻌﺎﻟﺔ ﻟﺪﻋﻢ ﳕﻮذج اﻟﺘﻌﻠﻴﻢ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ أﺛﻨﺎء اﶈﺎﺿﺮات ،ﺣﻴﺚ ﺑﻌﺪ ﺑﺪاﻳﺔ اﶈﺎﺿﺮة ﺑـ 10~20دﻗﻴﻘﺔ
ﺳﻮف ﻳﻨﺨﻔﺾ اﻧﺘﺒﺎﻩ اﻟﻄﻼب ﺑﺸﻜﻞ ﻛﺒﲑ ،وﻋﻨﺪﻫﺎ ﺳﻮف ﳛﺘﺎج ﻟﻠﻤﻌﻠﻢ دﻟﻴﻼً ﻋﻠﻰ ﻗﺪرة اﻟﻄﻼب ﻋﻠﻰ اﺳﺘﻴﻌﺎب اﳌﻌﻠﻮﻣﺎت اﻟﱵ ﰎ ﺗﻘﺪﳝﻬﺎ
إﱃ ﻫﺬا اﳊﺪ ،وﻋﻨﺪﻫﺎ ﻗﺪ ﻳﻜﻮن اﻟﻮﻗﺖ ﻣﻨﺎﺳﺒﺎً ﻟﻠﺸﺮوع ﰲ اﻟﺘﺼﻮﻳﺖ ﺣﻮل ﺳﺆال ﳏﺪد ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ ARSاﻷﻣﺮ اﻟﺬي ﻳﺸﺪ اﻧﺘﺒﺎﻩ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 276
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻟﻄﻼب وﳛﻔﺰﻫﻢ ﻋﻠﻰ اﻟﻨﻘﺎش .ﺑﻌﺪ اﻟﺘﺼﻮﻳﺖ ﺗﻈﻬﺮ اﻟﻨﺘﺎﺋﺞ ﻋﻠﻰ ﺷﺎﺷﺔ اﻟﻌﺮض ﻣﺘﻀﻤﻨﺔً اﻹﺟﺎﺑﺎت واﻟﻨﺴﺐ واﻹﺟﺎﺑﺔ اﻟﺼﺤﻴﺤﺔ؛ ﳝﻜﻦ
ﻟﻠﻄﺎﻟﺐ ﻋﻨﺪﻫﺎ ﻣﻘﺎرﻧﺔ إﺟﺎﺑﺘﻪ ﻣﻊ اﻹﺟﺎﺑﺔ اﻟﺼﺤﻴﺤﺔ واﳊﺼﻮل ﻣﻦ اﳌﻌﻠﻢ ﻋﻠﻰ ﺗﻌﻠﻴﻖ ﺣﻮل اﻹﺟﺎﺑﺎت ﻣﻮﺿﺤﺎً اﻹﺟﺎﺑﺔ اﻟﺼﺤﻴﺤﺔ.
إﺿﺎﻓﺔ إﱃ ذﻟﻚ ﺳﻮف ﻳﺘﻠﻘﻰ اﳌﻌﻠﻢ ﺗﻌﻠﻴﻘﺎت ﻓﻮرﻳﺔ ﻣﻦ اﻟﱪﻧﺎﻣﺞ ﺣﻮل ﳐﻄﻂ اﻟﺘﻮزع اﻹﺣﺼﺎﺋﻲ ﻟﻺﺟﺎﺑﺎت ﻟﻴﻘﺮر ﻋﻨﺪﻫﺎ ﻓﻴﻤﺎ إذا ﻛﺎن ﻫﻨﺎك
ﺣﺎﺟﺔ ﻟﺘﻘﺪﱘ ﺷﺮح ﻣﻔﺼﻞ ﺣﻮل اﻟﻔﻜﺮة أو ﺗﻐﻴﲑ ﻣﺴﺎر اﶈﺎﺿﺮة أو اﻻﻧﺘﻘﺎل إﱃ اﻟﻔﻜﺮة اﻟﺘﺎﻟﻴﺔ؛ إن ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ ﺗﻌﺮف ﰲ اﻷﲝﺎث اﻟﱰﺑﻮﻳﺔ
ﺑﺎﻟﺘﻌﻠﻴﻢ اﳌﺸﱰط اﳌﺘﻮﻗﻒ ﻋﻠﻰ أﻣﻮر ﻣﺮﺗﺒﻄﺔ ).[792,793](Contingent Teaching
أﺧﲑاً ﻳﻮﺻﻰ ﻋﺎدة ﺑﻄﺮح ﺳﺆاﻟﲔ إﱃ ﲬﺲ أﺳﺌﻠﺔ ﺑﺎﺳﺘﺨﺪام ﻧﻈﺎم اﻟـ ARSﺧﻼل ﳏﺎﺿﺮة ﲤﺘﺪ ﻋﻠﻰ ﻣﺪى ﲬﺴﲔ دﻗﻴﻘﺔ].[775,786,794
ﺑﺎﳋﻼﺻﺔ ﻓﺈن أﻫﺪاف اﺳﺘﺨﺪام ﻧﻈﺎم اﻟـ ARSﻫﻲ :ﻗﻴﺎس ﻣﻌﺮﻓﺔ اﻟﻄﻼب ﲟﻮﺿﻮع اﳌﻘﺮر ﻗﺒﻞ اﻟﺒﺪء ﺑﺘﺪرﻳﺴﻪ )ﺗﻘﻴﻴﻢ أوﱄ( ،ﻗﻴﺎس ﻣﻮﻗﻒ
اﻟﻄﻼب ﻣﻦ اﳌﻮﺿﻮع ،ﲢﺪﻳﺪ ﻓﻴﻤﺎ إذا ﻗﺎم اﻟﻄﻼب ﺑﻘﺮاءة اﳌﻮﺿﻮﻋﺎت اﳌﺴﻨﺪة إﻟﻴﻬﻢ أم ﻻ ،ﺗﻔﻌﻴﻞ دور اﻟﻄﻼب ﰲ ﻣﻮاﺟﻬﺔ اﻻﻋﺘﻘﺎدات
اﳋﺎﻃﺌﺔ اﻟﺸﺎﺋﻌﺔ ،زﻳﺎدة ﲢﻔﻴﺰ اﻟﻄﻼب وﺷﺪ اﻧﺘﺒﺎﻫﻬﻢ إﱃ ﻣﻮﺿﻮع اﳌﻘﺮر ،اﺧﺘﺒﺎر ﻣﺴﺘﻮى ﻓﻬﻢ اﻟﻄﻼب )ﺗﻘﻴﻴﻢ ﺑﻨﺎﺋﻲ( وﻣﺴﺘﻮى اﻟﻔﻬﻢ
اﻟﺘﺼﻮري ،ﺗﺴﻬﻴﻞ اﳌﻨﺎﻗﺸﺔ وﺗﻮﺟﻴﻪ ﻤﻟﻤﻮﻋﺎت ،زﻳﺎدة ﻧﺴﺒﺔ اﳊﻀﻮر.
ﺗﻄﺒﻴﻖ ﻧﻤﻮذج اﻟﺤﻠﻘﺔ اﻟﻤﻔﺘﻮﺣﺔ واﻟﻤﻐﻠﻘﺔ ﺗﺮﺑﻮﻳﺎً ):(Conducting Open-Close Loop Model 7-5
ﻣﻦ أﺟﻞ ﺗﻄﺒﻴﻖ ﳕﻮذج اﻟﺘﺤﻜﻢ ﰲ اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ واﳌﻐﻠﻘﺔ ﺗﺮﺑﻮﻳﺎً ﰎ ﺗﻮزﻳﻊ اﻟﻄﻼب ﻋﻠﻰ ﳎﻤﻮﻋﺘﲔ ) -1ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ -2 ،ﻤﻟﻤﻮﻋﺔ
اﻟﻘﻴﺎﺳﻴﺔ( ﻣﻨﻔﺼﻠﺘﲔ ﺑﺎﻟﻜﺎﻣﻞ وﻣﺘﻨﺎﻇﺮﺗﲔ ﻣﻦ ﺣﻴﺚ أﻋﻤﺎر اﻟﻄﻼب ﻣﻌﺪﻻﻬﺗﻢ وﺟﻨﺴﻬﻢ ،ﻢ ﻛﻞ ﳎﻤﻮﻋﺔ ﻣﻦ ﻫﺬﻩ اﺠﻤﻟﻤﻮﻋﺎت 31ﻃﺎﻟﺒﺎً.
اﻻﺳﱰاﺗﻴﺠﻴﺔ اﳌﺘﺒﻌﺔ ﰲ ﺟﻠﺴﺔ اﳌﺨﱪ ﳐﺘﻠﻔﺔ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻜﻞ ﳎﻤﻮﻋﺔ؛ ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﰎ ﺗﺪرﻳﺴﻬﺎ ﰲ اﳌﺨﱪ ﺑﺎﻟﻄﺮﻳﻘﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﳌﺘﺒﻌﺔ )ﺣﻀﻮر
اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ ﻓﻘﻂ( ،ﻨﻤﺎ اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﰎ ﺗﺪرﻳﺴﻬﺎ وﻓﻘﺎً ﻻﺳﱰاﺗﻴﺠﻴﺔ ﻣﻨﻬﺞ اﻟﺘﻌﻠﻴﻢ اﻟﱰﺑﻮي اﻟﺒﻨﺎﺋﻲ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت
) (PrBLوإﳒﺎز اﳌﺸﺎرﻳﻊ ).(PjBL
277 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
Embedded Hands-On
Systems Lab Outcome
Teacher Students
Design
Course Questions
(Learningوإﳒﺎز اﳌﺸﺎرﻳﻊ ) ،(Project-based Learningﺣﻴﺚ ﰎ إﺳﻨﺎد ﻣﺸﻜﻠﺔ ﳏﺪدة ﺑﺸﻜﻞ أﺳﺒﻮﻋﻲ ﺧﻼل ﻛﻞ ﺟﻠﺴﺔ وﺑﻌﺪﻫﺎ
ﺘﻢ ﺣﻠﻬﺎ ﻣﻦ ﻗﺒﻞ اﺠﻤﻟﻤﻮﻋﺔ ،اﳊﻠﻮل اﳌﻘﺪﻣﺔ ﻣﻦ ﻗﺒﻞ اﻟﻄﻼب ﻳﺘﻢ إرﺳﺎﳍﺎ ﻋﱪ اﻟﱪﻳﺪ اﻹﻟﻜﱰوﱐ وﻳﺘﻢ ﺗﺼﺤﻴﺤﻬﺎ ﻣﻦ ﻗﺒﻞ اﳌﺪرس وإرﺳﺎل
اﳌﻼﺣﻈﺎت ﻟﻠﻄﻼب ،ﻛﻤﺎ ﻳﺘﻢ ﻣﻨﺎﻗﺸﺘﻬﺎ ﺧﻼل اﳉﻠﺴﺔ اﻟﻼﺣﻘﺔ ،ﺑﺎﻹﺿﺎﻓﺔ إﱃ اﻟﻮﻇﺎﺋﻒ ﻳﻄﻠﺐ ﻣﻦ اﻟﻄﻼب اﻟﺘﺤﻀﲑ ﳌﺬاﻛﺮات أﺳﺒﻮﻋﻴﺔ
ﺸﻤﻞ ﻛﻞ ﻣﻨﻬﺎ ﻣﻌﻠﻮﻣﺎت اﳉﻠﺴﺔ اﻟﺴﺎﺑﻘﺔ وذﻟﻚ ﻬﺑﺪف ﺗﻘﻴﻴﻢ وﻗﻴﺎس اﻷﺛﺮ اﻟﻨﻔﻌﻲ ،اﳌﻨﻬﺠﻴﺔ ﺧﻼل اﳉﻠﺴﺎت اﻟﻌﻤﻠﻴﺔ ﻫﻲ ﻛﻤﺎ اﻟﺘﺎﱄ:
-ﰎ ﺗﺰوﻳﺪ اﻟﻄﻼب ﺑﺪﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ اﻟﻌﻤﻠﻴﺔ ﻗﺒﻞ ﺣﻀﻮر اﻟﺘﺠﺮﺑﺔ.
-ﻳﻄﻠﺐ ﻣﻦ اﻟﻄﻼب اﻟﺘﺤﻀﲑ اﳌﺴﺒﻖ ﻟﻠﺘﺠﺮﺑﺔ.
-ﲡﺮى ﳍﻢ ﻣﺬاﻛﺮة ﻗﺒﻞ ﺑﺪاﻳﺔ ﻛﻞ ﺟﻠﺴﺔ ﻋﻤﻠﻴﺔ ﺗﺘﻀﻤﻦ ﻣﺎ ﰎ إﻋﻄﺎءﻩ ﰲ اﳉﻠﺴﺔ اﻟﺴﺎﺑﻘﺔ وﻣﺎ ﻃﻠﺐ ﻣﻨﻬﻢ ﲢﻀﲑﻩ ،وﻳﺘﻢ اﻟﱰﻛﻴﺰ ﻋﻠﻰ
أ ّن اﻷﺳﺌﻠﺔ اﳌﺘﻌﻠﻘﺔ ﺑﺎﳉﻠﺴﺔ اﻟﺴﺎﺑﻘﺔ ﺗﻜﻮن أﻛﺜﺮ ﺻﻌﻮﺑﺔ وﲢﺘﺎج إﱃ ﺗﻔﻜﲑ دﻳﻨﺎﻣﻴﻜﻲ وﺗﺮﺗﻜﺰ ﻋﻠﻰ إﳚﺎد ﺣﻠﻮل ﺟﺪﻳﺪة ،أﻣﺎ
اﻷﺳﺌﻠﺔ اﳌﺘﻌﻠﻘﺔ ﺑﺎﳉﻠﺴﺔ اﳊﺎﻟﻴﺔ اﻟﱵ ﻃﻠﺐ ﻣﻨﻬﻢ ﲢﻀﲑﻫﺎ ﻓﺘﻜﻮن ﻣﺒﺎﺷﺮة وذات ﻃﺎﺑﻊ واﺿﺢ.
-ﻳﻘﻮم اﻷﺳﺘﺎذ أﺛﻨﺎء اﳉﻠﺴﺔ اﻟﻌﻤﻠﻴﺔ وﺧﻼل زﻣﻦ ﻻ ﻳﺘﺠﺎوز 20دﻗﻴﻘﺔ ﺑﺸﺮح ﻋﺎم ﻟﻠﻤﻔﺎﻫﻴﻢ واﻻﻋﺘﺒﺎرات اﳍﺎﻣﺔ ﻟﻠﻌﻨﺎﺻﺮ اﻟﱵ ﰎ
إﺿﺎﻓﺘﻬﺎ إﱃ اﻟﺘﺠﺎرب ).(Hardware
-ﻳﻘﻮم اﻟﻄﻼب ﺑﺎﺗﺒﺎع ﺧﻄﻮات اﻟﺘﻨﻔﻴﺬ اﳌﻜﺘﻮﺑﺔ وﺗﺸﻐﻴﻞ اﻟﺘﺠﺮﺑﺔ.
-ﻳﻘﻮم اﻷﺳﺘﺎذ أﺛﻨﺎء ﺗﻨﻔﻴﺬ اﻟﻄﻼب ﻟﻠﺘﺠﺎرب ﲟﺎﻳﻠﻲ:
ﻣﺮاﻗﺒﺔ أداء اﻟﻄﻼب وﺳﺮﻋﺔ اﺳﺘﺠﺎﺑﺘﻬﻢ. ‹
اﻹﺟﺎﺑﺔ ﻋﻦ ﺗﺴﺎؤﻻت اﻟﻄﻼب اﳌﺒﻬﻤﺔ ووﺿﻊ ﻧﻘﺎط ﻣﺮﺟﻌﻴﺔ ﻷﺳﺒﺎب اﻟﺘﺴﺎؤﻻت ،ﻓﺈذا ﻛﺎﻧﺖ ﻧﻘﺼﺎً ﰲ اﳌﻌﻠﻮﻣﺎت ﰲ دﻟﻴﻞ ‹
اﻟﺘﺠﺮﺑﺔ ،ﻓﺘﺘﻢ إﺿﺎﻓﺔ ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت ،وإذا ﻛﺎﻧﺖ اﻟﺘﺴﺎؤﻻت ﻋﻦ ﻓﻜﺮة ﺟﺪﻳﺪة ﺧﻄﺮت ﻟﻠﻄﺎﻟﺐ ،ﻓﻴﺘﻢ إﻋﻄﺎء ﺗﻘﻴﻴﻢ ﻟﻠﻔﻜﺮة،
وﻃﺮح اﻟﻔﻜﺮة ﻟﻠﻤﻨﺎﻗﺸﺔ ﻣﻊ ﺑﺎﻗﻲ اﻟﻄﻼب ﰲ اﻟﻔﱰة اﻷﺧﲑة ﻣﻦ اﳉﻠﺴﺔ.
ﺗﻘﻴﻴﻢ أداء اﻟﻄﻼب. ‹
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 278
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
279 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
3-7-5اﻟﺘﺠﺎرب اﻻﺧﺘﺒﺎرﻳﺔ واﻷﻫﺪاف اﻟﺮﺋﻴﺴﻴﺔ ﳍﺎ ):(The Experiments and their Main Goals
اﺷﺘﻤﻠﺖ اﻟﺪراﺳﺔ ﻋﻠﻰ أرﺑﻊ ﺟﻠﺴﺎت ﻣﻄﻮﻟﺔ ﻣﻦ ﺟﻠﺴﺎت اﳌﺨﱪ اﻟﺮﺋﻴﺴﻴﺔ اﻣﺘﺪت ﻛﻞ ﻣﻨﻬﻤﺎ ﻋﻠﻰ ﻣﺪى ﺳﺎﻋﺘﲔ ﻣﻦ اﻟﺰﻣﻦ ،ﺗﻀﻤﻨﺖ
اﳉﻠﺴﺎت إﺟﺮاء اﻟﺘﺠﺎرب اﳋﻤﺴﺔ اﻷول ﻣﻦ دﻟﻴﻞ اﻟﺘﺠﺎرب اﳌﺼﻤﻢ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ.
اﳉﻠﺴﺔ اﻷوﱃ )اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻧﻴﺔ ﰲ اﻟﺪﻟﻴﻞ( ﺗﻀﻤﻨﺖ اﻟﺘﺠﺎرب اﻟﱪﳎﻴﺔ اﳉﺰﺋﻴﺔ اﻟﺘﺎﻟﻴﺔ:
-اﺳﺘﺜﻤﺎر ﺑﻮاﺑﺔ اﳌﺘﺤﻜﻢ ﻛﺒﻮاﺑﺔ ﺧﺮج ﻣﻦ أﺟﻞ ﺗﺸﻜﻴﻞ ﺣﺮﻛﺔ ﺿﻮﺋﻴﺔ ﺑﺎﺳﺘﺨﺪام اﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ.
-اﺳﺘﺜﻤﺎر ﺑﻮاﺑﺔ اﳌﺘﺤﻜﻢ ﻛﺒﻮاﺑﺔ دﺧﻞ ﻟﻘﺮاءة ﺣﺎﻟﺔ ﻣﻔﺎﺗﻴﺢ ﳊﻈﻴﺔ.
-اﺳﺘﺜﻤﺎر ﺑﻮاﺑﺎت اﳌﺘﺤﻜﻢ ﻛﺒﻮاﺑﺎت دﺧﻞ/ﺧﺮج ﻷﻏﺮاض اﻟﺘﺤﻜﻢ.
-ﺗﺸﻐﻴﻞ زﻣﲏ ﳌﺨﺎرج ﲢﻜﻢ اﺳﺘﻄﺎﻋﻴﺔ )رﻳﻠﻴﻪ(.
اﳉﻠﺴﺔ اﻟﺜﺎﻧﻴﺔ )اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻣﻨﺔ ﰲ اﻟﺪﻟﻴﻞ( ﺗﻀﻤﻨﺖ اﻟﺘﺠﺎرب اﻟﱪﳎﻴﺔ اﳉﺰﺋﻴﺔ اﻟﺘﺎﻟﻴﺔ:
-ﺑﺮﳎﺔ ﻟﻮﺣﺔ ﻣﻔﺎﺗﻴﺢ ﺳﺖ ﻋﺸﺮﻳﺔ ﻣﻮﺻﻠﺔ ﺑﻄﺮﻳﻘﺔ ﻣﺼﻔﻮﻓﺔ ).(Array Keypad; 4x4/16key
-ﺑﺮﳎﺔ ﺷﺎﺷﺔ إﻇﻬﺎر ﻛﺮﻳﺴﺘﺎﻟﻴﺔ ﳏﺮﻓﻴﺔ .LCD 20x4
-ﺑﺮﳎﺔ ﺷﺎﺷﺔ إﻇﻬﺎر ﻛﺮﻳﺴﺘﺎﻟﻴﺔ رﺳﻮﻣﻴﺔ .GLCD 128x64
-ﺗﻮﻟﻴﺪ ﻧﻐﻤﺎت ﺻﻮﺗﻴﺔ ).(musical tones
-ﺗﻮﻟﻴﺪ ﻧﻐﻤﺎت .(Dual Tone Multi Frequency) DTMF
اﳉﻠﺴﺔ اﻟﺜﺎﻟﺜﺔ )اﻟﺘﺠﺮﺑﺔ اﻟﺮاﺑﻌﺔ ﰲ اﻟﺪﻟﻴﻞ( ﺗﻀﻤﻨﺖ اﻟﺘﺠﺎرب اﻟﱪﳎﻴﺔ اﳉﺰﺋﻴﺔ اﻟﺘﺎﻟﻴﺔ:
اﳉﻠﺴﺔ اﻟﺮاﺑﻌﺔ )اﻟﺘﺠﺮﺑﺔ اﳋﺎﻣﺴﺔ ﰲ اﻟﺪﻟﻴﻞ( ﺗﻀﻤﻨﺖ اﻟﺘﺠﺎرب اﻟﱪﳎﻴﺔ اﳉﺰﺋﻴﺔ اﻟﺘﺎﻟﻴﺔ:
-ﺗﺸﻐﻴﻞ ﻟﻮﺣﺔ إﻇﻬﺎر ﺳﺒﺎﻋﻴﺔ ) (Seven-segment displaysﻓﺮدﻳﺔ ﻛﻌﺪاد ﺗﺼﺎﻋﺪي/ﺗﻨﺎزﱄ ﻋﺸﺮي .0-9
-ﺗﺸﻐﻴﻞ أرﺑﻊ ﻟﻮﺣﺎت إﻇﻬﺎر ﺳﺒﺎﻋﻴﺔ ﻛﻌﺪاد ﻋﺸﺮي 0000-9999ﺑﻄﺮﻳﻘﺔ اﳌﺴﺢ ).(Scanning Method
-ﺑﺮﳎﺔ وﺗﺸﻐﻴﻞ ﺳﺎﻋﺔ ﺗﻮﻗﻴﺖ وﺗﺎرﻳﺦ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ) (RTCوﻋﺮض اﻟﻘﻢ ﻋﻠﻰ ﺷﺎﺷﺔ .LCD
-رﺑﻂ ﺷﺒﻜﺔ Ladderﻏﺮاض ﲢﻮﻳﻞ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ إﱃ إﺷﺎرة ﺗﺸﺎﻬﺑﻴﺔ ).(DAC
-اﺳﺘﺜﻤﺎر ﻘﺎرن اﻟﺘﺸﺎﻬﺑﻲ ﰲ اﳌﺘﺤﻜﻢ ﳌﻘﺎرﻧﺔ ﻗﻴﻢ دﺧﻞ ﺗﺸﺎﻬﺑﻴﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 280
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
إن ﻛﻞ ﲡﺮﺑﺔ ﻣﻦ اﻟﺘﺠﺎرب اﻷرﺑﻌﺔ )اﻟﺘﺠﺮﺑﺔ اﻟﺜﺎﻧﻴﺔ إﱃ ﳋﺎﻣﺴﺔ ﰲ اﻟﺪﻟﻴﻞ( واﻟﺘﺠﺎرب اﳉﺰﺋﻴﺔ اﳌﻀﻤﻨﺔ ﻓﻴﻬﺎ ﳍﺎ ﺗﻮﻇﻴﻒ ﻋﻤﻠﻲ ﻫﺎم ﺟﺪاً ﰲ
اﻟﺘﻄﺒﻴﻘﺎت اﳍﻨﺪﺳﻴﺔ وﱂ ﺗﻮﺿﻊ ﺑﺸﻜﻞ اﻋﺘﺒﺎﻃﻲ .اﻟﺸﻜﻞ 41-5ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ وﺑﺮﻧﺎﻣﺞ اﻟﺘﺸﻐﻴﻞ ﻟﺘﺠﺮﺑﺔ ﻋﺪاد ﺗﺼﺎﻋﺪي ﺑﺎﺳﺘﺨﺪام
ﻟﻮﺣﺎت إﻇﻬﺎر رﻗﻤﻴﺔ )اﻟﺘﺠﺮﺑﺔ اﳋﺎﻣﺴﺔ ﰲ دﻟﻴﻞ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ(.
اﻟﺸﻜﻞ 41-5اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ وﺑﺮﻧﺎﻣﺞ اﻟﺘﺸﻐﻴﻞ ﻟﺘﺠﺮﺑﺔ ﻋﺪاد ﺗﺼﺎﻋﺪي ﺑﺎﺳﺘﺨﺪام ﻟﻮﺣﺎت إﻇﻬﺎر رﻗﻤﻴﺔ
ﰲ ﻛﻞ ﺟﻠﺴﺔ ﻳﺘﻢ إﻋﻄﺎء اﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ اﳌﺘﻤﺜﻠﺔ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﺔ ﻣﺸﺎرﻳﻊ ﻣﺘﻌﻠﻘﺔ ﲟﻮﺿﻮﻋﺎت ﻛﻞ ﺟﻠﺴﺔ ،ﲝﻴﺚ ﻳﺘﻢ ﲨﻊ أﻓﻜﺎر
اﻟﺘﺠﺎرب ﰲ ﻣﺸﺎرﻳﻊ ،ﻏﺎﻟﺒﺎً ﺗﻜﻮن ﺛﻼث ﻣﺸﺎرﻳﻊ ،اﻷول ﻣﻨﻬﺎ ﺑﺴﻴﻂ ،واﻟﺜﺎﱐ ﻣﺘﻮﺳﻂ ،واﻟﺜﺎﻟﺚ ﻣﻌﻘﺪ .ﺸﺎرﻳﻊ اﳌﺴﻨﺪة إﱃ اﺠﻤﻟﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ ﺧﻼل اﳉﻠﺴﺎت اﻷرﺑﻌﺔ ﻫﻲ:
281 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﻳﺘﻢ إرﺳﺎل اﳌﺸﺎرﻳﻊ ﻋﻦ ﻃﺮﻳﻖ اﻟﱪﻳﺪ اﻹﻟﻜﱰوﱐ ﺧﻼل 6أﻳﺎم ،ﻳﻘﻮم اﻷﺳﺘﺎذ ﲟﺮاﺟﻌﺔ اﳌﺸﺎرﻳﻊ وإرﺳﺎل ﻣﻼﺣﻈﺎت ﻟﻜﻞ ﻃﺎﻟﺐ ﻟﺘﺤﺴﲔ ﻧﻘﺎط
ﳏﺪدة ﻟﻜﻞ ﻃﺎﻟﺐ .ﻛﻤﺎ أﻧﻪ ﻳﺘﻢ ﲡﻤﻴﻊ اﻷﺧﻄﺎء وﻧﻘﺎط اﻟﻀﻌﻒ اﳌﺸﱰﻛﺔ ﻋﻨﺪ ﻣﻌﻈﻢ اﻟﻄﻼب )ﻣﺜﻞ اﻟﱪﳎﺔ اﳍﺮﻣﻴﺔ اﻟﺘﺴﻠﺴﻠﻴﺔ ،ﻋﺪم ﺗﻘﺴﻴﻢ
اﻟﱪﻧﺎﻣﺞ إﱃ ﺑﺮاﻣﺞ وﻇﻴﻔﻴﺔ ،ﺣﻠﻮل اﻟﱪﳎﺔ اﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ ﻟﻠﻤﻌﺎﳉﺎت ،اﻟﱪﳎﺔ ﰲ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﺑﺪﻻً ﻣﻦ إﺷﻐﺎل اﳌﻌﺎﰿ ﺑﺘﻌﻠﻴﻤﺎت اﻻﻧﺘﻈﺎر(
وﻣﻨﺎﻗﺸﺘﻬﺎ ﺧﻼل اﳉﻠﺴﺔ اﻟﻘﺎدﻣﺔ.
وﺑﺎﻟﺘﺎﱄ ﻓﺈن اﻟﻘﻴﺎﺳﺎت اﻟﱵ ﻳﺘﻢ أﺧﺬﻫﺎ ﰲ ﻛﻞ ﺟﻠﺴﺔ )ﻟﻜﻞ ﻃﺎﻟﺐ( ﺗﺘﻌﻠﻖ ﲜﻤﻴﻊ اﻟﻨﻘﺎط اﳌﺬﻛﻮرة أﻋﻼﻩ.
إن ﻣﻌﻈﻢ اﻟﻄﻼب اﺳﺘﻄﺎﻋﻮا إﳒﺎز اﳌﺸﺮوع اﻟﺒﺴﻴﻂ أو اﳌﺘﻮﺳﻂ اﻟﺘﻌﻘﻴﺪ ،أﻣﺎ اﳌﺸﺮوع اﳌﻌﻘﺪ ﻓﻴﺸﻜﻞ ﻋﻮاﺋﻖ ﻋﺪﻳﺪة ﻋﻨﺪ ﻏﺎﻟﺒﻴﺔ ﻣﻦ اﻟﻄﻼب
ﺳﺒﺎب ﺗﺘﻌﻠﻖ ﲟﺪى ﺧﱪﻬﺗﻢ ﰲ اﳋﻮارزﻣﻴﺎت اﻟﱪﳎﻴﺔ واﻷﻣﻮر اﻷﺧﺮى ،وﻫﻨﺎ ﻳﺄﰐ دور آﺧﺮ ﻟﻠﻤﻌﻠﻢ ﲜﻤﻊ ﻧﻘﺎط اﻟﻀﻌﻒ ﻫﺬﻩ واﻟﱵ ﻏﺎﻟﺒﺎً ﻣﺎ
ﺗﻜﻮن ﻣﺸﱰﻛﺔ ،وﺗﻘﺪﱘ أﻓﻜﺎر ﻟﺸﺮوح وﺣﻠﻮل ﻋﺎﻣﺔ ﳍﺬﻩ اﳌﺸﺎﻛﻞ ،وﺗﻮﺟﻴﻪ اﻟﻄﻼب إﱃ ﻣﺮاﺟﻊ ﺗﻔﻴﺪ ﰲ ﺗﻘﻮﻳﺔ اﻟﻨﻘﺎط اﻟﻀﻌﻴﻔﺔ ﻟﺪى ﻛﻞ واﺣﺪ
ﻣﻨﻬﻢ .إن ﻧﺴﺒﺔ ﻇﻬﻮر اﳌﺸﺎﻛﻞ واﻟﻌﻮاﺋﻖ ﰲ ﺗﻨﻔﻴﺬ اﳌﺸﺎرﻳﻊ اﳌﻌﻘﺪة ﺗﺘﻀﺎءل ﺑﺸﻜﻞ ﻣﺘﺴﺎرع ﻣﻦ ﲡﺮﺑﺔ إﱃ أﺧﺮى ،ﻓﺨﻼل اﻟﺒﺤﺚ اﳊﺎﱄ:
ﰲ اﻟﺘﺠﺮﺑﺔ اﻷوﱃ ،اﺳﺘﻄﺎع ﲨﻴﻊ اﻟﻄﻼب ﺣﻞ اﳌﺸﺮوع اﻷول واﻟﺜﺎﱐ ،ﺑﻴﻨﻤﺎ اﺳﺘﻄﺎع 50%ﻓﻘﻂ ﻣﻦ ﺣﻞ اﳌﺸﺮوع اﻟﺜﺎﻟﺚ ﺑﺸﻜﻞ ﻛﺎﻣﻞ،
ﺑﻴﻨﻤﺎ ﺑﺎﻗﻲ اﻟﻄﻼب اﺳﺘﻄﺎﻋﻮا اﻟﻮﺻﻮل إﱃ ﻣﺮاﺣﻞ ﻏﲑ ﻣﻜﺘﻤﻠﺔ ﻣﻦ اﳌﺸﺮوع ﺗﱰاوح ﻣﻦ ،30% ~ 80%وذﻟﻚ ﻟﺼﻌﻮﺑﺎت ﻧﺎﲡﺔ ﻋﻦ ﺿﻌﻒ
اﳋﱪة ﻟﺪﻳﻬﻢ ﻋﻨﺪ ﻫﺬﻩ اﻟﻨﻘﺎط.
ﺑﺎﻟﺮﻏﻢ ﻣﻦ ﺻﻌﻮﺑﺔ اﳌﺸﺮوع اﻟﺜﺎﻟﺚ ،واﻟﺬي ﻫﻮ ﻋﺒﺎرة ﻋﻦ ﺗﺼﻤﻴﻢ آﻟﺔ ﺣﺎﺳﺒﺔ رﻳﺎﺿﻴﺔ ﺗﻀﻢ اﻟﻌﻤﻠﻴﺎت اﻟﺮﻳﺎﺿﻴﺔ اﻷﺳﺎﺳﻴﺔ ﺑﺸﺮط أن ﻳﺘﻢ
ﺣﺴﺎب اﻷرﻗﺎم ﻣﻬﻤﺎ ﺑﻠﻎ ﻃﻮل اﻟﺮﻗﻢ وﺑﻌﻤﻠﻴﺔ واﺣﺪة ،وذﻟﻚ ﺑﺎﺳﺘﺨﺪام ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ وﺷﺎﺷﺔ إﻇﻬﺎر LCDوﻟﻮﺣﺔ ﻣﻔﺎﺗﻴﺢ ﻣﺆﻟﻔﺔ ﻣﻦ 24
ﻣﻔﺘﺎح ﳊﻈﻲ؛ إﻻ أن 20%ﻣﻦ اﻟﻄﻼب ﻗﺎﻣﻮا ﺑﺈﺿﺎﻓﺔ أﻓﻜﺎر ﺟﺪﻳﺪة إﱃ اﳌﺸﺮوع ﻣﺜﻞ إﺿﺎﻓﺔ اﻟﻌﻤﻠﻴﺎت اﳌﻨﻄﻘﻴﺔ واﳌﺜﻠﺜﻴﺔ.
اﻷﺳﺒﻮع اﻟﺬي ﺗﻼ اﳉﻠﺴﺔ اﻟﺮاﺑﻌﺔ ﰎ إﺟﺮاء اﺧﺘﺒﺎر ﻌﻠﻦ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ واﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﺧﻼل زﻣﻦ 90دﻗﻴﻘﺔ ،وﰎ ﺗﻮزﻳﻊ
ﺳﺌﻠﺔ ﻋﻠﻰ ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﲝﻴﺚ أن اﻷﺳﺌﻠﺔ ﴰﻠﺖ أﺳﺌﻠﺔ اﳌﺬاﻛﺮات اﻷرﺑﻌﺔ ﻟﻄﻼب ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺿﻤﻦ ﳕﻂ ﻋﺎم وﺷﺎﻣﻞ ،وﺑﺎﻟﺘﺎﱄ
ﻋﻠﻰ اﻟﻄﺎﻟﺐ أن ﻳﻔﻬﻢ ﻛﻞ ﺷﻲء ﻟﻴﺠﻴﺐ ﻋﻠﻰ أي ﺷﻲء.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 282
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﻰ اﻟﺮﻏﻢ ﻣﻦ أن ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺧﺎﺿﻮا ﻫﺬا اﻻﺧﺘﺒﺎر ﻣﻔﺮﻗﺎً ﻋﻠﻰ أرﺑﻊ ﻣﺬاﻛﺮات ،إﻻ أ�ﻢ ﻻ ﻳﻌﻠﻤﻮن اﻹﺟﺎﺑﺎت اﻟﺼﺤﻴﺤﺔ ﻋﻦ
أﺳﺌﻠﺔ اﳌﺬاﻛﺮات اﻷرﺑﻌﺔ اﻟﺴﺎﺑﻘﺔ ﻣﻦ ﻗﺒﻞ.
ﻣﻦ ﻫﺬا اﻻﺧﺘﺒﺎر ﻫﻮ ﺗﻘﻴﻴﻢ اﻟﻌﺎﻣﻞ اﻟﻨﻔﻌﻲ وﻋﺎﻣﻞ اﻟﺘﺬﻛﺮ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ،وﻛﺬﻟﻚ ﺗﻘﻴﻴﻢ ﻋﺎﻣﻞ اﻟﺘﺬﻛﺮ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ .ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﳕﻮذج اﻻﺧﺘﺒﺎرات اﻷرﺑﻌﺔ ﰲ اﳌﻠﺤﻖ .4-ﻛﻤﺎ ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﳕﻮذج اﻻﺧﺘﺒﺎر ﺑﻌﺪ ﺳﻨﺔ ﰲ اﳌﻠﺤﻖ.5-
ﻧﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ ﻟﻼﺧﺘﺒﺎرات ﻧﺘﻌﺮض ﳍﺎ ﰲ اﻟﻔﻘﺮة اﻟﺘﺎﻟﻴﺔ.
ﻋﺪد اﻟﻄﻼب ﰲ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ )اﳌﻌﻴﺎرﻳﺔ( ﻫﻮ N=31ﻃﺎﻟﺒﺎً؛ وﻣﺘﻮﺳﻂ أﻋﻤﺎرﻫﻢ 22.81ﻨﺔ؛ واﳌﻌﺪل اﻟﻮﺳﻄﻲ ﳌﻌﺪﻻﻬﺗﻢ اﻟﺪراﺳﻴﺔ
ﺧﻼل اﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺜﻼث اﻷوﱃ ﻳﺴﺎوي .67.31%
ﻋﺪد اﻟﻄﻼب ﰲ اﺠﻤﻟﻤﻮﻋﺔ اﻟﺘﺠﺮﻳﺒﻴﺔ )اﻻﺧﺘﺒﺎرﻳﺔ( ﻫﻮ N=31ﻃﺎﻟﺒﺎً؛ وﻣﺘﻮﺳﻂ أﻋﻤﺎرﻫﻢ 22.58ﻨﺔ؛ واﳌﻌﺪل اﻟﻮﺳﻄﻲ ﳌﻌﺪﻻﻬﺗﻢ
اﻟﺪراﺳﻴﺔ ﺧﻼل اﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺜﻼث اﻷوﱃ ﻳﺴﺎوي .67.12%
ﲨﻴﻊ اﻟﻄﻼب ﻣﻦ ﺧﻠﻔﻴﺔ ﺗﻌﻠﻴﻤﻴﺔ واﺣﺪة وﻣﺘﻤﺎﺛﻠﺔ – أي أن ﻟﻐﺔ اﳌﻘﺮر ﻫﻲ اﻟﻠﻐﺔ اﻷم ﻟﻠﻄﻼب واﻟﺴﻨﻮات اﻟﺪراﺳﻴﺔ اﻟﺜﺎﻧﻮﻳﺔ واﳉﺎﻣﻌﻴﺔ ﻣﺸﱰﻛﺔ
ﰲ ﻣﻨﻬﺎﺟﻬﺎ.
Different Outcome
Equivalent Groups
Experimental Group
Manual + Virtual Student-centric Approach
X Hands-on Close-loop Model; PBL Yt
اﻟﺸﻜﻞ 42-5ﻣﻨﻬﺠﻴﺔ ﻗﻴﺎس اﻟﻔﻌﺎﻟﻴﺔ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ ﰲ ﳕﻮذج اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ واﳌﻐﻠﻘﺔ
اﻟﻘﻴﺎﺳﺎت اﻟﻜﻤﻴﺔ ﻟﻸداء واﻟﻔﻌﺎﻟﻴﺔ ﻟﻜﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﰲ ﻫﺬﻩ اﳊﺎﻟﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﲬﺲ اﺧﺘﺒﺎرات ﻣﻮﺿﺤﺔ ﻓﻴﻤﺎ ﻳﻠﻲ:
283 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺴﺎدس :ﻗﻴﺎس ﻋﺎﻣﻞ اﻟﺘﺬﻛﺮ ﺑﻌﺪ ﻣﺮور ﺳﻨﺔ ﻣﻦ اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ.
اﻟﻘﻴﺎس اﻻﺧﺘﺒﺎري اﻟﺴﺎﺑﻊ :اﻟﻘﻴﺎﺳﺎت اﻟﻨﻮﻋﻴﺔ ).(Qualitative observations
إن اﻟﻘﻴﺎﺳﺎت اﻟﻜﻤﻴﺔ اﻟﺴﺘﺔ اﻷوﱃ ﳝﻜﻦ أن ﲢﻠﻞ ﲡﺮﻳﺒﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻣﺴﺎﺋﻞ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ ،ﰲ ﺣﲔ أن اﻟﻘﻴﺎس اﻟﺴﺎﺑﻊ اﻟﻨﻮﻋﻲ ﻳﺴﺘﻨﺪ
ﻋﻠﻰ ﻣﻼﺣﻈﺎت ﳏﺎﺿﺮ اﳌﺨﺘﱪ.
ﰲ ﻫﺬا اﻟﻘﻴﺎس ﻳﺘﻢ اﻟﺘﺄﻛﺪ إﺣﺼﺎﺋﻴﺎً ﻣﻦ أن ﻤﻮﻋﺘﲔ ﻣﺘﻜﺎﻓﺌﺘﲔ وﻣﻦ ﻧﻔﺲ ﻋﻴﻨﺔ اﺠﻤﻟﺘﻤﻊ اﻹﺣﺼﺎﺋﻲ ،ﻳﺘﻀﻤﻦ ﻫﺬا اﻟﻘﻴﺎس ﻣﺘﻮﺳﻂ اﻷﻋﻤﺎر
واﳌﻌﺪﻻت واﳌﻌﺮﻓﺔ اﻷوﻟﻴﺔ ﺣﻮل ﻣﻮﺿﻮع اﳌﻘﺮر ﻟﻜﻞ ﳎﻤﻮﻋﺔ.
ﳌﻘﺎرﻧﺔ اﻟﻨﺘﺎﺋﺞ ﻧﻄﺒﻖ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﻟﻠﻔﺮﺿﻴﺔ ﺑﺎﺳﺘﺨﺪام اﻟﱪﻧﺎﻣﺞ SPSSﳊﺴﺎب اﻟﻘﻴﻤﺔ اﻟﺪﻻﻟﻴﺔ p-valueوﻓﻘﺎً ﻻﺧﺘﺒﺎرMann-
ﻳﻮﺟﺪ اﺧﺘﻼف إﺣﺼﺎﺋﻲ ﻣﻌﺘﱪ ﺑﲔ ﻣﺘﻮﺳﻂ أﻋﻤﺎر اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ ).(p-=0.899
ﻳﻮﺟﺪ اﺧﺘﻼف إﺣﺼﺎﺋﻲ ﻣﻌﺘﱪ ﺑﲔ ﻣﺘﻮﺳﻂ ﻣﻌﺪﻻت اﻟﻄﻼب ﻟﻠﺴﻨﻮات اﻟﺴﺎﺑﻘﺔ ﻣﻦ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ )p-
.(=0.314
ﻩ اﻟﻨﺘﺎﺋﺞ ﺗﻘﻮد إﱃ اﻻﺳﺘﻨﺘﺎج ﺑﺄن ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ ﻣﺘﻜﺎﻓﺌﺘﲔ وﻣﻦ ﻧﻔﺲ ﻓﻀﺎء اﻟﻌﻴﻨﺔ.
ﻣﻦ ﻧﺎﺣﻴﺔ ﺛﺎﻧﻴﺔ وﻣﻦ أﺟﻞ اﻟﺘﺄﻛﺪ ﻣﻦ وﺟﻮد اﻟﺘﻜﺎﻓﺆ ،ﰎ إﺟﺮاء اﻣﺘﺤﺎن ﲤﻬﻴﺪي ﻘﻴﻴﻢ ﻣﺴﺘﻮى إﳌﺎم وﻣﻌﺮﻓﺔ اﻟﻄﻼب ﰲ ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ
ﲟﻮﺿﻮﻋﺎت اﳌﻘﺮر ،اﺠﻤﻟﻤﻮﻋﺘﲔ أدى اﻻﻣﺘﺤﺎن اﻷوﱄ ﺑﺸﻜﻞ ﺿﻌﻴﻒ ﺟﺪاً ) (~5%ﻛﻤﺎ ﻫﻮ ﻣﺘﻮﻗﻊ وﲟﻌﺎﻣﻞ .p-=0.60
ﻨﺘﻴﺠﺔ ﺗﺸﲑ إﱃ ﻋﺪم وﺟﻮد اﺧﺘﻼف ﻣﻌﺘﱪ ﰲ اﳌﻌﺮﻓﺔ اﻟﺴﺎﺑﻘﺔ ﻟﻠﻄﻼب ﰲ ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ .اﳉﺪول 2-5ﻳﺒﲔ إﺣﺼﺎﺋﻴﺎت اﻟﻘﻴﺎﺳﺎت
اﻹﺣﺼﺎﺋﻴﺔ ﻟﻠﺘﻜﺎﻓﺆ ﺑﲔ ﻤﻟﻤﻮﻋﺘﲔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 284
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﻫﺬا اﻟﻘﻴﺎس ﻳﺘﻢ اﻟﺘﺄﻛﺪ إﺣﺼﺎﺋﻴﺎً ﻣﻦ وﺟﻮد ﻓﺮق ﰲ أداء ﻃﻼب اﺠﻤﻟﻤﻮﻋﺘﲔ ﺧﻼل اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ.
إن ﺟﻠﺴﺎت اﳌﺨﱪ ﰎ ﺗﻘﺪﳝﻬﺎ ﺑﻨﻤﻂ ﻳﺘﺒﻊ ﻓﻴﻊ اﻟﻄﻼب اﳋﻄﻮات اﳌﺸﺮوﺣﺔ ﰲ دﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ وﺗﻄﺒﻴﻘﻬﺎ ،وﻳﻘﻮم اﶈﺎﺿﺮ ﰲ اﳌﺨﱪ ﺑﺘﺴﺠﻴﻞ أداء
اﻟﻄﻼب ﺧﻼل اﳉﻠﺴﺔ.
ﻋﺎم ﻗﺪم ﻃﻼب ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ أداء ﻣﺘﻘﺎرب ﻣﻊ أداء أﻋﻠﻰ ﺑﻘﻠﻴﻞ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ،وﲟﺎ أن ﺗﻌﻘﻴﺪ وﻋﻤﻖ اﻟﺘﻄﺒﻴﻘﺎت ﰲ
اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ازداد ﺑﺸﻜﻞ أﺳﺒﻮﻋﻲ ،ﻓﻘﺪ ﺣﻆ أن اﻟﻔﺠﻮة ﰲ اﻷداء ﺑﲔ ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﺑﺪأت ﺗﺰداد ﻛﻤﺎ ﻫﻮ ﻣﻮﺿﺢ ﰲ اﳉﺪول.3-5
ﻗﻴﻤﺔ اﳌﻌﺪﻻت اﻟﻮﺳﻄﻴﺔ اﻟﻌﺎﻟﻴﺔ ﻟﻜﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﺧﻼل اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ اﻷرﺑﻌﺔ ﻛﻤﺎ ﻫﻮ واﺿﺢ ﰲ اﳉﺪول 3-5ﳝﻜﻦ ﻋﺰوﻩ إﱃ اﻟﺘﻔﺼﻴﻞ
واﻟﺘﻮﺿﻴﺢ اﳌﺘﻘﻦ ﰲ دﻟﻴﻞ اﻟﺘﺠﺎرب ،ﻷن ﻛﻞ ﻣﺎ ﳛﺘﺎﺟﻪ اﻟﻄﻼب ﻫﻮ اﺗﺒﺎع دﻟﻴﻞ اﻟﺘﺠﺎرب وﺗﻄﺒﻴﻖ اﳋﻄﻮات اﳌﺬﻛﻮرة ،وﺳﻮف ﳛﺼﻠﻮن ﻋﻠﻰ
ﺗﻠﻤﻴﺢ أو ﻣﺴﺎﻋﺪة ﻣﻦ اﶈﺎﺿﺮ إذا ﻣﺎ اﻋﱰﺿﺘﻬﻢ ﻣﺸﻜﻠﺔ.
إن اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ ﰲ اﳉﻠﺴﺎت اﻟﺜﻼﺛﺔ اﻷوﱃ ﱂ ﺗﻈﻬﺮ إﺣﺼﺎﺋﻴﺎً اﺧﺘﻼف ذو أﳘﻴﺔ ،ﻋﻠﻰ اﻟﺮﻏﻢ أﻧﻪ ﻣﻦ اﳉﺪﻳﺮ ﺑﺎﻟﺬﻛﺮ ﻣﻼﺣﻈﺔ أن
اﻟـ p-valueﺑﺪأت ﺑﺎﻟﻨﻘﺼﺎن ﻣﻊ ﺗﻘﺪم اﳉﻠﺴﺎت وازدﻳﺎد ﺗﻌﻘﻴﺪﻫﺎ ﺣﱴ اﳉﻠﺴﺔ اﻷﺧﲑة ﺣﻴﺚ ﲡﺎوزت اﻟﻌﺘﺒﺔ ) ،(p-=0.041<0.05وﻫﺬا
ل ﻋﻠﻰ أداء أﻓﻀﻞ ﺠﻤﻟﻤﻮﻋﺔ اﻟﻄﻼب اﻻﺧﺘﺒﺎرﻳﺔ ﺧﻼل ﺟﻠﺴﺎت اﳌﺨﺘﱪ واﻟﺬي ﺑﺪورﻩ ﻳﻮاﻓﻖ أﺳﺎﺳﺎً ﻣﺒﺪأ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ
.PBL
285 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
93.87
classical Experimental 90.97
95
88.23
90 85.48 86.61 86.12
85 80.16
78.87
80
75
70
Session1 Session2 Session3 Session4
ﰎ إﺟﺮاء اﻣﺘﺤﺎن ﻏﲑ ﻣﻌﻠﻦ ﺑﻌﺪ أﺳﺒﻮع واﺣﺪ ﻣﻦ اﻧﺘﻬﺎء اﳉﻠﺴﺎت اﻷرﺑﻌﺔ ،ﻟﻘﺪ ﰎ ﺗﺸﻜﻴﻞ أﺳﺌﻠﺔ اﻻﻣﺘﺤﺎن ﻣﻦ ﳎﻤﻮع اﳌﺬاﻛﺮات اﻷرﺑﻌﺔ اﻟﱵ
ﺗﻄﺒﻴﻘﻬﺎ ﻋﻠﻰ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ.
ﻌﺪل اﻟﻮﺳﻄﻲ ﻟﻠﻤﺬاﻛﺮات اﻷرﺑﻌﺔ ﻋﻠﻰ ﻣﺪى اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ اﻷرﺑﻌﺔ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻫﻮ ،89.27%وإن ﻣﻌﺪل ﻃﻼب
ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﰲ اﻻﻣﺘﺤﺎن اﻟﻐﲑ ﻣﻌﻠﻦ ﱂ ﻳﺘﺠﺎوز 40.77%وﻟﻪ ﻗﻴﻤﺔ أﳘﻴﺔ p-=0.000<0.05ﺎرة إﱃ أن ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ ﻗﻮا أداءً أﻋﻠﻰ ﺑﻜﺜﲑ ﻣﻦ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ،وﻫﺬا ﻳﻌﻮد إﱃ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ وﺣﻞ اﳌﺸﻜﻼت اﻟﺬي
ﰎ اﺗﺒﺎﻋﻪ .اﳉﺪول 4-5ﻳﺒﲔ ﻧﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ ﻟﻼﺧﺘﺒﺎر اﻟﻐﲑ ﻣﻌﻠﻦ.
89.27
100
40.77
80
60
40
20
0
Classical Experimental
ﺘﺼﻨﻴﻒ اﻷﺳﺌﻠﺔ إﱃ ﳎﻤﻮﻋﺎﻬﺗﺎ اﻷﺳﺎﺳﻴﺔ – اﻷﺳﺌﻠﺔ اﳌﺘﻌﻠﻘﺔ ﺑﻜﻞ ﺟﻠﺴﺔ ﳐﺘﱪ – ﻓﺈﻧﻪ ﳝﻜﻦ ﻣﻘﺎرﻧﺔ اﳌﺘﻮﺳﻄﺎت اﳊﺴﺎﺑﻴﺔ ﻟﻜﻞ اﺧﺘﺒﺎر ،ﲟﺎ أن
ﺧﺘﺒﺎر اﻟﻐﲑ ﻣﻌﻠﻦ ﻫﻮ ﳎﻤﻮع اﻻﺧﺘﺒﺎرات اﻷرﺑﻌﺔ اﻟﱵ ﰎ إﺟﺮاؤﻫﺎ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ .اﳉﺪول 5-5ﻳﻠﺨﺺ ﻧﺘﺎﺋﺞ اﻻﺧﺘﺒﺎر اﻟﻐﲑ
ﻣﻌﻠﻦ ﻣﻘﺴﻤﺎً إﱃ ﳎﻤﻮﻋﺎت وﻓﻘﺎً ﻟﺘﻌﻠﻘﻬﺎ ﲜﻠﺴﺎت اﳌﺨﺘﱪ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 286
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﳉﺪول 5-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ اﳌﻔﺼﻠﺔ ﻟﻨﺘﺎﺋﺞ اﻟﻄﻼب ﰲ اﻻﺧﺘﺒﺎر اﻟﻐﲑ ﻣﻌﻠﻦ )(N=31/31
إن اﳌﺘﻮﺳﻄﺎت اﳊﺴﺎﺑﻴﺔ ﻟﺪرﺟﺎت اﻷﻠﺔ اﳌﺘﻌﻠﻘﺔ ﺑﺎﺠﻤﻟﻤﻮﻋﺔ اﻷوﱃ ) (Session1ﻛﺎﻧﺖ اﻷﻋﻠﻰ ،وﺗﻨﺎﻗﺼﺖ ﺑﺸﻜﻞ ﻣﻠﺤﻮظ ﻣﻊ اﻟﺘﻘﺪم
اﻷﺳﺒﻮﻋﻲ ﰲ ﺟﻠﺴﺎت اﳌﺨﱪ وﻛﺎن اﳌﻌﺪل اﻷﻛﱪ ﻟﻠﺘﻨﺎﻗﺺ ﻫﻮ ﰲ ﺟﻠﺴﺔ اﳌﺨﺘﱪ اﻷﺧﲑة ) (Session4ﻛﻤﺎ ﻫﻮ واﺿﺢ ﰲ اﳉﺪول.5-5
ﻧﻼﺣﻆ أن ب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺣﺎﻓﻈﻮا إﱃ ﺣﺪ ﻣﺎ ﻋﻠﻰ أداء ﻣﺘﻤﺎﺛﻞ ،إﻻ أن ﻧﺘﺎﺋﺞ ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﺗﺸﲑ إﱃ ﺿﻌﻒ اﻟﻔﻬﻢ
ﺘﺼﻮري ﻣﻘﺎرﻧﺔ ﻣﻊ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ،وذﻟﻚ ﻰ اﻟﺮﻏﻢ ﻣﻦ أن ﻛﻼ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺘﲔ ﺗﺒﻊ دﻟﻴﻞ اﻟﺘﺠﺎرب ﺧﻼل ﺟﻠﺴﺎت اﳌﺨﱪ
ﺑﺎﻟﻄﺮﻳﻘﺔ ﻧﻔﺴﻬﺎ.
اﻟﺸﻜﻞ 43-5ﻣﻠﺨﺺ ﻧﺘﺎﺋﺞ اﻟﻘﻴﺎﺳﺎت اﻟﻜﻤﻴﺔ اﻹﺣﺼﺎﺋﻴﺔ ﻟﻠﻘﻴﺎﺳﺎت اﻻﺧﺘﺒﺎرﻳﺔ اﻟﺜﻼﺛﺔ اﻷوﱃ
287 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
93.35
57.13
100
50
0
Classical Experimental
ﻣﻦ اﳉﺪول 6-5ﻧﻼﺣﻆ أﻣﺘﻮﺳﻂ ﻣﻌﺪل ﻃﻼب اﺠﻤﻟﻢ وﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﻛﺎن 57.13%ﺣﲔ أن ﻣﺘﻮﺳﻂ ﻣﻌﺪل ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ
ﻛﺎن ،93.35%وإن ﻗﻴﻤﺔ p-valueﻤﻘﺎرﻧﺔ ﺑﲔ ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﻓﻴﻤﺎ ﻳﺘﻌﻠﻖ ﺑﺎﻣﺘﺤﺎن اﳌﺸﺮوع ﻛﺎﻧﺖ ،p-=0.000<0.05واﻟﱵ ﺗﺸﲑ
دﻟﻴﻞ إﺣﺼﺎﺋﻲ ﻋﻠﻰ أداء أﻋﻠﻰ ﺑﻜﺜﲑ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻧﻈﺮاً ﳌﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ اﳌﺸﺎرﻳﻊ.
ﻧﻼﺣﻆ أﻳﻀﺎً أن ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺣﺎﻓﻈﻮا ﻋﻠﻰ ﻣﺴﺘﻮى اﻷداء اﻟﻌﺎﱄ اﻟﺬي أﳒﺰوﻩ ﺳﺎﺑﻘﺎً ،ﺑﻴﻨﻤﺎ زاد ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ
ﺑﺸﻜﻞ ﻧﺴﱯ ﻣﻌﺪﳍﻢ ،وﻫﺬا راﺟﻊ أن ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﻗﺎﻣﻮا ﺑﺪراﺳﺔ دﻟﻴﻞ اﻟﺘﺠﺎرب ﺑﺸﻜﻞ أﻋﻤﻖ ﺑﻜﺜﲑ ﻣﻦ دراﺳﺘﻬﻢ إﻳﺎﻩ ﺧﻼل
اﳉﻠﺴﺎت اﻟﺴﺎﺑﻘﺔ ﺑﺴﺐ ﻗﺮب اﻻﻣﺘﺤﺎن اﻟﻌﻤﻠﻲ اﻟﻨﻬﺎﺋﻲ ،إﻻ أن ﻓﺠﻮة ﻛﺒﲑة ﺟﺪاً ﰲ اﻷداء ﺑﲔ ﻤﻟﻤﻮﻋﺘﲔ ﻣﺎ ﺗﺰال واﺿﺤﺔ وﻗﺎﺋﻤﺔ.
ﻫﺬا اﻟﻘﻴﺎس ﻳﺘﻀﻤﻦ ﻣﻘﺎرﻧﺔ ﻣﺴﺘﻮى اﳌﻘﺎرﺑﺔ ﰲ ﺣﺼﻴﻠﺔ اﻟﺘﻌﻠﻢ ﰲ ﻛﻞ ﳎﻤﻮﻋﺔ ﺑﲔ اﻟﻄﻼب ذوي ﻗﺎﺑﻠﻴﺔ اﻟﺘﻌﻠﻢ اﳌﺘﻔﺎوﺗﺔ.
إن ﻣﻘﺎرﻧﺔ ﻗﻴﻤﺔ اﻻﳓﺮاف اﳌﻌﻴﺎري (Standard Deviation) SDﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻣﻊ ﻗﻴﻤﺔ اﻻﳓﺮاف اﳌﻌﻴﺎري ﻟﻠﻤﺠﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ
ﻄﻲ ﻣﺆﺷﺮ دﻻﱄ ﻋﻠﻰ ﻧﺘﺎﺋﺞ ﺣﺼﻴﻠﺔ ﺗﻌﻠﻢ ﻣﻘﺎرﺑﺔ ﺑﲔ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻣﻦ ﻣﺴﺘﻮﻳﺎت ﺗﻌﻠﻢ ﳐﺘﻠﻔﺔ – ﻗﺪرة اﻟﺘﻌﻠﻢ ﻟﻜﻞ واﺣﺪ
ﲣﺘﻠﻒ ﻋﻦ اﻵﺧﺮ ،ﻓﻤﻨﻬﻢ ﻗﺎﺑﻠﻴﺔ ﺗﻌﻠﻤﻬﻢ ﺑﻄﻴﺌﺔ وﻣﻨﻬﻢ ﻗﺎﺑﻠﻴﺔ ﺗﻌﻠﻤﻬﻢ ﻋﺎﻟﻴﺔ وﺳﺮﻳﻌﺔ – ﺣﻴﺚ ﻳﺘﻢ ﺣﺴﺎب ﻣﻌﺪل اﻟﺘﺒﺎﻳﻦ ﰲ ﻋﻼﻣﺎت
ﻟﻄﻼب وﺗﻘﻴﻴﻤﺎﻬﺗﻢ.
إن ﻗﻴﻤﺔ اﻻﳓﺮاف اﳌﻌﻴﺎري ﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻟﻼﻣﺘﺤﺎن اﻟﻐﲑ ﻣﻌﻠﻦ ﻫﻲ 4.67وﻫﻲ ﺗﺪل ﻋﻠﻰ ﺗرب ﻛﺒﲑ ﰲ أداء اﻟﻄﻼب ﰲ اﺠﻤﻟﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ؛ ﰲ ﺣﲔ أن ﻗﻴﻤﺔ اﻻﳓﺮاف اﳌﻌﻴﺎري ﻟﻠﻤﺠﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ 20.73ﺬا ﻳﺪل ﻋﻠﻰ ﺗﺒﺎﻳﻦ ﻛﺒﲑ ﰲ أداء اﻟﻄﻼب ﻣﻦ ﻧﻔﺲ اﺠﻤﻟﻤﻮﻋﺔ.
إذا ﻗﻤﻨﺎ ﺑﺘﻘﺴﻴﻢ ﳎﻤﻮﻋﺔ اﻟﻄﻼب اﻟﻘﻴﺎﺳﻴﺔ ) SGإﱃ ﳎﻤﻮﻋﺘﲔ ﺟﺰﺋﻴﺘﲔ ﻣﺘﺴﺎوﻳﺘﲔ ﺑﻨﺎءً ﻋﻠﻰ ﻣﻌﺪﻻﻬﺗﻢ اﻟﺪراﺳﻴﺔ ﺧﻼل اﻟﺴﻨﻮات اﻟﺜﻼث
وﱃ ،ﲝﻴﺚ ﺗﻀﻢ اﺠﻤﻟﻤﻮﻋﺔ اﻷوﱃ ” “HASGاﻟﻄﻼب اﻷﻋﻠﻰ ﻣﻌﺪﻻً ) HA; Higher Abilityوﺗﻀﻢ اﺠﻤﻟﻤﻮﻋﺔ اﻟﺜﺎﻧﻴﺔ ”“LASG
اﻟﻄﻼب اﻷﻗﻞ ﻣﻌﺪﻻً ) LA; Lower Abilityوﺑﺎﳌﺜﻞ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻄﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ) “HAEG” ،(EGوﻛﺬﻟﻚ ”.“LAEG
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 288
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
اﻵن ﻟﻨﻄﺒﻖ اﻟﺘﺤﻠﻴﻞ اﻹﺣﺼﺎﺋﻲ وﳓﺴﺐ اﳌﺘﻮﺳﻂ واﻻﳓﺮاف اﳌﻌﻴﺎري ﻟﻜﻞ ﻓﺌﺔ ) (N=15ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﺑﺎﳉﺪول.7-5
ﺑﺎﻟﻨﻈﺮ إﱃ اﳉﺪول 7-5أن اﻟﺘﺒﺎﻳﻦ ﰲ ﻧﺘﺎﺋﺞ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ وﺻﻞ إﱃ ،14.026%واﻻﺧﺘﻼف ﰲ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻫﻮ 8.77%
وﻫﻲ ﻧﺴﺒﻴﺔ ﻛﺒﲑة ﻣﻘﺎرﻧﺔً ﻣﻊ ﻓﺮق اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻤﻌﺪﻻت ﺑﺸﻜﻞ ﻋﺎم ،ﺣﻴﺚ أن ﻣﻌﺪﻻت اﻟﻄﻼب ﺗﱰاوح ﻋﻤﻮﻣﺎً ﺑﲔ 65~85
ﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﳒﺪ ﺗﻘﺎرﺑﺎً ﻛﺒﲑاً ﺟﺪاً ﻻ ﻳﺘﺠﺎوز ﺗﺒﺎﻳﻨﻪ ،0.52ﻛﻤﺎ أن اﻟﻔﺮق ﰲ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻨﺘﺎﺋﺞ ﻣﻬﻤﻞ ﻧﺴﺒﻴﺎً
،0.61%وﻫﺬا ﺑﺪورﻩ ﻳﺆﻛﺪ ﻋﻠﻰ أن ﺗﻄﺒﻴﻖ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﰲ اﻟﺘﻌﻠﻢ ﻳﻌﺰز وﻳﺮﻓﻊ ﻣﻦ ﻣﺴﺘﻮى اﻟﻄﻼب اﻷﻗﻞ )(LAEG
إﱃ ﻣﺴﺘﻮى ﻣﻘﺎرب ﺟﺪاً ﻟﻠﻄﻼب اﻷﻋﻠﻰ ) (HAEGوﻳﻠﻐﻲ اﻟﻔﺠﻮة اﳌﻌﺮﻓﻴﺔ واﻟﺘﻔﺎوت ﰲ اﳌﺴﺘﻮﻳﺎت ﺑﲔ اﻟﻄﻼب.
ﻳﺘﻀﻤﻦ ﻫﺬا اﻟﻘﻴﺎس اﺧﺘﺒﺎراً ﳌﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ) (Forgetting Factorﻟﻜﻞ ﻣﻦ ب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ )CLGواﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ
) .(OLGﰎ إﺟﺮاء اﻻﺧﺘﺒﺎر ﺑﻌﺪ ﺳﻨﺔ ﻛﺎﻣﻠﺔ ﻣﻦ ﳐﱪ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ – – Thursday 4, March, 2010واﺷﺘﻤﻞ اﻻﺧﺘﺒﺎر ﻋﻠﻰ
اﺛﲏ ﻋﺸﺮ ﺳﺆاﻻً ﰎ إﻋﺪادﻫﺎ وﺟﺪوﻟﺘﻬﺎ زﻣﻨﻴﺎً )اﳉﺪول (8-5وﻓﻘﺎً ﻟﺘﻌﻘﻴﺪ اﻹﺟﺎﺑﺔ ﲝﻴﺚ أﻧﻪ:
-ﻋﻠﻰ اﻟﻄﺎﻟﺐ أن ﳚﺪ اﻹﺟﺎﺑﺔ ﻣﻦ ﺧﻼل ﻗﺮاءة اﻟﺴﺆال ﻣﺮة واﺣﺪة ﻓﻘﻂ.
289 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
-اﻷﺳﺌﻠﺔ ﺷﺎﻣﻠﺔ وﻋﺎﻣﺔ إﻻ أ�ﺎ ﻟﻴﺴﺖ ﻣﺒﺎﺷﺮة ،ﻓﺎﻟﻐﺎﻳﺔ اﺧﺘﺒﺎر ﻣﺴﺘﻮى اﻟﻔﻬﻢ واﺧﺘﺒﺎر اﻟﺘﺬﻛﺮ ﻟﻠﻤﻌﻠﻮﻣﺎت ﻣﻌﺎً.
-ﻣﺪة اﻹﺟﺎﺑﺔ ﻋﻠﻰ اﻷﺳﺌﻠﺔ 15دﻗﻴﻘﺔ ﻓﻘﻂ.
-اﻷﺳﺌﻠﺔ اﺷﺘﻤﻠﺖ ﻋﻠﻰ اﻟﺘﺠﺎرب اﳋﻤﺴﺔ اﻷوﱃ اﳌﺘﻌﻠﻘﺔ ﺑﻨﻤﻮذج اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ واﳌﻐﻠﻘﺔ إﺿﺎﻓﺔ إﱃ اﻟﺘﺠﺎرب اﻟﺴﺎﺑﻌﺔ واﻟﺜﺎﻣﻨﺔ
اﳌﺘﻌﻠﻘﺔ ﺑﻨﻤﻮذج اﳌﺨﱪ اﻻﻓﱰاﺿﻲ واﻟﺘﻄﺒﻴﻘﻲ إﺿﺎﻓﺔ ﻟﺒﻘﻴﺔ اﻟﺘﺠﺎرب اﳌﺘﻤﻤﺔ ﻟﻠﻤﻘﺮر.
-ﻋﻼﻣﺔ اﻹﺟﺎﺑﺔ اﻟﻜﺎﻣﻠﺔ ﳉﻤﻴﻊ اﻷﺳﺌﻠﺔ ﻫﻲ 100درﺟﺔ ﰎ ﺗﻮزﻳﻌﻬﺎ ﻣﻦ أﺟﻞ 50درﺟﺔ ﻟﻠﺘﺠﺎرب اﻷرﺑﻌﺔ اﻷوﱃ.
اﳉﺪول 8-5اﳉﺪوﻟﺔ اﻟﺰﻣﻨﻴﺔ وﺗﻮزع اﻟﻌﻼﻣﺎت ﻋﻠﻰ أﺳﺌﻠﺔ اﺧﺘﺒﺎر ﲢﺪﻳﺪ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن
اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﻟﻨﺘﺎﺋﺞ اﻻﺧﺘﺒﺎر ﻳﺴﺎوي ،49.615ﰲ ﺣﲔ أن اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ 79.077؛
ﻧﺘﻴﺠﺔ اﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ ﻟﻠﻔﺮض ﺣﻮل وﺟﻮد اﺧﺘﻼف ﺑﲔ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ أﻇﻬﺮت ﻣﺘﻮﺳﻄﺎً أﻋﻠﻰ ﺑﻜﺜﲑ
ﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ )30%ﻋﻠﻰ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ وﲟﻌﺎﻣﻞ أﳘﻴﺔ إﺣﺼﺎﺋﻴـ ـ ــﺔ .p-value=0.000<0.05
اﳉﺪول 9-5اﻟﻘﻴﺎﺳﺎت اﻹﺣﺼﺎﺋﻴﺔ ﻟﻨﺘﺎﺋﺞ اﻟﻄﻼب ﰲ اﺧﺘﺒﺎر ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن ﺑﻌﺪ ﺳﻨﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 290
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
إن ﺳﺒﺐ ﻛﻮن اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﺠﻠﺴﺎت اﻷوﱃ أﻛﱪ ﻣﻦ اﳌﺘﻮﺳﻂ اﳊﺴﺎﰊ ﻟﻠﺠﻠﺴﺎت اﻷﺧﲑة ﻫﻮ أن اﳉﻠﺴﺎت اﻷوﱃ ﲢﻮي ﻣﻌﻠﻮﻣﺎت
رﲟﺎ ﺗﺘﻜﺮر ﰲ اﳉﻠﺴﺎت اﻷﺧﲑة وﻛﺬﻟﻚ ﻟﻜﻮن ﻫﺬﻩ اﳌﻌﻠﻮﻣﺎت أﻗﻞ ﺗﻌﻘﻴﺪاً.
ﻫﺬا اﻟﻘﻴﺎس ﻧﻮﻋﻲ وﻻ ﻳﺘﻌﻠﻖ ﺑﺎﻻﺧﺘﺒﺎر اﻹﺣﺼﺎﺋﻲ وإﳕﺎ ﻳﺴﺘﻨﺪ إﱃ ﻣﻼﺣﻈﺔ وﻣﺮاﻗﺒﺔ وﺗﻘﻴﻴﻢ اﶈﺎﺿﺮ ﻷداء اﻟﻄﻼب ﺧﻼل ﺟﻠﺴﺔ اﳌﺨﺘﱪ.
ﻟﻘﺪ ﻟﻮﺣﻆ ﺧﻼل اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ أداء ﻣﺘﺴﺎرع ﻟﻄﻼب ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ )اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ( ﻧﻈﺮاً ﻟﻠﺘﺤﻀﲑ اﳌﺴﺒﻖ ﻟﻜﻞ ﺟﻠﺴﺔ ،إﺿﺎﻓﺔ
ﻟﻌﻤﻠﻬﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﺎرﻳﻊ اﳌﻨﺰﻟﻴﺔ ،ﻛﺬا ﻓﻬﻤﻬﻢ ﻟﻜﻞ ﻧﻘﻄﺔ ﻗﺒﻞ اﳋﻮض ﰲ اﻟﻨﻘﻄﺔ اﻟﻼﺣﻘﺔ.
ﰲ اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ اﻷوﱃ ﻛﺎن اﻟﺘﺴﺎرع ﰲ أداﺋﻬﻢ ﲝﻴﺚ أن ﻋﺪد اﻟﺘﺠﺎرب اﻟﱵ ﻗﺎم ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺑﺘﻨﻔﻴﺬﻫﺎ ﻛﺎﻧﺖ 5ﲡﺎرب،
ﺑﻴﻨﻤﺎ ﺗﺄﺧﺮ ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ )اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ( ﲟﻘﺪار ﲡﺮﺑﺔ )زﻣﻦ ﺗﻨﻔﻴﺬﻫﺎ ﻳﺴﺘﻐﺮق 15دﻗﻴﻘﺔ( ،وذﻟﻚ ﺑﺴﺒﺐ اﻷﺳﺌﻠﺔ اﻟﻜﺜﲑة اﻟﱵ
ﺣﻬﺎ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ،وﻛﺬﻟﻚ ﻇﻬﻮر ﺑﻌﺾ اﳌﺸﺎﻛﻞ أﺛﻨﺎء ﺗﻨﻔﻴﺬ ﻛﻞ ﲡﺮﺑﺔ وأﻳﻀﺎً ﳏﺎوﻟﺔ اﻟﻄﻼب ﻓﻬﻢ اﻟﻨﻘﺎط أﺛﻨﺎء اﻟﺘﺠﺮﺑﺔ
ﻧﻔﺴﻬﺎ ،ﰎ ﺗﻌﻮﻳﺾ ﻫﺬا اﻟﺘﺄﺧﲑ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻄﻼب ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﻣﻦ ﺧﻼل اﻟﺰﻣﻦ اﻟﻀﺎﺋﻊ ﰲ ﺑﺪاﻳﺔ اﳊﺼﺔ اﳌﺨﱪﻳﺔ ﻟﺘﻘﺪﱘ اﳌﺬاﻛﺮة ﻣﻦ ﻗﺒﻞ
ﻃﻼب اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ.
ﰲ اﳉﻠﺴﺔ اﻟﺜﺎﻧﻴﺔ دت أﺳﺌﻠﺔ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ واﺣﺘﺎﺟﺖ إﱃ زﻣﻦ إﺿﺎﰲ ﻟﻼﻧﺘﻬﺎء ﻣﻘﺎرﻧﺔ ﻣﻊ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ،وذﻟﻚ
ﻷن ﳏﺘﻮى اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ أﺻﺒﺢ أﻋﻘﺪ ﻣﻘﺎرﻧﺔً ﻣﻊ ﳏﺘﻮى اﳉﻠﺴﺔ اﻷوﱃ.
ﰲ اﳉﻠﺴﺔ اﻟﺜﺎﻟﺜﺔ دادت اﻟﻔﺠﻮة ﺑﲔ ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ ﰲ أداء اﻟﺘﺠﺎرب ﰲ اﳌﺨﱪ وﺳﺮﻋﺔ اﻟﻔﻬﻢ ﻟﻠﺘﺠﺎرب اﳌﺪﳎﺔ ،ﺣﻴﺚ أن ﻃﻼب ﻤﻟﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ ﻢ اﻟﻮﻗﺖ اﻟﻀﺎﺋﻊ ﰲ ﺑﺪاﻳﺔ ﻛﻞ ﺟﻠﺴﺔ ﰲ اﳌﺬاﻛﺮة ،إﻻّ أ�ﻢ ﺳﺒﻘﻮا ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﲟﻌﺪل 3ﲡﺎرب ) 60دﻗﻴﻘﺔ( ،ﳑﺎ
اﺿﻄﺮﻧﺎ إﱃ إﺿﺎﻓﺔ ﺳﺎﻋﺔ ﺗﻌﻮﻳﻀﻴﺔ ﻟﻄﻼب ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ.
ﺑﻌﺪ ﺛﻼث ﺟﻠﺴﺎت ﻋﻤﻠﻴﺔ ،أﺻﺒﺢ ﻟﺪى ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺧﱪة ﺑﺮﳎﻴﺔ أﻛﱪ ،وازدادت ﻣﻌﺮﻓﺘﻬﻢ ﳑﺎ دﻓﻌﻬﻢ إﱃ ﺗﻄﻮﻳﺮ ﻣﺸﺎرﻳﻊ
اﳉﻠﺴﺎت اﻟﺴﺎﺑﻘﺔ وإﻋﺎدة إرﺳﺎﳍﺎ ﲝﻴﺚ أ�ﺎ أﺻﺒﺤﺖ ﲢﻮي ﻋﻠﻰ اﳊﻠﻮل اﻟﱪﳎﻴﺔ اﻟﱵ ﺗﻌﻠﻤﻬﺎ اﻟﻄﻼب ﰲ اﳉﻠﺴﺎت اﻷﺧﲑة ،ﻓﻤﺜﻼً وﻇﻴﻔﺔ
اﳉﻠﺴﺔ اﻟﺜﺎﻧﻴﺔ اﳌﺘﻌﻠﻘﺔ ﲝﺴﺎب وﺗﻮﻟﻴﺪ ﺗﺎﺑﻊ اﻹﺷﺎرة اﳉﻴﱯ ،ﰎ ﺗﻄﻮﻳﺮ اﳌﺸﺮوع ﻟﻴﻘﻮم ﺑﺘﻮﻟﻴﺪ ﲨﻴﻊ اﻹﺷﺎرات اﳌﺜﻠﺜﻴﺔ ،ﻋﻠﻤﺎً أﻧﻪ ﱂ ﻳﻄﻠﺐ ذﻟﻚ
ﻣﻦ اﻟﻄﻼب؛ أﻳﻀﺎً ﻟﻮﺣﻆ أن اﳌﺴﺎﺋﻞ اﻟﺘﺼﻤﻴﻤﻴﺔ اﻟﻌﺎﻟﻘﺔ ﰲ إﳒﺎز اﳌﺸﺎرﻳﻊ اﳌﺴﻨﺪة ﺳﺎﺑﻘﺎً ﺗﻼﺷﺖ ﻣﻊ اﻟﻮﻗﺖ ،وﻗﺪ ﻗﺎم اﻟﻄﻼب ﲝﻠﻬﺎ
ﻻﺣﻘﺎً.
اﳉﺪﻳﺮ ذﻛﺮﻩ أﻳﻀﺎً ﻇﻬﻮر اﻟﺘﻨﺎﻓﺴﻴﺔ ﺑﲔ اﻟﻄﻼب ﰲ اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ،ﺣﻴﺚ أﻧﻪ ﻣﺎ أن ﻳﺴﻤﻊ اﻟﻄﺎﻟﺐ أن زﻣﻴﻠﻪ ﻗﺎم ﺑﺘﻄﻮﻳﺮ اﻟﻮﻇﻴﻔﺔ؛
ﺣﱴ ﻳﻘﻮم ﻫﻮ أﻳﻀﺎً ﺑﺘﻄﻮﻳﺮ وﻇﻴﻔﺘﻪ وإرﺳﺎﳍﺎ ﻣﻦ ﺟﺪﻳﺪ ،وﻫﺬا ﻳﺪل ﻋﻠﻰ أن ﳕﻮذج اﻟﺘﻌﻠﻢ ﺑﺎﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻫﻮ ﳕﻮذج ﲢﻔﻴﺰي.
ﺳﺌﻠﺔ اﳌﻄﺮوﺣﺔ ﺧﻼل اﳉﻠﺴﺎت ﻣﻦ ﻗﺒﻞ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﻛﺎﻧﺖ ﻋﺎﻣﺔ وﺗﻄﺮح ﻟﻼﺳﺘﻔﺴﺎر ﻋﻦ ﻣﺴﺎﺋﻞ ﺗﺘﻌﻠﻖ ﲟﺸﺎﻛﻞ اﻟﺘﺸﻐﻴﻞ واﻟﺘﻨﻔﻴﺬ،
ﻨﻤﺎ اﻷﺳﺌﻠﺔ اﳌﻄﺮوﺣﺔ ﻣﻦ ﻗﺒﻞ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻛﺎﻧﺖ ﺗﺴﺘﻔﺴﺮ ﻋﻦ ﺗﻔﺎﺻﻴﻞ أﻋﻤﻖ وأدق.
291 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
أداء وﻧﺘﺎﺋﺞ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻗﺖ ﺑﺸﻜﻞ ﻛﺒﲑ وﻣﻠﺤﻮظ ﻧﺘﺎﺋﺞ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ،اﻟﻨﺘﺎﺋﺞ أﻇﻬﺮت أن اﻹﺻﻼح
ﻳﺆدي إﱃ اﻟﺘﺤﺴﲔ اﳉﺬري ﰲ ﺣﺼﻴﻠﺔ اﻟﺘﻌﻠّﻢ].[802,805,806
اﻟﺘﻌﻠﻴﻤﻲ اﳉ ّﺬري ﳝﻜﻦ أن ّ
أﻇﻬﺮت اﻟﻨﺘﺎﺋﺞ اﻟﺘﺠﺮﻳﺒﻴﺔ دﻟﻴﻼً واﺿﺤﺎً ﻋﻠﻰ ﺗﻔﻮق ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت واﳌﺸﺎرﻳﻊ ﻋﻠﻰ اﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻤﻲ
اﻟﺘﻘﻠﻴﺪي؛ ﺣﻘﻖ ب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻧﺘﺎﺋﺞ وإﳒﺎزات ﺗﻌﻠﻢ راﺳﺨﺔ وﻣﺴﺘﻘﺮة ﺣﱴ اﻟﻨﻬﺎﻳﺔ ﲞﻼف ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ اﳌﻌﻴﺎرﻳﺔ
اﻟﺬﻳﻦ أﳒﺰوا ﺣﺼﻴﻠﺔ ﺗﻌﻠﻴﻤﻴﺔ أﻗﻞ ﺑﻜﺜﲑ.
[57,807-
ﻟﻘﺪ ذﻛﺮ ﻣﺮاراً وﺗﻜﺮاراً ﰲ اﻟﻌﺪﻳﺪ اﻷﲝﺎث اﻟﺘﻌﻠﻴﻤﻴﺔ أن اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ) (PBLﻳﺰﻳﺪ وﻳﻌﺰز اﳊﺎﻓﺰ اﻟﺬاﰐ ﻟﻠﻄﻼب
] ،811وﻫﺬا ﻣﺎ أﻛﺪت ﻋﻠﻴﻪ اﻟﻨﺘﺎﺋﺞ ﰲ ﻫﺬا اﻟﺒﺤﺚ ،ﺣﻴﺚ ﻟﻮﺣﻆ ﺣﺎﻓﺰ ذاﰐ ﺟﻮﻫﺮي ﻛﺒﲑ وواﺿﺢ ﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ،وﻇﻬﺮ
ﻟﺪﻳﻬﻢ أﻳﻀﺎً ﺳﻠﻮك ﺗﻨﺎﻓﺴﻲ ﻇﻬﺮ أﺛﺮﻩ ﻣﻦ ﺧﻼل ﺗﻄﻮﻳﺮ ﻣﺸﺎرﻳﻊ اﻟﻮﻇﺎﺋﻒ اﻟﺴﺎﺑﻘﺔ ﺑﺪون أي ﻃﻠﺐ ﻣﺴﺒﻖ ،ﺑﺎﻹﺿﺎﻓﺔ إﱃ ﺗﻄﻮﻳﺮ أﻓﻜﺎر أﻛﺜﺮ
ﺗﻌﻘﻴﺪاً ،ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ،ﻳﻘﻢ أي ﻣﻦ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ ﺑﺘﻄﻮﻳﺮ أي ﻣﻦ ﻣﺸﺎرﻳﻊ اﳉﻠﺴﺎت أو إﺿﺎﻓﺔ أي أﻓﻜﺎر .إن ﺳﺒﺐ ﻇﻬﻮر
ﻣﺜﻞ ﻫﺬا اﳊﺎﻓﺰ اﻟﺬاﰐ واﻟﺘﻔﺎﻋﻞ اﻹﳚﺎﰊ اﻟﻜﺒﲑ ﻗﺒﻞ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﳝﻜﻦ أن ﻳﺮﺟﻊ إﱃ ﺣﻘﻴﻘﺔ أن اﻟﻄﻼب ﺗﻌﺮﺿﻮا ﻟﻠﻤﺮة
اﻷوﱃ إﱃ ﻫﺬﻩ اﳌﻨﻬﺠﻴﺔ اﳉﺪﻳﺪة وﻫﺬا اﻟﻨﻮع ﻣﻦ اﻟﺘﻌﻠﻢ ،وﻋﻤﻠﻮا ﻋﻠﻰ ﻣﺸﺎرﻳﻊ وﺟﺪوﻫﺎ ذات ﺻﻠﺔ ﺣﻘﻴﻘﻴﺔ ﳌﺴﺘﻘﺒﻠﻬﻢ اﳌﻬﲏ ،ﰲ ﺣﲔ أن
ﲨﻴﻊ ﻓﺼﻮﳍﻢ اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﺴﺎﺑﻘﺔ ﰎ ﺗﺪرﻳﺴﻬﺎ ﺑﺎﻟﻄﺮﻳﻘﺔ اﻟﻜﻼﺳﻴﻜﻴﺔ ،وﱂ ﺗﺮﺗﺒﻂ ﺑﺄي ﻣﺸﺮوع ﻋﻤﻠﻲ واﻗﻌﻲ.
ﺑﺪف ﲢﻠﻴﻞ وﺟﻬﺔ ﻧﻈﺮ اﻟﻄﻼب ﰎاء اﺳﺘﻘﺼﺎء رأي ﻟﻜﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ وﻓﻖ ﳕﻮذﺟﲔ ﻛﻞ ﻣﻨﻬﻤﺎ ﳐﺼﺺ ﺠﻤﻟﻤﻮﻋﺔ وﻓﻘﺎً ﻟﻠﻤﻨﻬﺠﻴﺔ اﻟﱵ ﰎ
اﺗﺒﺎﻋﻬﺎ -ﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﳕﻮذج اﻻﺳﺘﻄﻼع ﰲ اﻟﻤﻠﺤﻖ .6-ﺑﺸﻜﻞ ﻋﺎم ﻓ اﺳﺘﻄﻼع اﻟﺮأي اﻟﺬي ﻗﺪﻣﻪ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ
أﻇﻬﺮ ﻣﻮﻗﻔﺎً إﳚﺎﺑﻴﺎً ﻀﻞ ﻣﻦ اﻻﺳﺘﻄﻼع اﻟﺬي ﻗﺪﻣﻪ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ.
إن اﻟﺘﻔﺴﲑ ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺗﺮﺑﻮﻳﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺣﻮل ﻗﻮة اﻟﻨﻤﻮذج اﻟﺒﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ﳝﻜﻦ اﺳﺘﻨﺒﺎﻃﻪ ﻣﻦ ﻧﻈﺮﻳﺔ Kolbﰲ
اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ] ،[545ﺣﻴﺚ ﻳﺸﲑ Kolbإﱃ أن اﻟﺘﻌﻠﻢ اﻷﻣﺜﻠﻲ ﻳﺘﻄﻠﺐ أرﺑﻊ أﻃﻮار ﻣﺘﻤﻴﺰة :اﳋﱪات اﳌﻠﻤﻮﺳﺔ ”(Concrete “CE
) ،Conceptualizationاﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ” .(Active Experimentation) “AEإن ﻣﻨﻬﺞ وأﺳﻠﻮب اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ اﻟﺘﻘﻠﻴﺪي ﻳﺮﺑﻂ
اﻟﻄﻼب ﺑﺎﻟﺪرﺟﺔ اﻷوﱃ ﲟﺮﺣﻠﺔ اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ،وﻣﻊ ذﻟﻚ ﻓﺈن ﺑﻨﺎء اﳌﻌﺮﻓﺔ اﻟﺘﺠﺮﻳﺒﻴﺔ ﺑﻨﺠﺎح ﻳﺘﻄﻠﺐ ﻣﻦ اﻟﻄﻼب ﺗﺄدﻳﺔ اﳌﻜﻮﻧﺎت اﻟﺜﻼﺛﺔ
اﻷﺧﺮى ﻟﺪورة Kolbﰲ اﻟﺘﻌﻠﻢ].[545
وأﻣﺎ ﻣﻦ ﺧﻼل ﻣﻨﻬﺞ اﻟﺘﻌﻠﻢ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت ،ﻓﺈن اﻟﻄﻼب ﳝﻠﻜﻮن وﺻﻮﻻً ﻓﻌﺎﻻً ﻟﺘﻄﺒﻴﻖ اﳋﱪات اﳌﻠﻤﻮﺳﺔ ” ،“CEاﳌﻼﺣﻈﺔ
اﻟﺘﺄﻣﻠﻴﺔ ” “ROوﺗﺸﻜﻴﻞ اﻟﻨﻤﺎذج اﻟﻌﻘﻠﻴﺔ ﻟﻠﻤﻔﺎﻫﻴﻢ اﻟﻨﻈﺮﻳﺔ .إن ﺗﻔﺴﲑ اﻟﻨﺘﺎﺋﺞ ﻣﻦ ﺟﻬﺔ ﻧﻈﺮ اﻟﻌﻠﻮم اﳌﻌﺮﻓﻴﺔ اﻹدراﻛﻴﺔ ﻣﺜﻞ ﳕﻮذج ﻣﻌﺎﳉﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 292
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﻳﻘﺴﻢ اﻟﺬاﻛﺮة إﱃ ذاﻛﺮة ﲣﺰﻳﻦ ﻗﺼﲑة اﻷﻣﺪ وذاﻛﺮة ﲣﺰﻳﻦ ﻃﻮﻳﻠﺔ اﻷﻣﺪ،
اﳌﻌﻠﻮﻣﺎت ﳝﻜﻦ أن ﻳﻌﻄﻲ ﺗﻔﺴﲑاً ﻣﻘﻨﻌﺎً ﳍﺬﻩ اﻟﻨﺘﺎﺋﺞ؛ اﻟﻨﻤﻮذج ّ
][514
إن ﲣﺰﻳﻦ اﳌﻬﺎرات واﳌﻌﻠﻮﻣﺎت واﳋﻮارزﻣﻴﺎت ﰲ اﻟﺬاﻛﺮة ﻃﻮﻳﻠﺔ اﻷﻣﺪ ﻳﺘﻄﻠّﺐ اﻟﺘﻜﺮار واﳌﺮاﺟﻌﺔ.
إن اﻟﻔﺠﻮة اﻟﻜﺒﲑة ﰲ ﻧﺘﺎﺋﺞ اﻻﺧﺘﺒﺎرات اﻟﻜﻤﻴﺔ واﻟﻨﻮﻋﻴﺔ ﺑﲔ اﻟﻄﻼب اﻟﺬﻳﻦ ﻃﺒﻘﺖ ﻋﻠﻴﻬﻢ اﳌﻨﻬﺠﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ ،واﻟﻄﻼب اﻟﺬﻳﻦ ﺗﺎﺑﻌﻮا ﻣﻨﻬﺠﻴﺔ
اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت واﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ واﻟﺘﻘﻴﻴﻢ اﻟﺒﻨﺎﺋﻲ واﻟﺘﻨﻈﻴﻢ اﻟﺬاﰐ ،ﻛﻞ ﻫﺬا ﳛﺚ ﻋﻠﻰ إﻋﺎدة ﻧﻈﺮ ﺟﻮﻫﺮﻳﺔ وﺣﺎﲰﺔ
ﻟﻠﻄﺮاز اﻟﻘﺪﱘ ﻟﻨﻤﺎذج اﻟﺘﻌﻠﻴﻢ واﻟﺘﻌﻠﻢ ،وﺗﺒﲏ اﳌﺰﻳﺪ ﻣﻦ اﻷﺳﺎﻟﻴﺐ اﻟﺒﻨﺎﺋﻴﺔ.
ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ وﺟﻮد ﺳﻴﺌﺔ وﺣﻴﺪة ﻟﻠﻤﻨﻬﺠﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ اﳌﺘﺒﻌﺔ ﰲ ﻫﺬا اﻟﻨﻤﻮذج ،وﻫﻲ ﲪﻞ اﻟﻌﻤﻞ اﻹﺿﺎﰲ اﻟﻜﺒﲑ ﺟﺪاً اﻟﺬي ﻓﺮض ﻋﻠﻰ ﳏﺎﺿﺮ
ﺨﺘﱪ ﻋﻨﺪ اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ،ﺣﻴﺚ أن ﺗﻘﺪﱘ ﺗﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ ﻫﺎدﻓﺔ وﻓﻌﺎﻟﺔ ﳛﺘﺎج إﱃ ﲢﻀﲑات ﻛﺒﲑة وﻣﺴﺒﻘﺔ
ﻟﻠﻤﻨﻬﺠﻴﺎت واﻻﺧﺘﺒﺎرات اﻟﻼزﻣﺔ ،إﺿﺎﻓﺔً إﱃ اﳌﺮاﺟﻌﺎت ﻟﻠﻤﺸﺎرﻳﻊ واﻟﻮﻇﺎﺋﻒ ،أﺿﻒ إﱃ ذﻟﻚ ﺗﺼﺤﻴﺢ اﻻﺧﺘﺒﺎرات وﻣﺮاﻗﺒﺔ اﻷداء.
ﻟﻠﺤﺪ ﻣﻦ ﻫﺬا اﻟﻌﺐء اﻹﺿﺎﰲ اﻟﻜﺒﲑ ،ﻓﺈﻧﻪ ﻻﺑﺪ ﻣﻦ اﻗﱰاﺣﺎت ﻻﺣﻘﺔ ﻹﻋﺎدة ﻫﻴﻜﻠﺔ اﻟﻨﻤﻮذج ﻣﻦ وﺟﻬﺔ ﻧﻈﺮ ﺗﻌﻠﻴﻤﻴﺔ ﻣﺜﻞ ﺗﻄﺒﻴﻖ اﺳﱰاﺗﻴﺠﻴﺔ
”) “Peer-assessmentﺗﻘﻴﻴﻢ اﻟﺰﻣﻼء ودﻋﻤﻬﻢ ﻟﺒﻌﻀﻬﻢ اﻟﺒﻌﺾ( ﻟﻠﺘﺨﻠﺺ ﻣﻦ اﻟﺘﺄﺛﲑ اﻟﺴﻠﱯ اﻷﺧﲑ.
اﻟﺴﺆال اﻟﺬي ﻗﺪ ﻳﻄﺮح ﻣﻦ ﻗﺒﻞ اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﺷﺨﺎص ﻗﺒﻞ اﳌﺮور ﻋﻠﻰ ﻫﺬا اﻟﻔﺼﻞ أو اﻟﺬي ﻗﺒﻠﻪ ﻫﻮ" :ﳌﺎذا ﻧﻨﺎدي ﺑﺎﺳﱰاﺗﻴﺠﻴﺎت اﻟﺘﻌﻠﻴﻢ
اﳊﺪﻳﺚ؟!" اﻹﺟﺎﺑﺔ ﻧﻮردﻫﺎ ﳎﻤﻠﺔ ﰲ اﳉﺪول 10-5اﻟﺬي ﻳﺒﲔ ﻣﻘﺎرﻧﺔ ﺑﲔ اﻟﻄﺮق اﻟﺘﻘﻠﻴﺪﻳﺔ واﻻﺳﱰاﺗﻴﺠﻴﺎت اﳊﺪﻳﺜﺔ ﰲ اﻟﺘﻌﻠﻢ واﻟﺘﻌﻠﻴﻢ.
293 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
اﳉﺪول 11-5ﻣﻘﺎرﻧﺔ ﺑﲔ ﻋﺪد ﻣﺸﺎرﻳﻊ اﻟﺘﺨﺮج اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ
19
2009-2010
34
4
2008-2009
25
5
2007-2008
32
ﻋﺪد اﳌﺸﺎرﻳﻊ اﻟﱵ ﺗﻌﺘﻤﺪ ﻋﻠﻰ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﺪد اﳌﺸﺎرﻳﻊ اﻟﻜﻠﻲ
ﻧﺤﻮ ﺗﻄﻮﻳﺮ ﻧﻤﻮذج ﺗﻌﻠﻴﻤﻲ ﺷﺎﻣﻞ ):(Toward a Comprehensive Educational Model 8-5
ﰲ ﻫﺬﻩ اﻟﻔﻘﺮة ﻧﻀﻊ ﻋﺼﺎرة اﻷﺳﺲ اﻟﱰﺑﻮﻳﺔ اﻟﱵ ﺧﻄّﺖ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ،وﲬﺮة اﻵﻟﻴﺎت اﻟﻌﻤﻠﻴﺔ ﻟﺘﻠﻚ اﻷﺳﺲ واﻟﱵ ﰎ ﻣﻨﺎﻗﺸﺘﻬﺎ
وﺗﻄﺒﻴﻘﻬﺎ وﲢﻠﻴﻠﻬﺎ ﰲ ﻫﺬا اﻟﻔﺼﻞ.
ﺑﺎﻟﻌﻮدة إﱃ ﳕﻮذج Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﰲ اﻟﻔﺼﻞ اﻟﺮاﺑﻊ )اﻟﺸﻜﻞ ،(4-4ﻓﻘﺪ اﻗﱰح Kolbأن اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﳚﺐ أن ﳝﺮ ﺧﻼل دورة
ﻛﺎﻣﻠﺔ ﻣﺸﻜﻼً أرﺑﻌﺔ أﻃﻮار :اﳋﱪات اﳌﻠﻤﻮﺳﺔ ” ،(Concrete Experience) “CEاﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ ”(Reflective “RO
).Experimentation
ﻳﺸﻜﻞ ﻃﻮر اﳋﱪات اﳌﻠﻤﻮﺳﺔ ” “CEاﳌﻨﻄﻠﻖ اﻷﺳﺎﺳﻲ ﻟﺘﺤﻔﻴﺰ اﻫﺘﻤﺎم اﳌﺘﻌﻠﻢ ﳓﻮ ﻧﺘﺎﺋﺞ اﻟﺘﻌﻠﻢ اﳌﻄﻠﻮﺑﺔ ،وﳝﺜﻞ اﻟﺘﻌﺮض ﻟﻠﻤﺮة اﻷوﱃ إﱃ
اﳌﻌﺮﻓﺔ أو اﳋﱪة اﳉﺪﻳﺪة ،وﻳﺴﺘﻠﺰم اﻟﺒﺤﺚ ﰒ اﳋﻮض ﰲ اﻟﺘﺠﺮﺑﺔ اﻟﺘﻌﻠﻴﻤﺔ اﳉﺪﻳﺪة ﺑﺎﻟﻜﺎﻣﻞ ﺑﺪون أي ﲢﻴﺰ ،وﻫﺬا اﻟﻄﻮر ﻫﻮ اﻟﻘﺎﻋﺪة ﻟﻄﻮر
اﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ ”.“RO
ﻃﻮر اﳌﻼﺣﻈﺎت اﻟﺘﺄﻣﻠﻴﺔ ” “ROﻳﺴﺘﻠﺰم اﳌﻘﺪرة ﻋﻠﻰ اﻟﺘﻔﻜﲑ واﳌﻼﺣﻈﺔ ﻟﻠﺨﱪات اﳉﺪﻳﺪة ﻣﻦ أﻛﺜﺮ ﻣﻦ ﻣﻨﻈﻮر ،وﻫﺬا ﻳﻘﻮد إﱃ اﳌﻔﺎﻫﻴﻢ
ﻤﻟﺮدة ”.“AC
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 294
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ر اﳌﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة ” “ACﻳﺴﺘﻠﺰم اﳌﻘﺪرة ﻋﻠﻰ ﺧﻠﻖ ﻣﻔﺎﻫﻴﻢ ﺗﺪﻣﺞ ﺑﲔ اﳌﻼﺣﻈﺎت اﻟﺘﺄﻣﻠﻴﺔ واﻟﻨﻈﺮﻳﺎت اﻟﱵ ﺗﺸﺮح ﻫﺬﻩ اﳌﻼﺣﻈﺎت ﺑﺸﻜﻞ
ﻣﻨﻄﻘﻲ ،وﻫﺬا ﻳﻘﻮد إﱃ اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ”.“AE
ﻃﻮر اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ” “AEﻳﺴﺘﻠﺰم اﳌﻘﺪرة ﻋﻠﻰ اﺳﺘﺨﺪام اﻟﻨﻈﺮﻳﺎت اﳌﺘﻮﻟﺪة ﰲ ﺣﻞ اﳌﺸﺎﻛﻞ واﲣﺎذ اﻟﻘﺮارات ،اﻷﻣﺮ اﻟﺬي ﻳﺆدي إﱃ ﺧﱪة
ﺪﻳﺪة ﺗﻜﺘﻤﻞ ﻬﺑﺎ دورة .Kolb
إن ﻋﻤﻠﻴﺔ ﺑﻨﺎء اﳌﻌﺮﻓﺔ اﳉﺪﻳﺪة ) (Knowledge Constructionﺗﺘﻢ ﻣﻦ ﺧﻼل ﻃﻮر اﻟﺘﺠﺮﻳﺐ اﻟﻔﻌﺎل ” “AEواﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ
” ،“ROو ﻫﺬا اﻟﺒﻨﺎء ﻳﺴﺒﺐ ﻇﻬﻮر اﳌﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة ” “ACاﳉﺪﻳﺪة ﰲ ﻋﻘﻞ اﳌﺘﻌﻠﻢ واﻟﱵ ﺑﺪورﻫﺎ ﺗﺮﺑﻂ ﺑﲔ أﻫﺪاف اﻟﺘﻌﻠﻢ )اﻹﺷﺎرة
اﳌﺮﺟﻌﻴﺔ ﰲ اﻟﺪﺧﻞ( واﳌﻔﺎﻫﻴﻢ اﳋﺎرﺟﻴﺔ.
ﻮم ﻃﻮر اﳌﻼﺣﻈﺔ اﻟﺘﺄﻣﻠﻴﺔ ﺑﺘﻘﻴﻴﻢ اﳌﻔﺎﻫﻴﻢ اﺠﻤﻟﺮدة اﻟﱵ ﰎ ﺑﻨﺎؤﻫﺎ وﻳﺮﺟﻊ ﻫﺬا اﻟﺘﻘﻴﻴﻢ ﻛﺘﻐﺬﻳﺔ ﻋﻜﺴﻴﺔ إﱃ اﳌﺘﻌﻠﻢ ﻟﺘﺤﺪﻳﺪ ﻓﻴﻤﺎ إذا ﲤﺖ دورة
اﻟﺒﻨﺎء ﺑﺄﻃﻮارﻫﺎ اﻷرﺑﻌﺔ وﰎ ﲢﻘﻴﻖ اﻷﻫﺪاف أم ﻻ ،وﻫﻜﺬا ﺣﱴ ﺗﻜﺘﻤﻞ ﲨﻠﺔ أﻫﺪاف اﻟﺘﻌﻠﻢ ﲨﻴﻌﻬﺎ.
اﻟﺸﻜﻞ 45-5ﻳﺒﲔ اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ اﻟﻌﺎم ﻟﻠﻨﻤﻮذج اﻟﺘﻌﻠﻴﻤﻲ اﳌﻘﱰح واﻟﺬي ﰎ ﺗﺼﻤﻴﻪ ﺑﻨﺎءً ﻋﻠﻰ اﻷﺳﺲ اﻟﺒﻨﺎﺋﻴﺔ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ وﻧﻈﺮﻳﺔ
Kolbواﻟﺘﺠﺎرب اﻻﺧﺘﺒﺎرﻳﺔ ﰲ ﻫﺬا اﻟﻔﺼﻞ .ﻛﻤﺎ ﻳﺒﲔ اﻟﺸﻜﻞ 46-5اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ اﻟﺘﻔﺼﻴﻠﻲ اﳌﻨﻬﺠﻲ ﻟﻜﻞ ﺟﻠﺴﺔ ﻣﻦ ﺟﻠﺴﺎت
اﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻤﻲ.
ﻫﺬا اﻟﻨﻤﻮذج ﺳﻴﺴﺘﺨﺪم ﻻﺣﻘﺎً ﻟﺒﻨﺎء اﳌﻨﻬﺞ اﻟﻌﻤﻠﻲ ﻟﺘﻌﻠﻴﻢ ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً.
295 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Course Learning Style Set Teaching Session Session Session
Goals Inventory Methodology + 1 + 2 + n +
Feed Forward
Feed Up
Feed Back
Course Evaluation Course Project
(Students & Teacher) Self-Directed, Team-based, PrBL, PjBL
Formative Students
Assessment Learning FA-TLE FA-TLE FA-TLE
Observable Outcomes
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages
( ﰲ اﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻤﻲ اﳌﻘﱰحSession) ﳐﻄﻂ ﻛﻞ ﺟﻠﺴﺔ45-5اﻟﺸﻜﻞ
(FA-PLE)
296
| ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﻳﺴﺘﺨﺪم ﳕﻮذج VARKﻟﺘﺤﺪﻳﺪ أﺳﻠﻮب اﻟﺘﻌﻠﻢ ﻟﻸﻓﺮاد ،وﻗﺪ ﺗﻘﺪم ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﺗﻌﺮﻳﻒ اﻟﺒﺎﺣﺜﲔ & “Felder
][581,582
” Silvermanﻷﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ ﻋﻠﻰ أ�ﺎ ﳎﻤﻮﻋﺔ ﻣﻦ اﻟﺴﻠﻮﻛﻴﺎت اﳌﻌﺮﻓﻴﺔ واﻟﻮﺟﺪاﻧﻴﺔ واﻟﻨﻔﺴﻴﺔ ،اﻟﱵ ﺗﻌﻤﻞ ﻣﻌﺎً ﻛﻤﺆﺷﺮات ﺛﺎﺑﺘﺔ ﻧﺴﺒﻴﺎً ﻟﻜﻴﻔﻴﺔ
إدراك وﺗﻔﺎﻋﻞ واﺳﺘﺠﺎﺑﺔ اﻟﻄﺎﻟﺐ ﻣﻊ ﺑﻴﺌﺔ اﻟﺘﻌﻠﻢ.
اﳉﺪول 12-5ﻳﺒﲔ ﳕﻮذج اﺳﺘﺒﻴﺎن ،VARKﻗﻢ ﺑﺘﺤﺪﻳﺪ اﻹﺟﺎﺑﺔ اﻟﱵ ﺗﺸﺮح اﺧﺘﻴﺎرك اﻷﻓﻀﻞ ،وﳝﻜﻨﻚ اﺧﺘﻴﺎر أﻛﺜﺮ ﻣﻦ إﺟﺎﺑﺔ إذا ﻛﺎن
اﳉﻮاب اﻟﻮاﺣﺪ ﻻ ﻳﻄﺎﺑﻖ إدراﻛﻚ اﳊﺴﻲ ،وﻻ ﻣﺎﻧﻊ ﻣﻦ ﺗﺮك اﻟﺴﺆال اﻟﺬي ﻻ ﻳﻨﻄﺒﻖ ﻋﻠﻴﻚ دون إﺟﺎﺑﺔ.
297 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model | ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
D. Phone, text or email them. .ً ﺘﺼﻞ ﻬﺑﻢ ﻫﺎﺗﻔﻴﺎً أو ﺗﺮﺳﻞ رﺳﺎﻟﺔ ﻗﺼﲑة أو ﺑﺮﻳﺪاً إﻟﻜﱰوﻧﻴﺎ.D
4) You are going to cook something as a special treat
for your family. You would:
A. Cook something you know without the need for
: ﻓﺄﻧﺖ ﳝﻜﻦ أن،أﻧﺖ ﺑﺼﺪد أن ﲢﻀﺮ وﻟﻴﻤﺔ ﳑﻴﺰة ﻟﻌﺎﺋﻠﺘﻚ (4
instructions. .ﺗﻄﺒﺦ ﺷﻴﺌﺎً ﺗﻌﺮﻓﻪ دون اﳊﺎﺟﺔ إﱃ ﺗﻌﻠﻴﻤﺎت .A
B. Ask friends for suggestions. .ﺗﺴﺄل اﻷﺻﺪﻗﺎء ﻟﺘﺰوﻳﺪك ﺑﺎﻗﱰاﺣﺎت .B
C. Look through the cookbook for ideas from the .ﺗﻨﻈﺮ ﰲ ﻛﺘﺎب اﻟﻄﺒﺦ ﻟﺘﺄﺧﺬ أﻓﻜﺎراً ﻣﻦ اﻟﺼﻮر .C
pictures.
.ﺗﺴﺘﺨﺪم ﻛﺘﺎب ﻓﻦ اﻟﻄﺒﺦ ﺣﻴﺚ ﺗﻌﻠﻢ أن ﻫﻨﺎك وﺻﻔﺎت ﺟﻴﺪة .D
D. Use a cookbook where you know there is a good
recipe.
5) A group of tourists want to learn about the parks or
wildlife reserves in your area. You would:
( ﳎﻤﻮﻋﺔ ﻣﻦ اﻟﺴﻴﺎح ﺗﻮد اﻟﺘﻌﺮف ﻋﻠﻰ اﳌﻨﺘﺰﻫﺎت وﳏﻤﻴﺎت اﳊﻴﺎة اﻟﱪﻳﺔ ﰲ5
A. Talk about, or arrange a talk for them about parks
or wildlife reserves. : ﻓﺄﻧﺖ ﳝﻜﻦ أن،ﻣﻨﻄﻘﺘﻚ
B. Show them internet pictures, photographs or .ﺗﺘﺤﺪث ﻋﻨﻬﺎ أو ﺗﻨﺴﻖ ﻟﺘﺤﻀﲑ ﻣﻦ ﻳﻠﻘﻲ ﻋﻠﻴﻬﻢ ﻛﻠﻤﺔ ﺣﻮل اﳌﻮﺿﻮع .A
picture books. . أو رﺳﻮﻣﺎً ﻣﻦ ﻛﺘﺎب اﻟﺼﻮر،ﺗﺮﻳﻬﻢ ﺻﻮراً ﻋﻠﻰ ﺷﺒﻜﺔ اﻹﻧﱰﻧﺖ .B
C. Take them to a park or wildlife reserve and walk .ﺗﺄﺧﺬﻫﻢ إﱃ اﳌﻨﺘﺰﻩ وﳏﻤﻴﺎت اﳊﻴﺎة اﻟﱪﻳﺔ وﺗﺴﲑ ﻣﻌﻬﻢ .C
with them.
.ﺗﻌﻄﻴﻬﻢ ﻛﺘﺎﺑﺎً ﻋﻦ اﳌﻨﺘﺰﻫﺎت وﳏﻤﻴﺎت اﳊﻴﺎة اﻟﱪﻳﺔ .D
D. Give them a book or pamphlets about the parks or
wildlife reserves.
6) You are about to purchase a digital camera or ﺑﻌﻴﺪاً ﻋﻦ اﻟﺴﻌﺮ ﻣﺎ،ًأﻧﺖ ﺑﺼﺪد ﺷﺮاء آﻟﺔ ﺗﺼﻮﻳﺮ رﻗﻤﻴﺔ أو ﻫﺎﺗﻔﺎً ﺧﻠﻮﻳﺎ (6
mobile phone. Other than price, what would most .اﻟﺬي ﻳﺆﺛﺮ ﻋﻠﻰ ﻗﺮارك
influence your decision?
.ﲡﺮﺑﺘﻪ واﺧﺘﺒﺎرﻩ .A
A. Trying or testing it.
B. Reading the details about its features.
.ﻗﺮاءة ﺗﻔﺎﺻﻴﻞ ﻋﻦ ﻣﻮاﺻﻔﺎﺗﻪ .B
C. It is a modern design and looks good. .ﻫﻮ ﺗﺼﻤﻴﻢ ﺣﺪﻳﺚ وﻣﻈﻬﺮﻩ ﺟﻴﺪ .C
D. The salesperson telling me about its features. .اﻟﺒﺎﺋﻊ أﺧﱪﱐ ﻋﻦ ﻣﻮاﺻﻔﺎﺗﻪ .D
7) Remember a time when you learned how to do
ﺣﺎول ﻋﺪم اﺧﺘﻴﺎر ﻣﻬﺎرات.ًﺗﺬﻛﺮ ﻣﺮة ﺗﻌﻠﻤﺖ ﻓﻴﻬﺎ ﺷﻴﺌﺎً ﺟﺪﻳﺪا (7
something new. Try to avoid choosing a physical
skill, eg. Riding a bike. You learned best by: : ﻓﺄﻧﺖ ﺗﻌﻠﻤﺖ أﻓﻀﻞ ﺑﻮاﺳﻄﺔ،ﺟﺴﺪﻳﺔ ﻛﺮﻛﻮب اﻟﺪراﺟﺔ
A. Watching a demonstration. .ﻣﺸﺎﻫﺪة ﻋﺮض .A
B. Listening to somebody explaining it and asking .اﻻﺳﺘﻤﺎع إﱃ ﺷﺮح أﺣﺪﻫﻢ وﻃﺮح أﺳﺌﻠﺔ .B
questions. .رﺳﻮم ﺑﻴﺎﻧﻴﺔ وﻣﺴﺎﻋﺪات ﻣﺮﺋﻴﺔ .C
C. Diagrams and charts - visual clues.
. ﻣﺜﻞ دﻟﻴﻞ اﻻﺳﺘﻌﻤﺎل أو ﻛﺘﺎب،ﺗﻌﻠﻴﻤﺎت ﻣﻜﺘﻮﺑﺔ .D
D. Written instructions – manual or textbook.
8) You have a problem with your knee. You would
prefer that the doctor:
:ﻋﻨﺪك ﻣﺸﻜﻠﺔ ﰲ رﻛﺒﺘﻚ ﻓﺄﻧﺖ ﺗﻔﻀﻞ اﻟﻄﺒﻴﺐ اﻟﺬي (8
A. Gave you a web or something to read about. .ﻳﻌﻄﻴﻚ اﺳﻢ ﻣﻮﻗﻊ ﻋﻠﻰ اﻹﻧﱰﻧﺖ أو ﺷﻴﺌﺎً ﻟﺘﻘﺮأﻩ ﻋﻦ اﳌﻮﺿﻮع .A
B. Used a plastic model of a knee to show what was .ﻳﺴﺘﺨﺪم ﳕﻮذﺟﺎً ﺑﻼﺳﺘﻴﻜﻴﺎً ﻟﻴﺸﺮح ﻟﻚ اﳌﺸﻜﻠﺔ .B
wrong. .ﻳﺼﻒ ﻟﻚ ﻣﺎ اﳌﺸﻜﻠﺔ .C
C. Described what was wrong.
.ﻳﺮﻳﻚ رﲰﺎً ﻋﻦ اﳌﺸﻜﻠﺔ .D
D. Showed you a diagram of what was wrong.
9) You want to learn a new program, skill or game on ،( أﻧﺖ ﺗﺮﻳﺪ أن ﺗﺘﻌﻠﻢ ﺑﺮﻧﺎﳎﺎً أو ﻣﻬﺎرة أو ﻟﻌﺒﺔ ﺟﺪﻳﺪة ﰲ اﳊﺎﺳﻮب9
a computer. You would:
:ﻓﺄﻧﺖ
A. Read the written instructions that came with the
program.
. ﺗﻘﺮأ اﻟﺘﻌﻠﻴﻤﺎت اﳌﻜﺘﻮﺑﺔ اﳌﺮﻓﻘﺔ ﺑﺎﻟﱪﻧﺎﻣﺞ.A
B. Talk with people who know the program. . ﺗﺘﺤﺪث ﻣﻊ أﻧﺎس ﻳﻌﺮﻓﻮن ﻫﺬا اﻟﱪﻧﺎﻣﺞ.B
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 298
25 Chapter 5 | اﻟﻔﺼﻞ اﳋﺎﻣﺲ
D. Audio channels where I can hear music, radio ، ﺣﻴﺚ ﳝﻜﻨﲏ اﻻﺳﺘﻤﺎع ﻟﻠﻤﻮﺳﻴﻘﻰ وﺑﺮاﻣﺞ اﻹذاﻋﺔ،ﻗﻨﻮات ﲰﻌﻴﺔ .D
programs or interviews. .واﳌﻘﺎﺑﻼت
11) Other than price, what would most influence your .ًﻣﺎ اﻟﺬي ﻳﺆﺛﺮ ﻓﻴﻚ أﻛﺜﺮ ﻋﻨﺪﻣﺎ ﺗﺸﱰي ﻛﺘﺎﺑﺎً واﻗﻌﻴﺎ (11
decision to buy a new non-fiction book? .اﻟﺸﻜﻞ اﳋﺎرﺟﻲ ﻟﻠﻜﺘﺎب .A
A. The way it looks is appealing.
.ﻗﺮاءة ﺳﺮﻳﻌﺔ ﻷﺟﺰاء ﻣﻨﻪ .B
B. Quickly reading parts of it.
C. A friend talks about it and recommends it. .رﻓﻴﻖ ﲢﺪث ﻋﻨﻪ وأوﺻﻰ ﺑﻪ .C
D. It has real-life stories, experiences and…. . وﲡﺎرب وأﻣﺜﻠﺔ،ﳛﺘﻮي ﻋﻠﻰ ﻗﺼﺺ ﺣﻴﺎﺗﻴﺔ واﻗﻌﻴﺔ .D
12) You are using a book, CD or website to learn how
to take photos with your new digital camera. You
أو ﻣﻮﻗﻌﺎً إﻟﻜﱰوﻧﻴﺎً ﻟﺘﺘﻌﻠﻢ، أو أﺳﻄﻮاﻧﺔ ﳑﻐﻨﻄﺔ،ًأﻧﺖ ﺗﺴﺘﺨﺪم ﻛﺘﺎﺑﺎ (12
would like to have:
: ﻓﺄﻧﺖ ﺗﻔﻀﻞ أن ﺗﻜﻮن ﻋﻨﺪك،ﻛﻴﻒ ﺗﺄﺧﺬ ﺻﻮراً ﺑﺂﻟﺔ ﺗﺼﻮﻳﺮك اﻟﺮﻗﻤﻴﺔ
A. A chance to ask questions and talk about the camera
and its features. .ﻟﻔﺮﺻﺔ ﻟﻄﺮح اﻷﺳﺌﻠﺔ واﻟﺘﺤﺪث ﻋﻦ آﻟﺔ اﻟﺘﺼﻮﻳﺮ وﻣﻮاﺻﻔﺎﻬﺗﺎ .A
B. Clear written instructions with lists and bullet اﻟﺘﻌﻠﻴﻤﺎت اﳌﻜﺘﻮﺑﺔ واﻟﻮاﺿﺤﺔ واﻟﻠﻮاﺋﺢ واﻟﻨﻘﺎط اﶈﺪدة ﻋﻤﺎ ﳚﺐ أن .B
points about what to do. .ﺗﻔﻌﻠﻪ
C. Diagrams showing the camera and what each part
.اﻟﺮﺳﻮم اﻟﺒﻴﺎﻧﻴﺔ اﻟﱵ ﺗﻈﻬﺮ آﻟﺔ اﻟﺘﺼﻮﻳﺮ وﻛﻴﻔﻴﺔ ﻋﻤﻞ ﻛﻞ ﺟﺰء ﻣﻨﻬﺎ .C
does.
D. Many examples of good and poor photos and how
.أﻣﺜﻠﺔ ﻛﺜﲑة ﻋﻦ ﺻﻮر ﺟﻴﺪة وأﺧﺮى ردﻳﺌﺔ واﻟﻄﺮﻳﻘﺔ ﻟﺘﺤﺴﻴﻨﻬﺎ .D
to improve them.
C. From somebody who talks it through to you. .ﻣﻦ ﺷﺨﺺ ﻳﺘﺤﺪث ﻣﻌﻚ ﻋﻨﻬﺎ .C
D. Using graphs showing what you had done. .اﺳﺘﻌﻤﺎل رﺳﻮم ﺑﻴﺎﻧﻴﺔ وﺻﻮر ﻋﻦ ﻣﺎ أﳒﺰﺗﻪ .D
15) You are going to choose food at a restaurant or
cafe. You would:
: ﻓﺄﻧﺖ.ﻋﻠﻴﻚ اﺧﺘﻴﺎر ﻃﻌﺎم ﰲ ﻣﻄﻌﻢ أو ﻣﻘﻬﻰ (15
A. Choose something that you have had before. .ًﲣﺘﺎر ﺷﻴﺌﺎً أﻛﻠﺘﻪ ﺳﺎﺑﻘﺎ .A
B. Listen to the waiter or ask friends to recommend. .ﺗﺴﺘﻤﻊ ﻟﻠﻨﺎدل أو ﺗﺴﺄل أﺻﺪﻗﺎءك ﻟﻄﺮح اﺧﺘﻴﺎرات .B
C. Choose from the descriptions in the menu. .ﲣﺘﺎر ﻣﻦ اﻟﻮﺻﻒ اﳌﻮﺟﻮد ﰲ ﻗﺎﺋﻤﺔ اﻟﻮﺟﺒﺎت .C
D. Look at what others are eating or look at pictures of
.ﺗﺘﻄﻠﻊ إﱃ ﻣﺎ ﻳﺄﻛﻠﻪ اﻵﺧﺮون أو ﺗﻨﻈﺮ إﱃ ﺻﻮر اﻟﻄﻌﺎم .D
each dish.
299 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model | ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
. وﲨﻊ اﻻﺧﺘﻴﺎرات ﲢﺖ ﻛﻞ ﺑﻨﺪ13-5ﺑﻌﺪ اﻻﻧﺘﻬﺎء ﻣﻦ اﻹﺟﺎﺑﺔ ﻋﻠﻰ اﻷﺳﺌﻠﺔ ﻗﻢ ﺑﺘﺪوﻳﻦ اﻻﺧﺘﻴﺎرات ﰲ اﳉﺪول
:(Kolb Learning Styles Questionary) Kolb ﳕﻮذج اﺳﺘﺒﻴﺎن أﳕﺎط اﻟﺘﻌﻠﻢ ﻟـ ـ2-2-8-5
ً ﻓﻴﻤﺎ ﻳﻠﻲ ﳎﻤﻮﻋﺔ ﻣﺆﻟﻔﺔ ﻣﻦ اﺛﻨﺎ ﻋﺸﺮ ﺳﺆاﻻ، ﳕﻮذﺟﺎً اﺳﺘﻘﺼﺎﺋﻴﺎً ﻟﺘﺤﺪﻳﺪ أﺳﻠﻮب اﻟﺘﻌﻠﻢ ﻟﻸﻓﺮاد ﻗﺒﻞ اﳋﻮض ﰲ دورة اﻟﺘﻌﻠﻢKolb ﻟﻘﺪ وﺿﻊ
( ﻣﻦ ﺑﻨﺎءً ﻋﻠﻰ ﻣﺴﺘﻮى ﻣﻨﺎﺳﺒﺘﻪ ﻣﻊ اﻟﻄﺮﻳﻘﺔ1,2,3,4) ﺗﻘﻴﻴﻢ ﻛﻞ ﺳﺆال: واﳌﻄﻠﻮب،ًﻳﺘﻀﻤﻦ ﻛﻞ ﻣﻨﻬﺎ أرﺑﻊ أﺳﺌﻠﺔ واﶈﺼﻠﺔ ﻫﻲ أرﺑﻌﻮن ﺳﺆاﻻ
“4” = most like | “3” = second most ) “ اﻷدﱏ1” “ ﲤﺜﻞ أﻋﻠﻰ ﺗﻘﻴﻴﻢ واﻟﻘﻴﻤﺔ4” ﺣﻴﺚ أن اﻟﻘﻴﻤﺔ،ﻟﱵ ﺗﻮد ﻬﺑﺎ ﺗﻌﻠﻢ ﺷﻲء ﻣﺎ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 300
25 Chapter 5 | اﻟﻔﺼﻞ اﳋﺎﻣﺲ
301 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model | ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
.Kolb ﻟﺘﺤﺪﻳﺪ ﻗﻴﻤﺔ ﻛﻞ أﺳﻠﻮب ﻣﻦ أﺳﺎﻟﻴﺐ اﻟﺘﻌﻠﻢ اﻷرﺑﻌﺔ ﰲ دورة15-5ﺑﻌﺪ اﻻﻧﺘﻬﺎء ﻣﻦ اﻟﺘﻘﻴﻴﻢ ﻗﻢ ﺑﺘﺴﺠﻴﻞ ﻗﻴﻢ اﻟﺘﻘﻴﻴﻤﺎت ﰲ اﳉﺪول
.64-5ﺑﻌﺪ ذﻟﻚ ﻗﻢ ﺑﺘﺪوﻳﻦ ﻧﺘﻴﺠﺔ ﻛﻞ ﳕﻂ ﻋﻠﻰ اﶈﻮر اﳌﻮاﻓﻖ ﻋﻠﻰ اﻟﺸﻜﻞ
:اﳌﻌﺪل اﻟﱰﻛﻴﱯ ﳝﻜﻦ اﳊﺼﻮل ﻋﻠﻴﻪ ﻣﻦ ﺧﻼل ﻃﺮح ﻛﻞ ﳕﻄﲔ ﻋﻠﻰ ﻧﻔﺲ اﶈﻮر ﻛﻤﺎ ﻳﻠﻲ
Y-axis = AC – CE = “AC-CE”
X-axis = AE – RO = “AE-RO”
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 302
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﳕﻂ اﳌﻌﺎﳉﺔ اﻟﺴﺎﺋﺪ ) :(Dominant Processing Modeاﻟﻘﻴﻤﺔ اﳌﻮﺟﺒﺔ ﻋﻠﻰ اﶈﻮر Y-axisﺗﺸﲑ إﱃ أن اﳌﻌﺪل أﻛﺜﺮ ”،“Abstract
ﰲ ﺣﲔ أن اﻟﻘﻴﻤﺔ اﻟﺴﻠﺒﻴﺔ ﻋﻠﻰ اﶈﻮر Y-axisﺗﺸﲑ إﱃ أن اﳌﻌﺪل أﻛﺜﺮ ”.“Concrete
ﳕﻂ اﻹدراك اﻟﺴﺎﺋﺪ ) :(Dominant Perceiving Modeاﻟﻘﻴﻤﺔ اﳌﻮﺟﺒﺔ ﻋﻠﻰ اﶈﻮر X-axisﺗﺸﲑ إﱃ أن اﳌﻌﺪل أﻛﺜﺮ ” ،“Activeﰲ
ﺣﲔ أن اﻟﻘﻴﻤﺔ اﻟﺴﻠﺒﻴﺔ ﻋﻠﻰ اﶈﻮر X-axisﺗﺸﲑ إﱃ أن اﳌﻌﺪل أﻛﺜﺮ ”.“Reflective
ﻣﻦ ﺧﻼل وﺿﻊ ﻧﺘﺎﺋﺞ اﻟﻌﻤﻠﻴﺎت ﻋﻠﻰ اﶈﻮرﻳﻦ X,Yورﺳﻢ ﻧﻘﻄﺔ اﻟﺘﻘﺎﻃﻊ ،ﳝﻜﻦ إﳚﺎد ﳕﻂ اﻟﺘﻌﻠﻢ اﳌﻨﺎﺳﺐ ﻟﻠﻔﺮد .ﻫﺬﻩ اﶈﺎور اﻷرﺑﻌﺔ
اﳌﺴﻤﺎة ﺑ ــ) (Accomodator, Diverger, Converger, Assimilatorﲤﺜﻞ أرﺑﻊ أﳕﺎط ﺳﺎﺋﺪة ﻟﻠﺘﻌﻠﻢ.
Abstract Concrete
Active Reflective
303 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
Converging
ﻣﻨﺪﻓﻊ ﰲ اﲣﺎذا اﻟﻘﺮارات ،ﳛﻞ اﳌﺸﺎﻛﻞ اﳋﺎﻃﺌﺔ ﺣﻞ اﳌﺸﺎﻛﻞ ،اﲣﺎذ اﻟﻘﺮارات ،اﺳﺘﻨﺘﺎج اﺳﺘﺪﻻﱄ
ﺗﻄﺒﻴﻘﻲ ،ﺗﻘﲏ
Diverging
ﻣﱰدد ،ﻗﺪ ﻳﻌﺠﺰ ﻋﻨﺪ اﻟﺒﺪاﺋﻞ ﲣﻴﻠﻲ إﺑﺪاﻋﻲ ،اﺳﺘﻴﻌﺎﰊ ﻟﻶﺧﺮﻳﻦ ،ﺣﺴﺎس ﻟﻶﺧﺮﻳﻦ
إﺑﺪاﻋﻲ ،ﲨﺎﻋﻲ
Assimilating
ﻣﻨﻬﺞ ﻣﻨﻌﺰل ﺗﺄﻣﻠﻲ ،ﻻ ﻳﻮﺟﺪ ﺗﻄﺒﻴﻘﺎت ﻋﻤﻠﻴﺔ اﻟﺘﺨﻄﻴﻂ ،ﺧﻠﻖ ﳕﺎذج وﻧﻈﺮﻳﺎت ،اﺳﺘﻨﺘﺎج اﺳﺘﻘﺮاﺋﻲ
ﻧﻈﺮي ،ﻣﻨﻄﻘﻲ
Accommodating
ﲢﺴﲔ ﻃﻔﻴﻒ ،ﻧﺸﺎﻃﺎت ﻓﺎرﻏﺔ اﳌﻌﲎ إﳒﺎز اﳌﻬﺎم ،اﻟﺮﻳﺎدة ،ﺧﻮض اﳌﺨﺎﻃﺮة
ﺣﺪﺳﻲ ،ﺑﺪﻳﻬﻲ
ﺛﺎﻧﻴﺎً :ﻛﻴﻒ ﻧﻘﻮم ﲟﻌﺎﳉﺔ اﳌﻌﻠﻮﻣﺎت اﻟﱵ أدرﻛﻨﺎﻫﺎ ) .(AE-ROﻫﺬا اﻟﺒﻌﺪ ﻳﺸﲑ إﱃ ﻃﺮﻳﻘﺔ ﻣﻌﺎﳉﺘﻨﺎ وﻧﻘﻠﻨﺎ ﻟﻠﻤﻌﻠﻮﻣﺎت واﳋﱪات اﳉﺪﻳﺪة.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 304
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﺣﻴﺚ ﺗﺆﻛﺪ ﻫﺬﻩ اﳌﺮﺣﻠﺔ ﻣﻦ دورة اﻟﺘﻌﻠﻢ ﻋﻠﻰ اﳌﺸﺎرﻛﺔ اﻟﺸﺨﺼﻴﺔ ﻣﻊ اﻟﻨﺎس ﰲ ﻣﻮاﻗﻒ اﳊﻴﺎة اﻟﻴﻮﻣﻴﺔ ،وﳝﻴﻞ اﻷﻓﺮاد ﻓﻴﻬﺎ إﱃ اﻻﻋﺘﻤﺎد ﻋﻠﻰ
ﻣﺸﺎﻋﺮﻫﻢ ﺑﺸﻜﻞ أﻛﱪ ﻣﻦ اﻟﺘﻨﻈﻴﻢ اﳌﻨﻬﺠﻲ ﳌﻌﺎﳉﺔ اﳌﺸﺎﻛﻞ واﳊﺎﻻت ،ﻋﻠﻰ ﻗﺪرﻬﺗﻢ ﻋﻠﻰ أن ﻳﻜﻮﻧﻮا ﻣﻨﻔﺘﺤﲔ وﻗﺎﺑﻠﲔ ﻟﻠﺘﻜﻴﻒ ﻣﻊ اﻵﺧﺮﻳﻦ
ﰲ ﻣﻮﻗﻒ اﻟﺘﻌﻠﻢ .إﺣﺮاز ﻣﻌﺪل ٍ
ﻋﺎل ﻋﻠﻰ ﺑﻌﺪ اﻟﺘﺠﺮﺑﺔ اﳌﻠﻤﻮﺳﺔ ﻳﺸﲑ إﱃ:
-ﻣﻨﻬﺞ ﻗﺎﺋﻢ ﻋﻠﻰ ﲡﺮﺑﺔ اﻟﺘﻌﻠﻢ اﻟﱵ ﺗﻌﺘﻤﺪ اﻋﺘﻤﺎداً ﻛﺒﲑاً ﻋﻠﻰ اﻷﺣﻜﺎم اﳌﺴﺘﻨﺪة إﱃ اﻟﺸﻌﻮر.
-ﳝﻴﻞ اﻷﻓﺮاد إﱃ اﻟﺘﻌﺎﻃﻒ واﻟﺘﻮﺟﻪ اﻻﺟﺘﻤﺎﻋﻲ اﻹﳚﺎﰊ ﳓﻮ اﻵﺧﺮﻳﻦ.
-ﳚﺪ اﻷﻓﺮاد اﻷﺳﺎﻟﻴﺐ اﻟﻨﻈﺮﻳﺔ ﰲ اﻟﺘﻌﻠﻢ ﻏﲑ ﻓﻌﺎﻟﺔ وﻳﻔﻀﻠﻮن ﻣﻌﺎﳉﺔ ﻛﻞ ﺣﺎﻟﺔ ﻛﺤﺎﻟﺔ ﻓﺮﻳﺪة ﻣﻦ ﻧﻮﻋﻬﺎ.
-ﻳﺘﻌﻠﻢ اﻷﻓﺮاد ﺑﺸﻜﻞ أﻓﻀﻞ ﻣﻦ اﻷﻣﺜﻠﺔ اﶈﺪدة اﻟﱵ ﳝﻜﻦ اﳌﺸﺎرﻛﺔ ﻓﻴﻬﺎ.
-ﳝﻴﻞ اﻷﻓﺮاد إﱃ ﻣﻨﺎﻗﺸﺔ زﻣﻼﺋﻬﻢ ﺑﺪﻻً ﻣﻦ اﻟﺴﻠﻄﺔ اﻟﱵ ﺗﺘﻤﺜﻞ ﰲ ﻣﻌﻠﻤﻴﻬﻢ أﺛﻨﺎء ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ.
-ﻳﺴﺘﻔﻴﺪ اﻷﻓﺮاد ﺑﺸﻜﻞ أﻛﱪ ﻣﻦ اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ واﳌﻨﺎﻗﺸﺔ ﻣﻊ زﻣﻼﺋﻬﻢ اﳌﺘﻌﻠﻤﲔ.
-ﻣﻨﻬﺞ ﲢﻠﻴﻠﻲ ﺗﺼﻮري ﻟﻠﺘﻌﻠﻢ ﻳﻌﺘﻤﺪ ﺑﺸﻜﻞ ﻛﺒﲑ ﻋﻠﻰ اﻟﺘﻔﻜﲑ اﳌﻨﻄﻘﻲ واﻟﺘﻘﻴﻴﻢ اﻟﻌﻘﻼﱐ.
-ﳝﻴﻞ اﻷﻓﺮاد إﱃ أن ﻳﻜﻮﻧﻮا ﻣﻮﺟﻬﲔ ﺑﺸﻜﻞ أﻛﱪ ﲡﺎﻩ اﻷﺷﻴﺎء واﻟﺮﻣﻮز وﺑﺸﻜﻞ أﻗﻞ ﳓﻮ اﻷﺷﺨﺎص.
-ﻌﻠﻢ اﻷﻓﺮاد ﺑﺸﻜﻞ أﻓﻀﻞ ﰲ ﺳﻠﻄﺔ اﻟﺘﻮﺟﻴﻪ )ﻣﻌﻠﻢ( ،وﻣﻮاﻗﻒ اﻟﺘﻌﻠﻢ اﺠﻤﻟﺮدة ﻋﻦ اﻟﺸﻌﻮر اﻟﺸﺨﺼﻲ واﻟﱵ ﺗﺸﺪد ﻋﻠﻰ اﻟﺘﺤﻠﻴﻞ
اﳌﻨﻬﺠﻲ واﻟﻨﻈﺮﻳﺎت.
-ﻳﺸﻌﺮون ﺑﺎﻹﺣﺒﺎط وﻗﻠﺔ اﻻﺳﺘﻔﺎدة ﻣﻦ ﻣﻨﻬﺠﻴﺎت اﻟﺘﻌﻠﻢ اﻻﻛﺘﺸﺎﰲ اﻟﱵ ﻟﻴﺲ ﳍﺎ ﳕﻂ ﻣﺜﻞ اﻟﺘﻤﺎرﻳﻦ واﶈﺎﻛﺎة.
305 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
ﻛﻤﺎ ذﻛﺮﻧﺎ ﺳﺎﺑﻘﺎً ﻓﺈن اﺳﺘﻴﻌﺎب اﻟﻄﻼب وﺗﺮﻛﻴﺰﻫﻢ ﻳﺒﺪأ ﺑﺎﻟﺘﻼﺷﻲ ﺑﻌﺪ 10~15دﻗﻴﻘﺔ ﻣﻦ زﻣﻦ اﶈﺎﺿﺮة اﻟﻨﻈﺮﻳﺔ ،ﻟﺬﻟﻚ ﻓﺈﻧﻪ ﻣﻦ اﳌﻬﻢ ﺗﻘﺴﻴﻢ
اﶈﺎﺿﺮة اﻟﱵ ﲤﺘﺪ ﻋﻠﻰ ﻣﺪى ﺳﺎﻋﺔ وﻧﺼﻒ أو ﺳﺎﻋﺘﲔ إﱃ ﻓﻘﺮات ﺟﺰﺋﻴﺔ ﻻ ﺗﺘﺠﺎوز ﻛﻞ ﻣﻨﻬﺎ اﻟﻌﺸﺮ دﻗﺎﺋﻖ ،وﺧﻼل ﻫﺬﻩ اﻟﻔﻮاﺻﻞ ﻳﻘﻮم
اﳌﻌﻠﻢ ﺑﺈﺛﺎرة ﺣﻔﻴﻈﺔ اﻟﻄﻼب وﺗﻨﺒﻴﻬﻬﻢ ﻣﻦ ﺧﻼل ﻃﺮح ﺗﺴﺎؤل ﺗﻔﺎﻋﻠﻲ ﺑﺎﺳﺘﺨﺪام أدوات اﻟﺘﺼﻮﻳﺖ اﻹﻟﻜﱰوﱐ ) ARSإن ﺗﻮﻓﺮت( وﻣﻦ ﰒ
ﺮض اﻟﻨﺘﺎﺋﺞ وﻣﻨﺎﻗﺸﺘﻬﺎ وﺗﻮﺿﻴﺢ أﺳﺒﺎﻬﺑﺎ إن ﻟﺰم اﻷﻣﺮ.
ﰲ ﺣﺎل وﺟﻮد أﻓﻜﺎر ﻧﻈﺮﻳﺔ ﲢﺘﺎج إﱃ ﺗﻮﺿﻴﺢ ﻋﻤﻠﻲ ﺗﻄﺒﻴﻘﻲ ،ﻓﺈﻧﻪ ﳝﻜﻦ اﺳﺘﺨﺪام اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ ) (Remote Labﺿﻤﻦ اﳊﺼﺔ اﻟﻨﻈﺮﻳﺔ
ﻟﺘﻄﺒﻴﻖ اﻟﻔﻜﺮة وﺗﻮﺿﻴﺢ اﻟﻨﺘﺎﺋﺞ )ﻣﺜﻼً :دراﺳﺔ اﺳﺘﻘﺮار ﻧﻈﺎم ﲢﻜﻢ ﻣﻦ ﺧﻼل ﻣﻌﺎﻳﺮة ﻣﺘﺤﻜﻢ PIDﻋﻠﻰ ﲡﺮﺑﺔ ﳏﺮك ﺗﻴﺎر ﻣﺴﺘﻤﺮ(.
ﺑﻌﺪ اﻧﺘﻬﺎء ﻛﻞ ﳏﺎﺿﺮة ﻧﻈﺮﻳﺔ ﻓﺈﻧﻪ ﻳﻄﻠﺐ ﻣﻦ اﻟﻄﻼب ﺗﻘﻴﻴﻢ ﻣﻮﺿﻮع اﶈﺎﺿﺮة وﻓﻖ ﳕﻮذج ﺗﻘﻴﻴﻢ ﻣﻌﺪ ﻣﺴﺒﻘﺎً ﻣﻦ ﻗﺒﻞ اﳌﻌﻠﻢ ،ﻛﻤﺎ ﻳﻘﻮم اﳌﻌﻠﻢ
ﺑﺘﻘﻴﻴﻢ أداء اﻟﻄﻼب وﻓﻘﺎً ﻟﻨﺘﺎﺋﺞ اﻟﺘﺼﻮﻳﺖ اﻟﺬي ﻳﺘﻢ إﺟﺮاءﻩ ﲝﻴﺚ ﻳﺘﻢ ﺗﻼﰲ اﻟﻨﻘﺎط اﳌﺒﻬﻤﺔ ﻋﻨﺪ اﻟﻄﻼب.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 306
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
ﺑﻨﺎءً ﻋﻠﻰ اﻟﻨﺘﺎﺋﺞ اﳌﺘﻌﻠﻘﺔ ﺑﺎﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ﰲ ﻫﺬا اﻟﻔﺼﻞ ،ووﻓﻘﺎً ﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي اﳌﻘﱰح ،ﻓﺈﻧﻪ ﻻﺑﺪ ﻣﻦ ﺗﻘﺴﻴﻢ اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ
إﱃ ﺛﻼث أﻗﺴﺎم:
Evaluationﰲ ﻫﺬا اﳌﺨﺘﱪ ﻋﻠﻰ ﻣﺴﺘﻮى اﻹﺟﺮاء إﻣﺎ ﻋﻦ ﻃﺮﻳﻖ اﻟﺰﻣﻼء ﻣﻦ ﻧﻔﺲ اﺠﻤﻟﻤﻮﻋﺔ ) (Peers Assessmentأو ﻣﻦ اﳌﺼﺎدر
اﳌﺘﻮﻓﺮة ﻋﻠﻰ اﻟﺸﺒﻜﺔ )….(Books, Articles, etc
307 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
9-8-5رﺑﻂ اﻟﻨﻤﻮذج اﳉﺪﻳﺪ ﺑﻨﻤﻮذج دورة :(Mapping the new Model to Kolb’s Cycle) Kolb
إن اﻟﻘﺮاءة ﻣﻦ دﻟﻴﻞ اﻟﺘﺠﺎرب ) (Manualﳝﺜﻞ اﻟﺒﻌﺪ ” “ACﰲ دورة ،Kolbوﳝﺜﻞ اﻟﻨﻤﻂ ” “R/Wﰲ أﳕﺎط اﻟﺘﻌﻠﻢ .VARKﻛﻤﺎ
أن اﺳﺘﺨﺪام اﶈﺎﻛﺎة ) (Virtualﳝﺜﻞ اﻟﺒﻌﺪﻳﻦ ” “AE-ROﰲ دورة ،Kolbوﳝﺜﻞ اﻟﻨﻤﻄﲔ ” “V-Kﰲ أﳕﺎط اﻟﺘﻌﻠﻢ .VARK
وﺑﺎﻟﻨﺘﻴﺠﺔ ﻓﺈن اﳌﺨﺘﱪ اﻻﻓﱰاﺿﻲ ﻫﻮ ﳏﺼﻠﺔ ” “AC-AE-ROﰲ دورة ،Kolbوﻫﻮ ﺑﺎﳌﺜﻞ ﳏﺼﻠﺔ أﳕﺎط اﻟﺘﻌﻠﻢ ” “R-V-Kﺑﺎﻟﻨﺴﺒﺔ
ﻟﻨﻤﻮذج .VARKﺑﺎﳌﺜﻞ ﳝﻜﻦ اﺳﺘﺨﻼص ﻣﻠﺨﺺ اﻟﻌﻼﻗﺎت اﳌﺒﻴﻨﺔ ﰲ اﳉﺪول 18-5ﺑﲔ ﻣﺮاﺣﻞ دورة اﻟﺘﻌﻠﻢ ﻟـ Kolbوأﳕﺎط اﻟﺘﻌﻠﻢ اﻷرﺑﻌﺔ
VARKﻣﻊ ﻛﻞ ﻣﺮﺣﻠﺔ ﻣﻦ ﻣﺮاﺣﻞ اﻟﻨﻤﻮذج اﻟﺒﻨﺎﺋﻲ اﳌﺼﻤﻢ ﰲ ﻫﺬا اﻟﻔﺼﻞ.
اﳉﺪول 18-5اﻟﺮﺑﻂ ﺑﲔ ﻣﺮاﺣﻞ دورة اﻟﺘﻌﻠﻢ ﻟـ Kolbوأﳕﺎط اﻟﺘﻌﻠﻢ ﻟـ VARKواﻟﻨﻤﻮذج اﳌﻘﱰح ﰲ ﻫﺬا اﻟﻔﺼﻞ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 308
25 اﻟﻔﺼﻞ اﳋﺎﻣﺲ | Chapter 5
إن ﻣﻌﺪل اﻻﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت ﻳﺮﺗﺒﻂ ﲟﺮاﺣﻞ دورة ،Kolbوإن اﻟﺘﻌﻠﻴﻢ اﻟﻔﻌﺎل اﻟﺬي ﻳﻨﺘﺞ ﻋﻨﻪ اﳌﻌﺪل اﻷﻋﻠﻰ ﻟﻼﺣﺘﻔﺎظ ﺑﺎﳌﻌﻠﻮﻣﺎت ﳛﺼﻞ
ﻋﻨﺪﻣﺎ ﺗﺘﺤﻘﻖ دورة Kolbﲟﺮاﺣﻠﻬﺎ اﻷرﺑﻌﺔ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﰲ اﳉﺪول.19-5
ﻗﺪم ﻫﺬا اﻟﻔﺼﻞ ﲝﺜﺎً ﻣﻔﺼﻼً ﻋﻦ دراﺳﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺗﺮﺑﻮﻳﺔ ﺗﺘﻌﻠﻖ ﺑﺎﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،اﺳﺘﺨﺪم ﻓﻴﻬﺎ ﻣﻨﻬﺠﻴﺎت وﻧﻈﺮﻳﺎت ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ ﰲ
ﳕﺬﺟﺔ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﻫﻮ ﳝﺜﻞ أول ﳕﻮذج ﺗﺮﺑﻮي ﺗﻄﺒﻴﻘﻲ ﻳﻌﺘﻤﺪ ﺑﺸﻜﻞ ﻛﺎﻣﻞ ﻋﻠﻰ ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺟﻨﺒﺎً إﱃ ﺟﻨﺐ ﻣﻊ
اﻟﺘﺤﻠﻴﻞ اﻟﺘﺠﺮﻳﱯ .اﳊﺎﻟﺔ ﻃﺒﻘﺖ ﻋﻠﻰ ﳐﺘﱪ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ.
اﻟﺪراﺳﺔ اﻧﻄﻠﻘﺖ ﻣﻦ ﺗﺼﻤﻴﻢ ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ أوﱄ ﻟﱪﳎﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،ﺣﻴﺚ ﺗﻀﻤﻦ ﺗﺼﻤﻴﻢ اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ اﻷوﱄ ﺗﺼﻤﻴﻤﺎً ﻟﻠﻮﺣﺔ
ﺗﻄﻮﻳﺮ ﺗﻔﺎﻋﻠﻴﺔ ﻣﻦ ﺧﻼل إﺟﺮاء دراﺳﺔ ﻣﻘﺎرﻧﺔ ﺷﺎﻣﻠﺔ ﻟﻠﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﳌﺘﻮﻓﺮة ﲡﺎرﻳﺎً ،وﻣﻦ ﻫﺬﻩ اﻟﺪراﺳﺔ ﰎ ﺑﻨﺎء ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ وﻓﻖ ﻣﻌﺎﻳﲑ
ﺗﺪف إﱃ زﻳﺎدة ﺗﻔﺎﻋﻞ اﻟﻄﺎﻟﺐ وﻓﺎﺋﺪﺗﻪ ﻣﻦ اﻟﺘﺠﺎرب ﰲ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ .ﻛﻤﺎ ﺗﻀﻤﻦ ﺑﻨﺎء دﻟﻴﻞ ﺷﺎﻣﻞ ﻟﻠﺘﺠﺎرب ﻳﺴﺘﻨﺪ إﱃ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ
اﻟﺬاﰐ ) (Self-Learningوﻣﻨﻬﺠﻴﺎت اﻟﺘﻔﺎﻋﻞ ﺑﲔ اﳌﺴﺘﺨﺪم واﳊﺎﺳﺐ.
اﻟﺪراﺳﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﺗﻀﻤﻨﺖ وﺿﻊ ﳕﻮذﺟﲔ :اﻷول ﻳﻌﺎﰿ ﳕﻂ اﳌﺨﺘﱪ ،واﻟﺜﺎﱐ ﻳﻌﺎﰿ ﻣﻨﻬﺠﻴﺔ ﻋﻤﻞ اﳌﺨﺘﱪ ،وﻗﺪ ﰎ ﺗﻄﺒﻴﻖ اﻟﻨﻤﻮذﺟﲔ ﰲ
اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ﳌﻘﺮر اﻟﺘﺼﻤﻴﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ﻋﻠﻰ ﻣﺪى ﻓﺼﻞ ﻛﺎﻣﻞ ﺑﺪف إﻇﻬﺎر اﳌﺴﺘﻠﺰﻣﺎت اﻟﻀﺮورﻳﺔ ﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ اﻟﺘﻌﻠﻢ .اﻟﻨﻤﻮذج
ﻃﺒﻖ ﻋﻠﻰ ﻃﻼب اﻟﺴﻨﺔ اﻟﺮاﺑﻌﺔ ﻗﺴﻢ ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ اﻵﱄ واﻷﲤﺘﺔ ،وﻋﻘﺪ ﰲ ﻛﻠﻴﺔ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ اﻹﻟﻜﱰوﻧﻴﺔ ﲜﺎﻣﻌﺔ ﺣﻠﺐ ﰲ ﳐﱪ
اﻟﺘﺤﻜﻢ اﻵﱄ.
ﻟﻘﺪ اﻓﱰﺿﻨﺎ ﺟﺪﻻً ﰲ اﻟﺪراﺳﺔ أن ﺿﻌﻒ اﻟﻨﺘﺎﺋﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ اﳌﺨﺎﺑﺮ ﻳﻌﻮد إﱃ ﻧﻘﺺ ﺗﻔﻌﻴﻞ اﻟﺒﻌﺪ اﻟﺘﺤﺼﻴﻠﻲ ﻣﻦ ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ
اﻟﺘﺠﺮﻳﱯ ،ﺣﻴﺚ أن اﻟﻨﻤﻮذج اﻟﺘﺠﺮﻳﱯ وﻧﺘﺎﺋﺞ ﲢﻠﻴﻞ اﻟﺒﻴﺎﻧﺎت اﳋﺎﺻﺔ ﲝﺼﻴﻠﺔ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي أﻛﺪت اﻟﻔﺮﺿﻴﺔ اﳌﻄﺮوﺣﺔ اﻷﻣﺮ اﻟﺬي أﻋﻄﻰ
ﺗﻔﺴﲑاً ﺗﺮﺑﻮﻳﺎً ﻟﺘﻠﻚ اﻟﻨﺘﺎﺋﺞ.
ﻧﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻼت اﻻﺣﺼﺎﺋﻴﺔ أﻇﻬﺮت ﺧﺼﺎﺋﺺ اﻟﻘﻮة ﻟﻠﻨﻤﻮذج ﻣﺘﻤﺜﻼً ﺑﺎﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻣﻘﺎﺑﻞ اﻟﻨﻤﺎذج اﻟﻜﻼﺳﻴﻜﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﳌﺘﻤﺜﻠﺔ
ﺑﺎﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ،وﻗﺪ ﻇﻬﺮ أﺛﺮﻩ واﺿﺤﺎً ﻣﻦ ﺧﻼل اﻟﺘﺤﺴﻦ اﻟﻜﺒﲑ ﰲ اﶈﺼﻠﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻣﻘﺎرﻧﺔ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ.
ﻧﺘﺎﺋﺞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰎ ﻗﻴﺎﺳﻬﺎ ﻣﻦ ﺧﻼل اﺧﺘﺒﺎرات ﳐﱪﻳﺔ أﺟﺮﻳﺖ ﻗﺒﻞ وﺑﻌﺪ اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ،إﺿﺎﻓﺔ إﱃ ﻗﻴﺎﺳﺎت ﻧﻮﻋﻴﺔ ﰎ ﲢﺼﻴﻠﻬﺎ ﻣﻦ
ﺧﻼل ﻣﺮاﻗﺒﺔ أداء اﻟﻄﻼب أﺛﻨﺎء اﳌﺨﺘﱪ.
309 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Toward a Constructivist Laboratory Education Model ﳓﻮ ﳕﻮذج ﺑﻨﺎﺋﻲ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي |
أﻇﻬﺮت اﻟﻨﺘﺎﺋﺞ أﻳﻀﺎً أن اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻣﺴﺘﻘﺮ وﺛﺎﺑﺖ ،وﳝﻠﻚ ﻣﻨﻬﺠﻴﺔ ﻟﺮﻓﺾ اﻟﻀﺠﻴﺞ اﳌﺘﺄﺻﻞ )ﻣﺜﻞ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن(،
وﻫﻮ ﻳﺴﺎﻋﺪ ﻋﻠﻰ ﲢﻔﻴﺰ اﻟﻄﻼب ذوي ﻣﻬﺎرات اﻟﺘﻌﻠﻢ اﳌﻨﺨﻔﻀﺔ ورﻓﻊ ﻣﺴﺘﻮاﻫﻢ.
ﻛﻤﺎ أﻇﻬﺮ اﻟﺘﺤﻠﻴﻞ اﻟﺘﺠﺮﻳﱯ ﻟﻠﻘﻴﺎﺳﺎت اﻟﻜﻤﻴﺔ ﺑﺄﻧﻪ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﺳﻠﻮك ﻗﺮﻳﺐ ﻧﺴﺒﻴﺎً اء ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ )اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ( ﰲ ﻣﺘﺎﺑﻌﺔ
اﻟﺪﻟﻴﻞ اﻟﺘﻮﺿﻴﺤﻲ ﻟﻠﺘﺠﺎرب ﺧﻼل ﺟﻠﺴﺎت اﳌﺨﺘﱪ ،ﺗﻔﺎوت ﺟﻮﻫﺮي ﻛﺒﲑ ﰲ اﻟﻔﻬﻢ اﻟﺘﺼﻮري .ﻋﻼوة ﻋﻠﻰ ذﻟﻚ ﻓﺈﻧﻪ ﻇﻬﺮ ﺟﻠﻴﺎً ﰲ ﻃﻼب
ﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﺣﺎﻓﺰ ﺟﻮﻫﺮي ﳓﻮ ﺣﻞ ﻣﺸﺎﻛﻞ ﻣﻌﻘﺪة إﺿﺎﻓﻴﺔ وﱂ ﻳﻈﻬﺮ ﰲ ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ.
إن اﻟﻨﻤﻮذج اﳌﻄﺮوح ﻳﺘﻀﻤﻦ ﻧﺸﺎﻃﺎت إﺿﺎﻓﻴﺔ ﻣﻊ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ ﻛﺎﻻﺧﺘﺒﺎرات اﻟﱵ ﺗﺴﺒﻖ وﺗﻠﻲ اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ وﻛﺬﻟﻚ ﺟﻠﺴﺎت اﶈﺎﻛﺎة
ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ،وﻫﺬﻩ اﻟﻨﺸﺎﻃﺎت ﻣﺮﺗﺒﻄﺔ ﺑﺪورة Kolbاﻟﱵ ﲢﻘﻖ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ.
ﰎ أﻳﻀﺎً اﻟﺘﻄﺮق إﱃ دور اﻷدوات اﳌﺴﺎﻋﺪة ﰲ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻣﺜﻞ ﺟﻬﺎز اﻟﺘﺼﻮﻳﺖ اﻟﺮﻗﻤﻲ .ARSﻛﻤﺎ ﰎ إﺟﺮاء اﺳﺘﻄﻼع رأي ﻟﻠﻄﻼب
اﺠﻤﻟﻤﻮﻋﺘﲔ ،وﻇﻬﺮ ﺟﻠﻴﺎً ﻣﻦ ﺗﻘﻴﻴﻢ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻣﻮﻗﻒ إﳚﺎﰊ أﻛﺒﲑ ﻣﻘﺎرﻧﺔ ﻣﻊ ﻃﻼب اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ.
ﺑﻨﺎءً ﻋﻠﻰ اﻟﻨﺘﺎﺋﺞ ،ﰎ ﺗﺼﻤﻴﻢ ﳕﻮذج ﺗﻌﻠﻴﻤﻲ ﺑﻨﺎﺋﻲ ﺷﺎﻣﻞ ﻣﺮﺗﺒﻂ ﺑﺪورة ﻛﻮﻟﺐ ﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،ﻛﻤﺎ ﰎ ﺷﺮح أﺟﺰاءﻩ وﻋﻨﺎﺻﺮﻩ.
ﺑﻨﺎءً ﻋﻠﻴﻪ ،ﻧﺆﻛﺪ ﻋﻠﻰ ﺿﺮورة وأﳘﻴﺔ إﻋﺎدة اﻟﻨﻈﺮ ﰲ ﺗﻌﺪﻳﻞ اﻟﻨﻤﺎذج اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﻜﻼﺳﻴﻜﻴﺔ اﳌﻬﻴﻤﻨﺔ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻜﻠﻴﺎت اﳍﻨﺪﺳﻴﺔ ﰲ
ﻣﻨﻄﻘﺘﻨﺎ ،إﱃ ﻓﻜﺮة أﻗﺮب إﱃ اﻟﻨﻤﺎذج اﻟﺒﻨﺎﺋﻴﺔ ﻟﱵ ﻬﺗﺪف إﱃ ﺟﻌﻞ اﻟﺘﻌﻠﻢ ﻣﺘﻌﺔ ﰲ أﻋﲔ اﻟﻄﻼب.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 310
اﻟﻔﺼﻞ اﻟﺴﺎدس Chapter 6
@ÚÌ5Éæa@läbvn€a@·Ó‡óm
ﻫــﺬا اﻟﻔﺼــﻞ ﻳﻌــﺮض اﻟﺪراﺳــﺔ اﻟﺘﻄﺒﻴﻘﻴــﺔ ﻟﻠﺒﺤــﺚ ﻣــﻦ ﺧــﻼل اﳍﻴﻜﻠﻴــﺔ اﻟﺒﻨﺎﺋﻴــﺔ ﻟﻠﺘﺠــﺎرب اﻟﻌﻤﻠﻴــﺔ اﻟــﱵ ﰎ ﺗﺴــﺘﻨﺪ إﱃ ﻣﻮﺿــﻮﻋﺎت اﻟﻔﺼــﻮل اﻟﺴــﺎﺑﻘﺔ.
ﻳﺴﺘﻌﺮض اﻟﻔﺼﻞ ﰲ ﺑﺪاﻳﺘﻪ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﻟﱵ اﻋﺘﻤﺪت ﰲ ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﰒ ﻳﻘﺪم ﺗﺼـﻤﻴﻤﺎً ﻟﻠﻮﺣـﺔ ﺗﻮﺳـﻌﺔ إﺿـﺎﻓﻴﺔ وﳏﻴﻄﻴـﺎت أﺧـﺮى
ﺗﺮﺑﻂ إﱃ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻗﺪ ﰎ ﺗﺼﻤﻴﻤﻬﺎ ﺧﺼﻴﺼﺎً ﺑﺪف اﺳﺘﺜﻤﺎر اﻟﻨﻈﺎم ﰲ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت ﻋﻤﻠﻴﺔ أﻛﺜﺮ ﴰﻮﻟﻴﺔ .ﰒ ﻳﻌﺪد اﻟﻔﺼﻞ اﻟﺘﻄﺒﻴﻘﺎت اﻟﱵ ﰎ
ﺑﻨﺎؤﻫـﺎ ﻋﻤﻠﻴـﺎً وﻳﺸـﺮع ﰲ إﻳـﺮاد ﳕـﺎذج ﻣﻨﻬـﺎ .ﻳﻠﻴـﻪ ﻣـﻮﺟﺰ ﳐﺘﺼـﺮ ﻋـﻦ اﳌﻜﺘﺒـﺎت اﻟﱪﳎﻴـﺔ LabVIEW FPGA Moduleاﻟـﱵ ﺳﺘﺴـﺘﺨﺪم ﰲ ﺑﻨـﺎء
اﻟﺘﻄﺒﻴﻘﺎت ،وﻳﺘﻌﺮض اﻟﻔﺼﻞ إﱃ ﻃﺮﻳﻘﺔ اﻟﺮﺑﻂ ﺑﲔ اﻟﺒﻴﺌـﺔ LabVIEW-FPGAوﻟﻮﺣـﺔ اﻟﺘﻄـﻮﻳﺮ .ﰒ ﻳﻘـﺪم اﻟﻔﺼـﻞ ﳕﻮذﺟـﺎً ﻣﻨﻬﺠﻴـﺎً ﺑﻨﺎﺋﻴـﺎً ﻟﺘﺼـﻤﻴﻢ
دﻟﻴﻞ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ .وأﺧﲑاً ﻳﻘﺪم اﻟﻔﺼﻞ ﳕﻮذﺟﺎً ﻫﻴﻜﻠﻴﺎً ﻣﺘﻜﺎﻣﻼً ﻟﺒﻨﺎء ﳐﺘﱪ ﻋﻦ ﺑﻌﺪ ﻳﻌﺎﰿ ﻣﺸﻜﻠﺔ ﻋﺪم ﺗﻮﻓﺮ ﻋﻨﺎوﻳﻦ ﺧﺎﺻﺔ ).(VPN
ﲝﺜﻨﺎ ﰲ اﻟﻔﺼﻞ اﻷول ﻣﻦ ﻫﺬﻩ اﻷﻃﺮوﺣﺔ ﰲ ﻣﻮﺿﻮﻋﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ) Embedded Systemsوﻓﺮوﻋﻬﺎ وﺗﻄﺒﻴﻘﺎﻬﺗﺎ ،وﻋﱠﺮﺟﻨﺎ ﰲ
ﺼﻠﻨﺎ ﰲ ﺑﲎ
اﻟﻔﺼﻞ اﻟﺜﺎﱐ إﱃ أﺣﺪ أﻫﻢ ﻓﺮوع اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﻫﻮ ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ) ،(FPGAsﻓﻔ ﱠ
ﻣﺼﻔﻮﻓﺎت اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً وﻣﻨﻬﺠﻴﺎت ﺗﺼﻤﻴﻤﻬﺎ ،وﻋﻠﻴﻪ ﺟﺎء اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ ﻣﺴﺘﻌﺮﺿﺎً ﻟﺒﻴﺌﺎت ﺑﺮﳎﺔ اﻟﻜﻴﺎن اﻟﺼﻠﺐ،
ﻘﺎرﻧﺎً ﻷﺳﺎﻟﻴﺒﻬﺎ وﻣﻨﻬﺠﻴّﺎﻬﺗﺎ ،ﻣﱪزاً أﳘﻴﺔ ودور اﻟﻠﻐﺎت اﻟﺮﺳﻮﻣﻴﺔ ) (Graphical Programming Languagesﰲ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت ﺗﻘﻨﻴﺔ
اﻟـ FPGAﺑﺄﺳﺮع زﻣﻦ وأﻗﺼﺮ وﻗﺖ وأﻗﻞ ﺟﻬﺪ.
اﻟﻔﺼﻞ اﻟﺮاﺑﻊ اﲣﺬ ﻣﻨﺤﺎً آﺧﺮ ،وﻃﺎف ﺑﻌﻴﺪاً ﻓﺠﺎل ﰲ ﻧﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻢ وﻣﻨﻬﺠﻴّﺎﺗﻪ ،وﻓﺼﻞ ﰲ اﺳﱰاﺗﻴﺠﻴﺎت ﺗﺼﻤﻴﻢ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ
اﳌﺨﱪﻳﺔ ،واﺿﻌﺎً اﻷﺳﺲ اﻟﻨﻈﺮﻳﺔ ﳌﻨﻬﺠﻴﺎت اﻟﺒﺤﺚ اﻟﻼﺣﻘﺔ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ اﻟﺬي ﻗﺪم اﻷﻃﺮ اﻟﻌﻤﻠﻴﺔ ﻟﻨﻤﻮذج ﺑﻨﺎﺋﻲ ﺷﺎﻣﻞ ﰲ اﻟﺘﻌﻠﻢ
واﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ اﳌﺨﱪي.
وأﺧﲑاً ﰲ ﻫﺬا اﻟﻔﺼﻞ اﻟﺬي ﻧﻌﺪﻩ "ﺳﺎدﺳﺎً" ،ﻧﻀﻊ اﻟﻠﺒﻨﺔ اﻷﺧﲑة ﰲ ﻫﺮم ﻫﺬا اﻟﺒﺤﺚ ،واﻟﱵ ﺗﺘﻀﻤﻦ ﳕﻮذﺟﺎً ﺗﻌﻠﻴﻤﻴﺎً ﳐﱪﻳﺎً ﻟﱪﳎﺔ ﺗﻄﺒﻴﻘﺎت
اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ )اﻟﱵأﲝﺮﻧﺎ ﰲ ﻣﻮﺿﻮﻋﺎﻬﺗﺎ ﰲ اﻟﻔﺼﻞ اﻷول( اﻟﱵ ﺗﻌﺘﻤﺪ ﺗﻘﻨﻴﺔ اﻟـ FPGAواﻟﱵ ﻓﺼﻞ ﻣﻮﺿﻮﻋﺎﻬﺗﺎ اﻟﻔﺼﻞ اﻟﺜﺎﱐ( ﺑﺎﺳﺘﺨﺪام
اﻟﻠﻐﺎت اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ )اﻟﱵ ﻋﺎﳉﻨﺎﻫﺎ ﰲ اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ( ،وﻛﻞ ذﻟﻚ ﰲ ﲨﻠﺔ اﻟﻨﻈﺮﻳﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ اﳊﺪﻳﺜﺔ وﻓﻘﺎً ﻟﻠﻨﻤﻮذج اﳌﺨﱪي اﳌﺼﻤﻢ
)اﻟﻔﺼﻞ اﻟﺮاﺑﻊ واﳋﺎﻣﺲ( .ﻓﻴﻤﺎ ﻳﻠﻲ ﶈﺔ ﻋﺎﻣﺔ ﻋﻦ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻟﺘﻘﻨﻴﺔ اﻟـ FPGAواﳌﺴﺘﺨﺪﻣﺔ ﰲ ﺑﻨﺎء اﳌﺸﺎرﻳﻊ اﻟﺘﻌﻠﻴﻤﻴﺔ.
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
ﺗﻌﺘﱪ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ Spartan-3E Starter Kitاﻟﻨﻤﻮذج اﳌﺜﺎﱄ ﻟﻠﻮﺣﺔ ﺗﻄﻮﻳﺮ ﺷﺎﻣﻠﺔ اﻟﺘﻄﺒﻴﻘﺎت ﻋﺎﻟﻴﺔ اﻷداء ﻣﻨﺨﻔﻀﺔ اﻟﻜﻠﻔﺔ ﻣﻨﺎﺳﺒﺔ
ﻟﺘﺼﻤﻴﻢ ﻣﻌﻈﻢ اﻟﺘﻄﺒﻴﻘﺎت ﻣﺘﻮﺳﻄﺔ اﻟﺘﻌﻘﻴﺪ .ﺗﺘﻀﻤﻦ ﻫﺬﻩ اﻟﻠﻮﺣﺔ ﺷﺮﳛﺔ FPGAﻣﻦ ﺗﺼﻨﻴﻊ ﺷﺮﻛﺔ ،Xilinxوﻫﺬﻩ اﻟﺸﺮﳛﺔ ﻫﻲ ﻣﻦ اﻟﻌﺎﺋﻠﺔ
Spartan-3Eﺑﺘﻘﻨﻴﺔ ﺗﺼﻨﻴﻊ ،90nmوﲤﻠﻚ ﻫﺬﻩ اﻟﻌﺎﺋﻠﺔ ﺷﺮاﺋﺢ FPGAﲢﺘﻮي ﺣﱴ 1.6ﻣﻠﻴﻮن ﺑﻮاﺑﺔ ﻣﻨﻄﻘﻴﺔ وأﻛﺜﺮ ﻣﻦ 376ﻗﻄﺐ
.I/Oاﻟﺸﻜﻞ 1-6ﻳﺒﲔ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ .Spartan-3E Kit
ﺳﻌﺮ ﻫﺬﻩ اﻟﻠﻮﺣﺔ ﻳﻌﺘﱪ ﻻ ﻣﺜﻴﻞ ﻟﻪ ،ﺣﻴﺚ أن ﺳﻌﺮ اﻟﻠﻮﺣﺔ اﻟﻮاﺣﺪة ﻫﻮ USD149ﻓﻘﻂ ،وﻫﺬا اﻟﺴﻌﺮ ﻫﻮ أﻗﻞ ﻣﻦ ﺳﻌﺮ اﻟﺘﻜﻠﻔﺔ اﻻﻓﱰاﺿﻲ،
ﺣﻴﺚ أن ﺷﺮﻛﺔ Xilinxوﺑﺎﻟﺘﻌﺎون ﻣﻊ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺸﺮﻛﺎت اﻷﺧﺮى اﳌﺼﻨﻌﺔ ﻟﻠﻄﺮﻓﻴﺎت ﻗﺎﻣﻮا ﺑﻄﺮح ﻫﺬﻩ اﻟﻠﻮﺣﺔ ﰲ اﻷﺳﻮاق ﺑﺴﻌﺮ رﻣﺰي
دﻋﻤﺎً ﻟﻠﻄﻼب واﳉﺎﻣﻌﺎت اﻟﺮاﻏﺒﲔ ﺑﺘﻌﻠﻢ ﺗﻘﻨﻴﺔ اﻟـ.FPGA
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 312
26 Chapter 6 | اﻟﻔﺼﻞ اﻟﺴﺎدس
.ﺳﻮف ﻧﺘﻄﺮق إﱃ ﻣﺒﺪأ ﻋﻤﻞ ﻛﻞ ﻣﻦ ﻫﺬﻩ اﻟﻮﺣﺪات ﰲ ﺣﻴﻨﻪ أﺛﻨﺎء ﺷﺮح اﻟﺘﺠﺮﺑﺔ اﳌﺨﺼﺼﺔ ﻟﻪ
313 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
ﻳﺒﲔ ﻟﻮﺣﺔ اﻟﺘﻮﺳﻌﺔ واﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ اﻹﺿﺎﻓﻴﺔ اﻟﱵ ﰎ ﺗﺼﻤﻴﻤﻬﺎ .اﳌﻠﺤﻖ 7-ﻳﺘﻀﻤﻦ اﳌﺨﻄﻄﺎت اﻟﺘﺼﻤﻴﻤﻴﺔ ﻟﻠﻮﺣﺔ اﻟﺘﻮﺳﻌﺔ واﻟﻮﺣﺪات
اﶈﻴﻄﻴﺔ اﻹﺿﺎﻓﻴﺔ.
U02
U01
U12
:U08 -وﺣﺪة ﻗﻴﺎس ﺣﺮارة ﺑﺎﺳﺘﺨﺪام ﺣﺴﺎس رﻗﻤﻲ DS1280وﻓﻖ اﻟﱪوﺗﻮﻛﻮل .1-wire
U09 -وﺣﺪة ﺗﻮﻟﻴﺪ ﺟﻬﺪ ﺧﻄﻲ ﺗﺸﺎﻬﺑﻲ ﻣﻔﺎﺗﻴﺢ ﳊﻈﻴﺔ.
:U10 -وﺣﺪة ﻗﻴﺎدة ﶈﺮك ﺧﻄﻲ ).(1Amax Stepper Motor
U11 -وﺣﺪة ﻗﻴﺎس ﺣﺮارة ﺑﺎﺳﺘﺨﺪام ﺣﺴﺎس ﺗﺸﺎﻬﺑﻲ LM35وﻣﻘﺎوﻣﺔ ذات ﻋﺎﻣﻞ ﺣﺮاري ﺳﺎﻟﺐ ).(NTC
:U12 -وﺣﺪة إﻇﻬﺎر ﺑﺄرﺑﻊ ﻟﻮﺣﺎت إﻇﻬﺎر رﻗﻤﻴﺔ وﺛﻨﺎﺋﻴﺎت ﺿﻮﺋﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻣﺴﺠﻼت إزاﺣﺔ .74HC595
:U13 -وﺣﺪة ﲢﻜﻢ اﺳﺘﻄﺎﻋﻴﺔ ﺑﺎﺳﺘﺨﺪام أرﺑﻊ وﺣﺪات .(250V/10A) Relays
:U14 -وﺣﺪة إﻇﻬﺎر ﺑﺄرﺑﻊ ﻟﻮﺣﺎت إﻇﻬﺎر رﻗﻤﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﳕﻂ اﳌﺴﺢ ).(Scanning Mode
:U15 -وﺣﺪة ﻗﻴﺎدة ﳏﺮك ﺗﻴﺎر ﻣﺴﺘﻤﺮ أو ﳏﺮك ﺧﻄﻮي ﺑﺎﺳﺘﺨﺪام اﻟﺸﺮﳛﺔ .L297
إن اﳌﻨﻬﺠﻴﺔ اﻟﱵ ﺳﻮف ﻧﻘﻮم ﺑﺎﺗﺒﺎﻋﻬﺎ ﻟﺘﺼﻤﻴﻢ دﻟﻴﻞ اﻟﺘﺠﺮﺑﺔ ﻣﻮﺿﺤﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 3-6وﺳﻴﺄﰐ ﺗﻔﺼﻴﻠﻬﺎ ﻻﺣﻘﺎً.
• ﻣﻘﺪﻣﺔ ﻋﻦ اﻟﺘﺠﺮﺑﺔ
• اﳍﺪف ﻣﻦ اﻟﺘﺠﺮﺑﺔ اﻟﻔﻜﺮة
واﻟﻬﺪف
• اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﺘﺠﺮﺑﺔ
• اﳌﺨﻄﻂ اﳌﺮﺣﻠﻲ ﻟﺘﻨﻔﻴﺬ اﻟﺘﺠﺮﺑﺔ اﻟﺘﺨﻄﻴﻂ
ﰲ ﻣﺮﺣﻠﺔ ﻣﺒﻜﺮة ﻣﻦ ﻫﺬا اﻟﺒﺤﺚ ﰎ ﺑﻨﺎء اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﺠﺎرب اﻟﱵ ﻏﻄﺖ ﻣﻌﻈﻢ اﶈﻴﻄﻴﺎت واﻟﺘﻄﺒﻴﻘﺎت اﳌﺪﳎﺔ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ
،Spartan-3Eإﺿﺎﻓﺔً إﱃ ﳎﻤﻮﻋﺔ إﺿﺎﻓﻴﺔ ﻣﻦ اﻟﺘﺠﺎرب ﺗﺘﻌﻠﻖ ﺑﺎﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ اﻹﺿﺎﻓﻴﺔ اﻟﱵ ﰎ ﺗﺼﻤﻴﻤﻬﺎ.
315 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
ﻧﻌﺪد ﻋﻠﻰ ﺳﺒﻴﻞ اﳌﺜﺎل :ﲡﺎرب اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﺑﻮاﺑﺎت اﻟﺪﺧﻞ واﳋﺮج ) ،(GPIOاﻟﺘﻌﺎﻣﻞ ﻣﻊ وﺣﺪة اﻟﺘﻮﻗﻴﺖ ،اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﺷﺎﺷﺔ اﻹﻇﻬﺎر
اﻟﺮﺳﻮﻣﻴﺔ ،اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻟﺬواﻛﺮ اﳌﺪﳎﺔ اﻟﺘﺴﻠﺴﻠﻴﺔ واﻟﺘﻔﺮﻋﻴﺔ ،اﻟﺘﻌﺎﻣﻞ ﻣﻊ ﻣﺒﺪﻻت اﻹﺷﺎرة ،DAC/ADCاﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻟﻨﻮاﻓﺬ اﻟﺘﺴﻠﺴﻠﻴﺔ
،RS232واﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﺠﺎرب اﻷﺧﺮى واﻟﱵ ﲟﺠﻤﻮﻋﻬﺎ ﻻ ﳝﻜﻦ ﺗﻐﻄﻴﺘﻬﺎ ﻣﻦ ﺧﻼل ﻓﺼﻞ دراﺳﻲ واﺣﺪ ﻓﻘﻂ ،وإﳕﺎ ﲢﺘﺎج إﱃ أن ﺗﺒﲎ
وﺗﻘﺪم وﻓﻖ اﳌﻨﻬﺠﻴﺔ اﳌﺬﻛﻮرة واﻟﻨﻤﻮذج اﳌﺼﻤﻢ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ﻋﻠﻰ ﻣﺪى ﻓﺼﻠﲔ دراﺳﻴﲔ ﻣﺘﺘﺎﺑﻌﲔ ،ﻋﻠﻰ أن ﻳﺘﻢ ﲢﻀﲑ اﻟﻄﻼب
ﺑﺸﻜﻞ ﻣﺴﺒﻖ ﰲ ﻣﻘﺮرات اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ وﺑﺮﳎﺔ اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة.
ﻣﺪﺧﻞ إﻟﻰ ﺑﻴﺌﺔ :(Introduction to LabVIEW FPGA Model) LabVIEW FPGA 6-6
ﺑﺎﻟﻌﻮدة إﱃ اﻟﺸﻜﻞ 34-3اﻟﺬي ﳝﺜﻞ اﻟﺒﻨﻴﺔ اﻟﻌﺎﻣﺔ ﳌﻜﻮﻧﺎت ﺷﺮاﺋﺢ اﻟـ FPGAﳒﺪ أن ﺷﺮﳛﺔ اﻟـ FPGAﺗﺘﻜﻮن ﻣﻦ ﻋﺪد ﻣﻦ اﻟﻮﺣﺪات
اﳌﻨﻄﻘﻴﺔ اﻷﺳﺎﺳﻴﺔ ) ،(CLBs, IOBs, DCMs, ERMs, RMIsإﺿﺎﻓﺔً إﱃ وﺣﺪات أﺧﺮى ﻣﺪﳎﺔ ﺗﺴﺘﺨﺪم ﰲ ﺗﻄﺒﻴﻘﺎت ﻣﺘﻘﺪﻣﺔ
) ،(EPCs, GbTs, IPs, DSPBsﳝﺜﻞ اﻟـ CLBsاﻟﻜﺘﻞ اﳌﻨﻄﻘﻴﺔ اﻷﺳﺎﺳﻴﺔ اﻟﱵ ﻳﺘﺸﻜﻞ ﻣﻨﻬﺎ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻷي ﺗﻄﺒﻴﻖ.
ﰲ اﻟﺒﻴﺌﺔ LabVIEW FPGAﻳﺘﻢ اﻟﺘﺼﻤﻴﻢ اﻧﻄﻼﻗﺎً ﻣﻦ اﳌﺴﺘﻮى اﻟﻮﻇﻴﻔﻲ ﺑﺪﻻً ﻣﻦ اﳌﺴﺘﻮى اﻟﺒﻨﻴﻮي ،وﺑﺎﻟﺘﺎﱄ ﻳﺼﺐ اﳌﺼﻤﻢ اﻫﺘﻤﺎﻣﻪ
اﻟﻜﺎﻣﻞ ﻋﻠﻰ اﻟﻮﻇﺎﺋﻒ اﳌﻄﻠﻮﺑﺔ ﻣﻦ اﻟﻨﻈﺎم ﺑﺪﻻً ﻣﻦ اﻟﺘﺸﺘﺖ ﰲ ﺗﻔﺎﺻﻴﻞ ﻋﻨﺎﺻﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ.
ﺗﻮﻓﺮ اﻟﺒﻴﺌﺔ LabVIEW FPGAﻣﻜﺘﺒﺎت رﺳﻮﻣﻴﺔ ﺑﺮﳎﻴﺔ ﺟﺎﻫﺰة ﻟﻠﺘﺤﻜﻢ ﺑﺎﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ واﳌﻮارد اﳌﻮﺟﻮدة ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ ،FPGAﺣﻴﺚ
ﻳﺘﻢ اﻟﺘﺼﻤﻴﻢ ﰲ ﻣﺴﺘﻮ ٍى ٍ
ﻋﺎل ﻻ ﳛﺘﺎج ﻓﻴﻪ إﱃ اﳋﻮض ﰲ ﺗﻔﺎﺻﻴﻞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﻌﻘﺪة واﻟﺸﺎﺋﻜﺔ ﺟﺪاً ،وإﳕﺎ ﻳﻜﻔﻲ اﻻﻃﻼع اﻟﻌﺎم ﻋﻠﻰ
ﻣﻮارد وﺧﺼﺎﺋﺺ اﻟﺸﺮﳛﺔ اﳌﺴﺘﺨﺪﻣﺔ.
ﲤﻠﻚ اﻟﺒﻴﺌﺔ LabVIEW FPGAاﻟﻌﺪﻳﺪ ﻣﻦ اﳌﻜﺘﺒﺎت اﳉﺎﻫﺰة اﳌﻀﻤﻨﺔ ﰲ اﻟﱪﻧﺎﻣﺞ إﺿﺎﻓﺔً إﱃ ﻣﻜﺘﺒﺎت أﺧﺮى ذات ﺗﻄﺒﻴﻘﺎت ﺧﺎﺻﺔ
ﳝﻜﻦ ﲢﻤﻴﻠﻬﺎ أو ﺷﺮاﺋﻬﺎ ﻋﻨﺪ اﳊﺎﺟﺔ إﻟﻴﻬﺎ .إن اﺳﺘﺨﺪام ﻫﺬﻩ اﳌﻜﺘﺒﺎت ﺑﺴﻴﻂ ﺟﺪاً وﻳﺘﻢ ﻣﻦ ﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺴﺤﺐ واﻹﻓﻼت ) & Drag
.(Drop
ﺗﺘﻮﺿﻊ اﳌﻜﺘﺒﺎت ﰲ ﻟﻮﺣﺔ اﻟﻮﻇﻴﻔﻴﺔ ” “Functionﰲ واﺟﻬﺔ اﻟـ” ”Block Diagramﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ ،4-6ﰲ ﻫﺬا اﻟﻔﺼﻞ
ﻟﻦ ﻧﻘﻮم ﺑﺸﺮح ﻫﺬﻩ اﳌﻜﺘﺒﺎت وﻃﺮق اﺳﺘﺨﺪاﻣﻬﺎ ،وذﻟﻚ ﻷن اﻷﻣﺮ ﳛﺘﺎج إﱃ ﻣﺌﺎت اﻟﺼﻔﺤﺎت ،وإﳕﺎ ﺳﻨﻘﺘﺼﺮ ﻋﻠﻰ ذﻛﺮﻫﺎ ﳎﻤﻠﺔ ﻛﻤﺎ ﻫﻮ
ﻣﺒﲔ ﰲ اﻷﺷﻜﺎل ،5-6~19-6وﺳﻨﻌﺎﰿ ﰲ وﻗﺘﻪ ﻣﻦ ﺧﻼل اﻟﻌﺮوض اﳌﺮﺋﻴﺔ ) (Videoوﻇﺎﺋﻒ وﻋﻤﻞ اﻟﻌﻨﺎﺻﺮ اﳌﻮﺟﻮدة ﰲ اﳌﻜﺘﺒﺎت ﻋﻨﺪ
اﺳﺘﺨﺪاﻣﻬﺎ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 316
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
317 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments | ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ
(Memory & FIFO) FPGA ﻣﻜﺘﺒﺔ اﻟﺘﻌﺎﻣﻞ ﻣﻊ اﻟﻜﺘﻞ اﻟﺬاﻛﺮﻳﺔ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ12-6اﻟﺸﻜﻞ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 318
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
اﻟﺸﻜﻞ 13-6ﻣﻜﺘﺒﺔ اﻟﻌﻨﺎﺻﺮ اﻟﻮﻇﻴﻔﻴﺔ اﻟﺮﻳﺎﺿﻴﺔ واﻟﺘﺤﻠﻴﻠﻴﺔ )(FPGA Math & Analysis
319 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments | ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ
(Interfacing) FPGA ﻋﻠﻰ اﳊﺎﺳﺐ ﻟﻠﻮﻇﺎﺋﻒ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـGUI ﻣﻜﺘﺒﺔ إﻧﺸﺎء واﺟﻬﺎت19-6اﻟﺸﻜﻞ
.20-6إن ﻋﻤﻠﻴﺔ ﲢﻮﻳﻞ اﳌﺨﻄﻂ اﻟﺮﺳﻮﻣﻲ إﱃ ﻣﻠﻒ ﺑﺮﳎﻲ ﺗﺘﻢ ﻣﻦ ﺧﻼل ﺛﻼث ﻣﺮاﺣﻞ ﻣﻮﺿﺤﺔ ﻋﻠﻰ اﻟﺸﻜﻞ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 320
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
إن ﻋﻤﻠﻴﺔ ﺗﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ ﺗﺘﻢ ﺑﺸﻜﻞ آﱄ ﺣﻴﺚ أن ﻫﻨﺎك اﻟﻌﺪﻳﺪ ﻣﻦ اﳌﺮاﺣﻞ اﳌﺮﻫﻘﺔ ﻳﺘﻢ ﺗﻨﻔﻴﺬﻫﺎ ﺑﺸﻜﻞ ﻣﺆﲤﺖ ،ﻛﻤﺎ ﰲ اﳌﺮﺣﻠﺔ اﻟﺜﺎﻧﻴﺔ
) (VHDLواﻟﱵ ﺗﺘﻀﻤﻦ ﺛﻼث ﻣﺮاﺣﻞ ﺗﺘﻢ ﺑﺸﻜﻞ آﱄ وﻫﻲ:
-اﻟﱰﲨﺔ ) :(Translationﺗﻮﻟﻴﺪ ﺑﺮﻧﺎﻣﺞ اﻟـ VHDLﺑﻨﺎءً ﻋﻠﻰ اﻟﻘﻴﻮد اﻟﺰﻣﻨﻴﺔ واﻧﺘﻘﺎل اﻹﺷﺎرات.
-ﲢﻘﻴﻖ اﻷﻣﺜﻠﻴﺔ ) :(Optimizationﲢﻠﻴﻞ اﻟﱪﻧﺎﻣﺞ اﳌﻮﻟﺪ وﲣﻔﻴﺾ ﻋﺪد اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ اﳌﺴﺘﺨﺪﻣﺔ.
-اﻟﱰﻛﻴﺐ ) :(Synthesisوﻫﻮ ﺗﻌﲔ اﳋﻼﻳﺎ اﳌﻨﻄﻘﻴﺔ واﻟﻮﺻﻼت ﻓﻴﻤﺎ ﺑﻴﻨﻬﺎ واﻟﺘﺤﻘﻖ ﻣﻦ اﻷزﻣﻨﺔ.
إن ﻛﻞ ﻣﺎ ﳛﺘﺎج إﻟﻴﻪ ﻫﻮ ﺑﻨﺎء اﳌﺨﻄﻂ اﻟﺮﺳﻮﻣﻲ ﻟﻠﺘﻄﺒﻴﻖ وﻣﻦ ﰒ ﺗﻮﻟﻴﺪ اﳌﻠﻒ اﻟﱪﳎﻲ ﻣﻦ ﺧﻼل أﻣﺮ واﺣﺪ ﻓﻘﻂ.
ﰲ ﺑﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت رﲟﺎ ﳛﺘﺎج إﱃ ﺑﻨﺎء واﺟﻬﺔ ﻣﺮﺋﻴﺔ ﻟﻠﺮﺑﻂ ﻣﻊ اﳊﺎﺳﺐ ،ﻫﺬﻩ اﻟﻮاﺟﻬﺔ ﺗﺪﻋﻰ ﺑـ” ،”Host VIﺣﻴﺚ ﻳﺘﻢ ﻣﻦ ﺧﻼﳍﺎ
اﻟﺘﺤﻜﻢ ﺑﺎﻟﻮﻇﺎﺋﻒ واﻟﺒﺎراﻣﱰات ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ FPGAوﻛﺬﻟﻚ ﻳﺘﻢ إرﺳﺎل ﺑﺎراﻣﱰات اﳌﻌﺎﳉﺔ ﻣﻦ ﺷﺮﳛﺔ اﻟـ FPGAإﱃ اﳊﺎﺳﺐ .ﳐﻄﻂ
اﻟﺘﻄﻮﻳﺮ اﻟﻜﺎﻣﻞ ﻣﻮﺿﺢ ﻋﻠﻰ اﻟﺸﻜﻞ.21-6
إن اﳌﻨﻬﺠﻴﺔ اﻟﻌﻤﻠﻴﺔ ﻟﺘﻨﻔﻴﺬ اﻟﺘﺠﺮﺑﺔ ﺳﺘﺘﻢ وﻓﻘﺎً ﻻﺳﱰاﺗﻴﺠﻴﺔ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ) (Hands-on Labوﻓﻘﺎً ﻟﻠﺸﻜﻞ ،22-6ﺣﻴﺚ ﻳﺘﻢ ﰲ اﳌﺮﺣﻠﺔ
اﻷوﱃ ﺗﻘﺪﱘ اﻻﻋﺘﺒﺎرات واﳌﺒﺎدئ اﻷﺳﺎﺳﻴﺔ ﻟﻠﺘﺠﺮﺑﺔ ) ،(Conceptsﰒ ﺗﻄﺒﻴﻖ ﻫﺬﻩ اﻻﻋﺘﺒﺎرات ﻟﺒﻨﺎء اﻟﺘﺠﺮﺑﺔ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ )(CAD
ﰲ اﻟﺒﻴﺌﺔ ،LabVIEWوﺑﻌﺪﻫﺎ ﻳﺘﻢ ﺗﺸﻐﻴﻞ وﳏﺎﻛﺎة اﻟﺘﻄﺒﻴﻖ ) (Simulateﰲ اﻟﺒﻴﺌﺔ ،LabVIEWوﻣﻦ ﰒ اﳌﺮﺣﻠﺔ اﻷﺧﲑة وﻫﻲ ﲢﻤﻴﻞ
اﻟﱪﻧﺎﻣﺞ وﲢﻠﻴﻞ ﺳﻠﻮﻛﻪ ﻋﻠﻰ اﻟﻜﻴﺎن اﻟﺼﻠﺐ )ﺷﺮﳛﺔ اﻟـ.(FPGA
Concepts
SW
Simulate/Debug
321 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
ﻓﻴﻤﺎ ﻳﻠﻲ ﺳﻨﻘﺪم أﻣﺜﻠﺔً ﻣﻨﻬﺠﻴﺔً ﻋﻦ أﺳﻠﻮب إﻋﺪاد دﻟﻴﻞ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ وﻓﻘﺎً ﻟﻠﻤﻨﻬﺠﻴﺔ اﻟﱵ ﰎ وﺿﻌﻬﺎ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ،وﺳﻨﻘﺼﺮ ﰲ
ﻫﺬﻩ اﻷﻃﺮوﺣﺔ ﻋﻠﻰ ﲡﺮﺑﺔ واﺣﺪة ﻓﻘﻂ .إن اﻟﺴﺒﺐ ﰲ ﻫﺬا اﻻﻗﺘﺼﺎر ﻳﻌﻮد إﱃ أن اﻟﻐﺎﻳﺔ ﻟﻴﺴﺖ ﰲ اﻟﻜﻢ اﻟﻌﺪدي ،وإﳕﺎ ﰲ اﳌﻨﻬﺠﻴﺔ اﻟﻨﻮﻋﻴﺔ
اﻟﻌ ْﻮد إﻟﻴﻬﺎ ﻻﺣﻘﺎً ﻋﻨﺪ ﺗﻘﺪﳝﻬﺎ ﻛﻤﻘﺮر ﻋﻤﻠﻲ ﳐﱪي ،ﻛﻤﺎ أن اﻟﺼﻔﺤﺎت ﺗﻀﻴﻖ ﻋﻠﻴﻨﺎ ﺑﻌﺪدﻫﺎ ،وﱂ ﻳﻌﺪ ﻣﻦ ﻣﺘﺴﻊ
-اﻟﺘﺠﺎرب اﻷﺧﺮى ﳝﻜﻦ َ
ﻟﻠﻤﺰﻳﺪ.
1-7-6اﻟﺘﺠﺮﺑﺔ اﻷوﱃ :اﻟﺘﻌﺎﻣﻞ ﻣﻊ أﻗﻄﺎب اﻟﺪﺧﻞ واﳋﺮج ):(Exp.1: Dealing with Input-Output Pins
ﺗﺴﻠﺴﻞ اﻟﻌﻤﻠﻴﺎت واﳌﻬﺎم ﺧﻼل اﻟﺘﺠﺮﺑﺔ ﺳﻴﺘﻢ ﻋﻠﻰ اﻟﺸﻜﻞ اﻟﺘﺎﱄ:
ﺳﻴﺘﻢ ﰲ ﻫﺬﻩ اﻟﺘﺠﺮﺑﺔ رﺑﻂ ﳎﻤﻮﻋﺔ ﺛﻨﺎﺋﻴﺎت ﺿﻮﺋﻴﺔ وﻣﻔﺎﺗﻴﺢ إﱃ أﻗﻄﺎب ﺷﺮﳛﺔ اﻟـ ،FPGAﲝﻴﺚ ﻳﺘﻢ اﺳﺘﺜﻤﺎر أﻗﻄﺎب ﺷﺮﳛﺔ اﻟـFPGA
ﻛﺄﻗﻄﺎب دﺧﻞ وﺧﺮج .ﻛﺬﻟﻚ ﺳﻨﻘﻮم ﺑﺮﺑﻂ ....
3-1-7-6اﳌﺨﻄﻂ اﻟﻨﻈﺮي وﻋﻨﺎﺻﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ):(The Schematic Diagram & Hardware
اﻟﺸﻜﻞ 23-6ﳐﻄﻂ اﻟﺘﻮﺻﻴﻞ ﻟﻠﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ واﳌﻔﺎﺗﻴﺢ اﻻﻧﺰﻻﻗﻴﺔ واﻟﻠﺤﻈﻴﺔ وﻣﻔﺘﺎح اﳌﻮﺿﻊ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 322
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
اﻟﺸﻜﻞ 23-6ﻳﺒﲔ ﳐﻄﻂ ﺗﻮﺻﻴﻞ اﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ ) (LED0-LED7واﳌﻔﺎﺗﻴﺢ اﻻﻧﺰﻻﻗﻴﺔ ) (SW0-SW3واﳌﻔﺎﺗﻴﺢ اﻟﻠﺤﻈﻴﺔ )S0-
ﺗﺘﻮﺿﻊ اﳌﻔﺎﺗﻴﺢ اﻻﻧﺰﻻﻗﻴﺔ اﻷرﺑﻌﺔ ) (SW3-SW0ﰲ اﻟﺰاوﻳﺔ اﻟﻴﻤﲎ اﻟﺴﻔﻠﻰ ﻣﻦ اﻟﻠﻮﺣﺔ ،ﺣﻴﺚ ﻳﺘﻮﺿﻊ SW0ﰲ أﻗﺼﻰ اﻟﻴﻤﲔ .ﻋﻨﺪﻣﺎ
ﺗﻜﻮن وﺿﻌﻴﺔ اﳌﻔﺘﺎح ﻟﻸﻋﻠﻰ ) ،(Onﻓﺈن اﳌﻔﺘﺎح ﻳﻘﻮم ﺑﻮﺻﻞ ﺟﻬﺪ LVTTL=3.3vإﱃ ﻗﻄﺐ ﺷﺮﳛﺔ اﻟـ ،FPGAوﻋﻨﺪﻣﺎ ﺗﻜﻮن وﺿﻌﻴﺔ
اﳌﻔﺘﺎح ﻟﻸﺳﻔﻞ ) ،(Offﻓﺈن اﳌﻔﺘﺎح ﺳﻴﻘﻮم ﺑﻮﺻﻞ ﻗﻄﺐ اﻟﺸﺮﳛﺔ إﱃ .GND
NET ">"SW<0 LOC = ""L13 | IOSTANDARD = LVTTL | PULLUP ;
NET ">"SW<1 LOC = ""L14 | IOSTANDARD = LVTTL | PULLUP ;
NET ">"SW<2 LOC = ""H18 | IOSTANDARD = LVTTL | PULLUP ;
NET ">"SW<3 LOC = ""N17 | IOSTANDARD = LVTTL | PULLUP ;
ﺗﺘﻮﺿﻊ اﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ اﻟﺴﺒﻌﺔ ) (LED7-LED0ﻓﻮق اﳌﻔﺎﺗﻴﺢ اﻻﻧﺰﻻﻗﻴﺔ ،ﺣﻴﺚ ﻳﺘﻮﺿﻊ LED0ﰲ أﻗﺼﻰ اﻟﻴﻤﲔ .اﻟﺜﻨﺎﺋﻴﺎت ﺗﻌﻤﻞ
ﻋﻨﺪ ﺗﻄﺒﻴﻖ ﺟﻬﺪ ﻣﻮﺟﺐ ” “1ﻋﻠﻴﻬﺎ ﺣﻴﺚ أن أﺣﺪ أﻄﺎﻬﺑﺎ ﻣﻮﺻﻞ إﱃ GNDواﻵﺧﺮ إﱃ ﻗﻄﺐ ﺷﺮﳛﺔ اﻟـ FPGAﻋﱪ ﻣﻘﺎوﻣﺔ ﲢﺪﻳﺪ
ﺗﻴﺎر ﻗﻴﻤﺘﻬﺎ .390Ω
NET ">"LED<7 LOC = ""F09 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<6 LOC = ""E09 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<5 LOC = ""D11 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<4 LOC = ""C11 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<3 LOC = ""F11 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<2 LOC = ""E11 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<1 LOC = ""E12 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET ">"LED<0 LOC = ""F12 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
323 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
ﺗﺘﻮﺿﻊ اﳌﻔﺎﺗﻴﺢ اﻟﻠﺤﻈﻴﺔ اﻷرﺑﻌﺔ ﰲ اﻟﺰاوﻳﺔ اﻟﻴﺴﺮى اﻟﺴﻔﻠﻰ ﻣﻦ اﻟﻠﻮﺣﺔ وﻫﻲ ﻣﻮزﻋﺔ ﻋﻠﻰ اﻻﲡﺎﻫﺎت اﻷرﺑﻌﺔ ﺣﻮل ﻣﻔﺘﺎح اﳌﻮﺿﻊ اﻟﺪوار
) ،(Encoderوﻗﺪ ﰎ ﺗﺴﻤﻴﺘﻬﺎ ﲝﻴﺚ أن اﳌﻔﺘﺎح اﻟﻴﻤﻴﲏ ﻫﻮ ” “BTN_EASTواﳌﻔﺘﺎح اﻟﻴﺴﺎري ﻫﻮ ” “BTN_WESTواﳌﻔﺘﺎح
اﻟﻌﻠﻮي ” “BTN_NORTHواﳌﻔﺘﺎح اﻟﺴﻔﻠﻲ ” “BTN_SOUTHاﻟﺬي ﻳﺴﺘﺨﺪم أﻳﻀﺎً ﻟﺘﺼﻔﲑ اﻟﱪﻧﺎﻣﺞ ﰲ ﺑﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت.
ﻋﻨﺪ اﻟﻀﻐﻂ ﻋﻠﻰ أﺣﺪ اﳌﻔﺎﺗﻴﺢ ﻓﺈﻧﻪ ﻳﺘﻢ وﺻﻞ ﺟﻬﺪ LVTTL=3.3vإﱃ ﻗﻄﺐ ﺷﺮﳛﺔ اﻟـ ،FPGAواﻟﺬي ﻳﺆدي إﱃ ﺗﻄﺒﻴﻖ ﺟﻬﺪ ”“1
ﻋﻠﻰ ﻗﻄﺐ اﻟﺸﺮﳛﺔ .ﺑﺸﻜﻞ ﻣﻌﺎﻛﺲ ﻋﻨﺪﻣﺎ ﻳﺘﻢ ﲢﺮﻳﺮ اﳌﻔﺘﺎح ﺗﻘﻮم ﻣﻘﺎوﻣﺔ اﻟﺴﺤﺐ اﻟﺪاﺧﻠﻴﺔ ﻟﻘﻄﺐ اﻟﺸﺮﳛﺔ ﺑﺘﻄﺒﻴﻖ ﺟﻬﺪ ” “0ﰲ ﻫﺬﻩ
اﳊﺎﻟﺔ ،ﻟﺬا ﻻﺑﺪ ﻣﻦ ﺗﻔﻌﻴﻞ ﻣﻘﺎوﻣﺔ اﻟﺴﺤﺐ اﻟﺪاﺧﻠﻴﺔ ) .(Pull-down Resistorإن زﻣﻦ اﻟﻌﻄﺎﻟﺔ اﳌﻴﻜﺎﻧﻴﻜﻴﺔ ﻟﻠﻤﻔﺎﺗﻴﺢ اﻟﻠﺤﻈﻴﺔ ﻛﺒﲑ
ﻧﺴﺒﻴﺎً وﻗﺪ ﻳﺼﻞ إﱃ ،40mSﻟﺬا ﻻﺑﺪ ﻣﻦ إﺿﺎﻓﺔ ﺣﻠﻘﺔ ﺗﺄﺧﲑ ﺑﺮﳎﻴﺔ.
اﻟﺸﻜﻞ 26-6ﺗﻮﺻﻴﻞ اﳌﻔﺘﺎح اﻟﻠﺤﻈﻲ ﻣﻊ ﻗﻄﺐ ﺷﺮﳛﺔ اﻟـ FPGAوﺗﻔﻌﻴﻞ ﻣﻘﺎوﻣﺔ اﻟﺴﺤﺐ اﻟﺪاﺧﻠﻴﺔ
NET "BTN_EAST" LOC = ""H13 | IOSTANDARD = LVTTL | PULLDOWN ;
NET "BTN_NORTH" LOC = ""V04 | IOSTANDARD = LVTTL | PULLDOWN ;
NET "BTN_SOUTH" LOC = ""K17 | IOSTANDARD = LVTTL | PULLDOWN ;
NET "BTN_WEST" LOC = ""D18 | IOSTANDARD = LVTTL | PULLDOWN ;
NET "ROT_CENTER"LOC = ""V16 | IOSTANDARD = LVTTL | PULLDOWN ;
NET "ROT_A " LOC = ""K18 | IOSTANDARD = LVTTL | PULLUP ;
NET "ROT_B " LOC = ""G18 | IOSTANDARD = LVTTL | PULLUP ;
اﻟﺸﻜﻞ 27-6ﺗﻮﺿﻊ اﳌﻔﺎﺗﻴﺢ اﻻﻧﺰﻻﻗﻴﺔ وﻣﻔﺘﺎح اﳌﻮﺿﻊ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ وﺗﻌﺮﻳﻔﺎت اﻷﻗﻄﺎب
ﻳﺘﻮﺿﻊ ﻫﺬا اﳌﻔﺘﺎح ﰲ وﺳﻂ اﳌﻔﺎﺗﻴﺢ اﻟﻠﺤﻈﻴﺔ اﻷرﺑﻌﺔ وﳝﻜﻦ ﺗﺪوﻳﺮﻩ ﳝﻴﻨﺎً وﻳﺴﺎراً وﺿﻐﻄﻪ ﻟﻸﺳﻔﻞ وﻫﻮ وﳝﻠﻚ ﺛﻼﺛﺔ ﳐﺎرج :اﺛﻨﺎن ﻣﻨﻬﻤﺎ
ﻟﻠﻤﻮﺿﻊ ) ،“Shaft Encoder” (ROT_A – ROT_Bواﻟﺜﺎﻟﺚ ﻫﻮ ﻋﺒﺎرة ﻋﻦ ﻣﻔﺘﺎح ﺿﻐﻂ ﳊﻈﻲ )،(ROT_CENTER
وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﻣﻔﺘﺎح اﳌﻮﺿﻊ اﻟﺪوار ﳝﻠﻚ وﻇﻴﻔﺘﲔ :ﻛﻤﻔﺘﺎح ﺿﻐﻂ ﳊﻈﻲ وﻫﻮ ﳛﺘﺎج إﱃ ﻣﻘﺎوﻣﺔ ﺳﺤﺐ داﺧﻠﻴﺔ )اﻟﺸﻜﻞ ،(28-6أو
ﻛﺤﺴﺎس ﻣﻮﺿﻊ دوار ) (Shaft Encoderوﻫﻮ ﳛﺘﺎج إﱃ ﻣﻘﺎوﻣﱵ رﻓﻊ داﺧﻠﻴﺘﲔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 324
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
اﻟﺸﻜﻞ 28-6ﺗﻮﺻﻴﻞ ﻣﻔﺘﺎح اﻟﻀﻐﻂ اﻟﻠﺤﻈﻲ ﻣﻊ ﻗﻄﺐ ﺷﺮﳛﺔ اﻟـ FPGAوﺗﻔﻌﻴﻞ ﻣﻘﺎوﻣﺔ اﻟﺴﺤﺐ اﻟﺪاﺧﻠﻴﺔ
ﺑﺸﻜﻞ ﻣﺒﺪﺋﻲ ﳝﻜﻦ ﲤﺜﻴﻞ اﻟﻘﺮص اﻟﺪوار ﰲ ﺣﺴﺎس اﳌﻮﺿﻊ اﻟﺪوار ﺑﻘﺮص ﳏﺪب ﳏﺎط ﲟﻔﺘﺎﺣﲔ ﳊﻈﻴﲔ )اﻟﺸﻜﻞ ،(29-7ﰲ اﳊﺎﻟﺔ اﻷوﻟﻴﺔ
ﻋﻨﺪ ﺛﺒﺎت اﻟﻘﺮص ﻓﺈن ﻛﻼ اﳌﻔﺘﺎﺣﲔ ﻣﻐﻠﻘﲔ ،ﻋﻨﺪ اﻟﺘﺪوﻳﺮ ،واﻋﺘﻤﺎداً ﻋﻠﻰ اﳉﻬﺔ اﻟﱵ ﻳﺪور ﺑﺎ اﻟﻘﺮص ،ﻓﺈن أﺣﺪ اﳌﻔﺎﺗﻴﺢ ﺳﻮف ﻳﻔﺘﺢ ﻗﺒﻞ
اﻵﺧﺮ ﰒ ﻳﺘﻢ اﻟﺘﺒﺪﻳﻞ ﰲ اﳊﻠﺔ وﻫﻜﺬا ،وﻫﺬا ﺑﺪورﻩ ﻳﺆدي إﱃ ﺗﻮﻟﻴﺪ ﻗﻄﺎرﻳﻦ ﻣﻦ اﻟﻨﺒﻀﺎت ﻣﺰاﺣﲔ ﻋﻦ ﺑﻌﻀﻬﺎ ﺑﺰاوﻳﺔ 90درﺟﺔ.
اﻟﺸﻜﻞ 30-6اﻟﻨﺒﻀﺎت اﳌﻮﻟﺪة ﻋﻠﻰ اﻟﻘﻄﺐ ROT_Aواﻟﻘﻄﺐ ROT_Bﻋﻨﺪ اﻟﺘﺪوﻳﺮ ﻟﻠﻴﻤﲔ اﻟﻴﺴﺎر
إن ﻋﻤﻠﻴﺔ ﻓﻚ اﻟﺘﺸﻔﲑ ﻟﻠﻨﺒﻀﺎت اﳌﻮﻟﺪة ﻋﻠﻰ اﻟﻘﻄﺐ ROT_Aواﻟﻘﻄﺐ ROT_Bﻋﻨﺪ اﻟﺘﺪوﻳﺮ ﺑﺴﻴﻄﺔ ﺟﺪاً وﺳﻮف ﻧﺄﰐ ﻋﻠﻰ
ﺗﻔﺼﻴﻠﻬﺎ ﻻﺣﻘﺎً ،إﻻ أﻧﻪ ﻣﻦ اﳌﻬﻢ اﻷﺧﺬ ﺑﻌﲔ اﻻﻋﺘﺒﺎر أﺛﺮ ﺿﺠﻴﺞ اﻟﺘﺒﺪﻳﻞ اﳌﻴﻜﺎﻧﻴﻜﻲ ) (Chatterواﻟﺬي ﳝﻜﻦ أن ﻳﻮﻟﺪ ﺣﺮﻛﺔ إﺿﺎﻓﻴﺔ أو
ﺣﱴ ﻳﺒﺪل ﺟﻬﺔ اﳊﺮﻛﺔ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.31-6
325 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
5-1-7-6ﺗﺪﻓﻖ اﻟﺒﻴﺎﻧﺎت ﰲ داﺧﻞ ﺷﺮﳛﺔ اﻟـ:(Data Flow within the FPGA) FPGA
إن اﻟﺴﺆال اﻟﺬي ﻗﺪ ﻳﺘﺒﺎدر إﱃ اﻷذﻫﺎن ﻫﻮ :ﻛﻴﻒ ﻳﺘﻢ ﲢﻮﻳﻞ اﻟﱪﻧﺎﻣﺞ ﻣﻦ ﳐﻄﻂ LabVIEWإﱃ ﺷﺮﳛﺔ اﻟـFPGA؟
إن اﻟﱪﻧﺎﻣﺞ LabVIEW FPGAﻳﺴﺘﺨﺪم ﺛﻼث ﻋﻨﺎﺻﺮ ﻟﻴﺤﺎﻓﻆ ﻋﻠﻰ ﺗﻨﻔﻖ اﻟﺒﻴﺎﻧﺎت ﺑﲔ اﻟﻌﻘﺪ ﰲ ﺑﻴﺌﺔ LabVIEW؛ اﻟﻌﻨﺎﺻﺮ
ﻣﻮﺿﺤﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 32-6وﺗﺘﻀﻤﻦThe Enable ،Synchronization ،The Corresponding Logic Function :
.Chen
” “Notﰲ LabVIEW FPGAوﻓﻘﺎً ﳌﻨﻬﺠﻴﺔ اﻟـDataflow اﻟﺸﻜﻞ 32-6اﻟﻌﻨﺎﺻﺮ اﳌﻄﻠﻮﺑﺔ ﻟﺒﻨﺎء ﺑﻮاﺑﺔ
وﺑﺎﻟﺘﺎﱄ ﻓﺈن أي ﻋﻨﺼﺮ ﺳﻴﺤﺘﺎج إﱃ ﻗﻼب ﻣﺮﺗﺒﻂ ﺑﺎﻟﻮﻇﻴﻔﻴﺔ اﳌﻨﻄﻘﻴﺔ اﻷﺳﺎﺳﻴﺔ اﳌﺮاد ﺗﻨﻔﻴﺬﻫﺎ وﻗﻼب آﺧﺮ ﺧﺎص ﺑﺎﻟﺘﺰاﻣﻦ وﻗﻼب ﺛﺎﻟﺚ
ﻟﺘﻔﻌﻴﻞ اﻟﺴﻠﺴﻠﺔ .ﻓﻤﺜﻼً وﻣﻦ أﺟﻞ اﻟﺪارة اﳌﺒﻴﻨﺔ ﻋﻠﻰ اﻟﺸﻜﻞ 33-6واﻟﱵ ﺗﺘﺄﻟﻒ ﻣﻦ ﻋﻨﺼﺮ ﲢﻜﻢ Booleanوﺑﻮاﺑﺔ Notوﻗﻄﺐ ﺧﺮج
رﻗﻤﻲ .إن ﻋﻨﺼﺮ اﻟﺘﺤﻜﻢ Booleanﳝﻠﻚ ﻋﻨﺎﺻﺮ ﻣﻨﻄﻘﻴﺔ ﻣﺮﺗﺒﻄﺔ ﻣﻊ ﻣﺴﺠﻞ اﻟﺒﻴﺎﻧﺎت ﻟﺘﺤﺼﻴﻞ اﻟﺒﻴﺎﻧﺎت ﻣﻦ واﺟﻬﺔ اﻟﺘﻄﺒﻴﻖ .اﻟﺒﻮاﺑﺔ
اﳌﻨﻄﻘﻴﺔ Notﲤﻠﻚ ﻋﻨﺎﺻﺮ ﻣﻨﻄﻘﻴﺔ ﻣﺮﺗﺒﻄﺔ ﺑﻮﻇﻴﻔﺘﻬﺎ إﺿﺎﻓﺔً إﱃ ﻗﻼب ﺗﺰاﻣﻦ وﻗﻼب ﺗﻔﻌﻴﻞ اﻟﺴﻠﺴﻠﺔ .اﳋﺮج اﻟﺮﻗﻤﻲ ﺑﺎﳌﺜﻞ ﳝﻠﻚ ﻗﻼب ﺗﺰاﻣﻦ
وﻗﻼب ﺗﻔﻌﻴﻞ اﻟﺴﻠﺴﻠﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 326
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
ﻋﻨﺪﻣﺎ ﻳﺘﻢ ﺗﺸﻐﻴﻞ اﻟﱪﻧﺎﻣﺞ ﻓﺈﻧﻪ ﻳﺘﻢ ﺗﻌﻴﲔ اﻟﻘﻴﻤﺔ ” “1ﻋﻠﻰ اﳋﻂ ” “Enableوذﻟﻚ ﻟﺘﻔﻌﻴﻞ ﻗﻼب اﻟﺘﻮاﻗﺖ اﳌﺮﺗﺒﻂ ﺑﻌﻨﺼﺮ اﻟﺘﺤﻜﻢ
،Booleanوأﺛﻨﺎء ذﻟﻚ ﻳﺘﻢ ﺗﻄﺒﻴﻖ ﺟﺒﻬﺔ ﺻﺎﻋﺪة ﻋﻠﻰ ﺧﻂ اﻟـ Clockواﻟﺬي ﻳﺆدي إﱃ ﻧﻘﻞ اﻟﺒﻴﺎﻧﺎت ﺧﻼل اﳌﺴﺠﻞ إﱃ اﻟﻘﻼب .ﺧﻼل
اﳉﺒﻬﺔ اﻟﺼﺎﻋﺪة اﻟﺜﺎﻧﻴﺔ ﻟﻠـ Clockﻓﺈن ﻗﻼب اﻟﺘﻮاﻗﺖ اﳌﺮﺗﺒﻂ ﺑﺒﻮاﺑﺔ Notﳝﺮر اﻟﻘﻴﻤﺔ اﳉﺪﻳﺪة .وﻣﻊ ﻗﺪح اﳉﺒﺔ اﻟﺼﺎﻋﺪة اﻟﺜﺎﻟﺜﺔ ﻟﻠـClock
ﻓﺈﻧﻪ ﻳﺘﻢ ﺗﻄﺒﻴﻖ ” “1ﻋﻠﻰ ﻗﻄﺐ اﻟﺘﻔﻌﻴﻞ Enﻟﻠﻘﻼب اﻟﺜﺎﻟﺚ وﻳﺆدي ذﻟﻚ إﱃ ﻇﻬﻮر اﻟﻘﻴﻤﺔ ﻋﻠﻰ ﻗﻄﺐ اﳋﺮج اﻟﺮﻗﻤﻲ.
إن ﻛﻞ وﺣﺪة ﻣﻨﻄﻘﻴﺔ ﲢﺘﺎج إﱃ دورة ﻫﺰاز واﺣﺪة ﻋﻠﻰ اﻷﻗﻞ ،واﻟﺒﻌﺾ اﻵﺧﺮ ﻗﺪ ﳛﺘﺎج إﱃ أﻛﺜﺮ ﻣﻦ ذﻟﻚ ﺗﺒﻌﺎً ﳌﺴﺘﻮى اﻟﺘﻌﻘﻴﺪ اﻟﻮﻇﻴﻔﻲ،
ﻛﻤﺎ أن ﺣﻠﻘﺔ While…Loopﲢﺘﺎج إﱃ دورﺗﲔ إﺿﺎﻓﻴﺘﲔ ﻣﻦ دورات اﳍﺰاز ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ اﻷﻋﻈﻤﻴﺔ ﺗﺘﺤﺪد ﲟﺠﻤﻮع
اﻟﻌﻨﺎﺻﺮ اﳌﻨﻄﻘﻴﺔ اﻟﺘﺘﺎﺑﻌﻴﺔ ،ﻓﻤﻦ أﺟﻞ اﻟﺪارة اﳌﺒﻴﻨﺔ ﰲ اﻟﺸﻜﻞ 33-6ﻓﺈﻧﻪ ﻳﺘﻄﻠﺐ ﺛﻼث دورات ﻟﺘﻨﻔﻴﺬ اﻟﻌﻤﻠﻴﺔ اﳌﺒﻴﻨﺔ ،وﻣﻦ أﺟﻞ ﺗﺮدد ﻫﺰاز
60MHzﻓﺈن ﻣﻌﺪل ﺳﺮﻋﺔ اﻟﺘﻨﻔﻴﺬ اﻷﻋﻈﻤﻲ ﻫﻮ.60/3= 20MHz :
-1أﻗﻄﺎب دﺧﻞ وﺧﺮج رﻗﻤﻴﺔ ﺛﻨﺎﺋﻴﺔ اﻻﲡﺎﻩ ).(bi-directional digital I/O Pins
-2ﺑﻮاﺑﺎت دﺧﻞ وﺧﺮج رﻗﻤﻴﺔ ﺛﻨﺎﺋﻴﺔ اﻻﲡﺎﻩ ) (bi-directional digital I/O Portsوﻫﻲ ﻋﺒﺎرة ﻋﻦ ﳎﻤﻮﻋﺔ ﻣﻦ اﻗﻄﺎب اﻟﺪﺧﻞ
واﳋﺮج ،وﻳﻌﺘﻤﺪ ﻋﺪدﻫﺎ ﻋﻠﻰ اﳉﻬﺎز أو اﻟﻜﻴﺎن اﻟﺼﻠﺐ وﻏﺎﻟﺒﺎً ﺗﻜﻮن إﻣﺎ 8, 16, 32ﻗﻄﺐ ﰲ ﻛﻞ ﳎﻤﻮﻋﺔ ﺣﻴﺚ ﳝﻜﻦ اﻟﻘﺮاءة
أو اﻟﻜﺘﺎﺑﺔ ﻋﻠﻰ ﻣﺴﺘﻮى ﻗﻄﺐ وﺣﻴﺪ أو ﻋﻠﻰ ﻛﺎﻣﻞ ﻣﺴﺘﻮى اﻟﺒﻮاﺑﺔ.
-3ﻗﻄﺎب دﺧﻞ وﺧﺮج ﺗﺸﺎﻬﺑﻴﺔ ﺛﻨﺎﺋﻴﺔ اﻻﲡﺎﻩ ) (bi-directional analog I/O Pinsﺗﺘﻌﺎﻣﻞ ﺑﺎﻟﻔﺎﺻﻠﺔ اﻟﺜﺎﺑﺘﺔ.
327 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
Functionsاﻟﺒﻴﺌﺔ LabVIEW FPGA اﻟﺸﻜﻞ 37-6ﻋﻨﺎﺻﺮ اﻟﺘﻮﻗﻴﺖ واﻟﺘﺄﺧﲑ اﻟﺰﻣﲏ ﰲ ﻟﻮﺣﺔ اﻟﻌﻨﺎﺻﺮ
ﻳﻮﺟﺪ ﺛﻼث ﻋﻨﺎﺻﺮ أﺳﺎﺳﻴﺔ ﰲ ﻟﻮﺣﺔ ﻋﻨﺎﺻﺮ اﻟﺘﻮﻗﻴﺖ وﻫﻲ.“Loop Timer”, “Wait”, “Tick Count” :
-اﻟﻌﻨﺼﺮ ” “Loop Timerﻳﺴﺘﺨﺪم ﻟﻠﺘﺤﻜﻢ ﺑﺰﻣﻦ دورة اﳊﻠﻘﺎت ) ،(For...Loop, While…Loopوﻳﺴﺘﺨﺪم ﻟﻠﺘﺤﻜﻢ
ﻌﺪل اﺳﺘﺤﺼﺎل اﻟﺒﻴﺎﻧﺎت ﻣﻦ أﻗﻄﺎب اﻟﺪﺧﻞ اﻟﺘﺸﺎﻬﺑﻴﺔ واﻟﺮﻗﻤﻴﺔ ،اﻟﺸﻜﻞ.36-6
-اﻟﻌﻨﺼﺮ ” “Waitﻳﻀﻴﻒ ﺗﺄﺧﲑ ﺛﺎﺑﺖ وﳏﺪد ﺑﲔ ﻋﻤﻠﻴﺘﲔ ﰲ ﺷﺮﳛﺔ اﻟـ ،FPGAوﻳﺴﺘﺨﺪم ﻟﻠﺘﺤﻜﻢ ﺑﻌﺮض اﻟﻨﺒﻀﺔ ﻋﻠﻰ ﻗﻄﺐ
ﺧﺮج رﻗﻤﻲ ،أو إﺿﺎﻓﺔ ﺗﺄﺧﲑ ﺑﲔ ﻗﺪح اﻹﺷﺎرة وإﺻﺪار اﳋﺮج.
-اﻟﻌﻨﺼﺮ ” “Tick Countﻳﻌﻄﻲ اﻟﻘﻴﻤﺔ اﳊﺎﻟﻴﺔ ﻟﻨﺒﻀﺎت ﻫﺰاز ﺷﺮﳛﺔ اﻟـ FPGAوﻳﺴﺘﺨﺪم ﻟﺒﻨﺎء ﻣﺆﻗﺖ زﻣﲏ ﺑﺄزﻣﻨﺔ ﺻﻐﲑة أو
ﻟﻌﺪ اﻟﺪورات اﻟﺰﻣﻨﻴﺔ اﻟﱵ ﻳﺴﺘﻐﺮﻗﻬﺎ ﺗﻨﻔﻴﺬ إﺟﺮاء ﻣﻌﲔ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ.FPGA
إن ﻟﻜﻞ ﻋﻨﺼﺮ ﻣﻦ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ اﻟﺜﻼﺛﺔ ﻟﻮﺣﺔ إﻋﺪادات ﻳﺘﻢ ﻓﻴﻬﺎ ﺗﻌﻴﲔ وﺣﺪة اﻟﻌﺪ ) (Unite: Ticks, μsec, msecودﻗﺔ اﻟﻌﺪاد
).(Size: 8, 16, 32bit
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 328
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
ﳝﻜﻦ ﲢﺪﻳﺪ زﻣﻦ اﻟﺘﺄﺧﲑ اﻷﻋﻈﻤﻲ ﻣﻦ ﺧﻼل ﺿﺒﻂ وﺣﺪة اﻟﻌﺪ ودﻗﺔ اﻟﻌﺪاد ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﺑﺎﳉﺪول.1-6
:(SCTL) Single-Cycle Timed Loop (3وﻫﻲ ﺣﻠﻘﺔ ﺗﻜﺮارﻳﺔ ﻳﺘﻢ ﺗﻨﻔﻴﺬﻫﺎ ﺧﻼل دورة واﺣﺪة ﻣﻦ دورات اﳍﺰاز
اﻟﻜﺮﻳﺴﺘﺎﱄ ﻟﺸﺮﳛﺔ اﻟـ ،FPGAﻟﺬﻟﻚ ﻓﺈن اﻟﻌﻨﺎﺻﺮ اﻟﱵ ﻳﺴﺘﻐﺮق ﺗﻨﻔﻴﺬﻫﺎ أﻛﺜﺮ ﻣﻦ دورة واﺣﺪة ﻻ ﳝﻜﻦ اﺳﺘﺨﺪﻣﻬﺎ داﺧﻞ
– SCTLﳝﻜﻦ اﻻﻃﻼع ﻋﻠﻰ ﻫﺬﻩ اﻟﻌﻨﺎﺻﺮ ﰲ دﻟﻴﻞ اﻟﱪﻧﺎﻣﺞ.
329 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
إن اﺳﺘﺨﺪام ﻋﻨﺼﺮ اﻟـ SCTLﻳﻮﻓﺮ ﰲ ﻋﺪد اﻟﻮﺣﺪات اﳌﻨﻄﻘﻴﺔ اﳌﺴﺘﻬﻠﻜﺔ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ ،FPGAﺣﻴﺚ أﻧﻪ ﻳﺘﻢ ﺗﻌﻴﲔ ﻗﻼب ﺗﺰاﻣﻦ واﺣﺪ
ﻟﻜﻞ اﻟﻌﻨﺎﺻﺮ اﳌﻮﺟﻮدة داﺧﻞ اﳊﻠﻘﺔ ،ﰲ ﺣﲔ أﻧﻪ ﳛﺘﺎج إﱃ ﻗﻼب ﻟﻜﻞ ﻋﻨﺼﺮ ﰲ اﳊﺎﻟﺔ اﻟﻌﺎﻣﺔ.
Run
= SW0
”LED0= “on
Yes ”“On
No
”LED0= “off
ﰲ ﺟﻠﺴﺔ اﳌﺨﺘﱪ اﻟﺘﻤﻬﻴﺪي ﺳﻴﺘﻢ اﻟﺘﻌﺮف ﻋﻠﻰ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ LabVIEW FPGAوﻋﻠﻰ ﻃﺮﻳﻘﺔ إﻧﺸﺎء ﻣﺸﺮوع ﺟﺪﻳﺪ وإﺿﺎﻓﺔ ﻟﻮﺣﺔ
اﻟﺘﻄﻮﻳﺮ إﱃ اﳌﺸﺮوع.
ﻗﺒﻞ اﳋﻮض ﰲ ﻣﺮاﺣﻞ ﺗﻨﻔﻴﺬ اﻟﺘﺠﺮﺑﺔ ﻳﻄﻠﺐ ﻗﺮاءة اﳌﻌﻠﻮﻣﺎت اﻟﻨﻈﺮﻳﺔ اﻟﺴﺎﺑﻘﺔ وذﻟﻚ اﻻﻃﻼع ﻋﻠﻰ ﻣﻠﻒ اﻟﻔﻴﺪﻳﻮ Lab1_Session_A.avi
واﻟﺬي ﳛﻮي ﻋﻠﻰ ﻣﻘﺪﻣﺔ أﺳﺎﺳﻴﺔ ﳍﺬﻩ اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ .ﺑﻌﺪ ذﻟﻚ ﻗﻢ ﺑﺎﺗﺒﺎع اﳋﻄﻮات اﻟﺘﺎﻟﻴﺔ:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 330
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
-10ﻗﻢ ﺑﺈﺿﺎﻓﺔ اﳌﻔﺘﺎح ) SW0ﻗﻄﺐ دﺧﻞ( واﻟﺜﻨﺎﺋﻲ اﻟﻀﻮﺋﻲ ) LED0ﻗﻄﺐ ﺧﺮج( ﺑﺎﻟﻀﻐﻂ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ اﻷﻳﻘﻮﻧﺔ
”) “FPGA Target (Dev1,Spartan-3E)» New» FPGA I/Oاﻟﺸﻜﻞ.(45-6
-11ﻗﻢ ﺑﺈﺿﺎﻓﺔ ﳎﻠﺪ ﻣﺸﺮوع ﺑﺎﻟﻀﻐﻂ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ ” “FPGA Targetوﺗﺴﻤﻴﺘﻪ ”) “FPGA_Targetاﻟﺸﻜﻞ.(46-6
-12ﻗﻢ ﺑﺈﺿﺎﻓﺔ ﻣﺸﺮوع VIﻟﻀﻐﻂ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ اﺠﻤﻟﻠﺪ ” “Lab1وﻣﻦ ﰒ ﺣﻔﻈﻪ ﺑﺎﺳﻢ ”) “Lab1-Pre.viاﻟﺸﻜﻞ.(47-6
331 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments | ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 332
26 Chapter 6 | اﻟﻔﺼﻞ اﻟﺴﺎدس
( ﺑﺸﻜﻞ ﻣﺘﺠﺎﻧﺐ ﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰBlock Diagram, Front Panel, Project Explorer) ﻗﻢ ﺑﱰﺗﻴﺐ اﻟﻨﻮاﻓﺬ اﻟﺜﻼث-13
.48-6اﻟﺸﻜﻞ
“Function» “ إﱃ اﳌﺨﻄﻂ ﺑﺎﻟﻀﻐﻂ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرةWhile Loop” ﻗﻢ ﺑﺈﺿﺎﻓﺔ ﻋﻨﺼﺮBlock Diagram ﰲ ﻟﻮﺣﺔ اﻟـ-14
333 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
.eﻗﻢ ﺑﺴﺤﺐ اﻟﻌﻨﺼﺮ SW0ﻣﻦ ﻣﺴﺘﻌﺮض اﳌﺸﺮوع ) (Project Explorerوإﻓﻼﺗﻪ ﰲ واﺟﻬﺔ اﻟـ،Block Diagram
وﺑﺎﳌﺜﻞ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻌﻨﺼﺮ .LED0
ﳝﻜﻦ أﻳﻀﺎً إﺿﺎﻓﺔ اﻟﻌﻨﺼﺮ ﻣﻦ ” “Function» FPGA I/O» I/O Nodeﰒ ﺗﻌﻴﲔ اﻟﻘﻄﺐ اﳌﻮاﻓﻖ ﻟﻪ ﺑﺎﻟﻨﻘﺮ ﻋﻠﻰ
I/O Itemﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ».Select FPGA I/O» Slide Switches
-16اﻧﺘﻘﻞ إﱃ واﺟﻬﺔ اﻟـ Front Panelوﻗﻢ ﺑﺈﺿﺎﻓﺔ اﻟﻌﻨﺎﺻﺮ اﻟﺘﺎﻟﻴﺔ ﺑﺎﻟﻨﻘﺮ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة:
“Control» Boolean» Round Led” :Round Led .aوﻗﻢ ﺑﺘﺴﻤﻴﺘﻪ ﺑـ.LED1
“Control» Boolean» Round Led” :Round Led .bوﻗﻢ ﺑﺘﺴﻤﻴﺘﻪ ﺑـ.SW0
.“Control» Boolean» Stop Button” :Stop Button .c
ﺳﺘﻼﺣﻆ أن ﻋﻨﺎﺻﺮ اﻟﺘﺤﻜﻢ اﻟﱵ ﰎ إﺿﺎﻓﺘﻬﺎ ﰲ واﺟﻬﺔ اﻟـ Front Panelﻗﺪ ﻇﻬﺮت أﻳﻀﺎً ﻛﻌﻨﺎﺻﺮ وﻇﻴﻔﻴﺔ ﰲ واﺟﻬﺔ اﻟـ Block
.Diagram
-17ﻗﻢ ﺑﺘﻮﺻﻴﻞ اﳌﺨﻄﻂ ﰲ واﺟﻬﺔ اﻟـ Block Diagramﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.50-6
-18ﻗﻢ ﺑﺎﻻﻧﺘﻘﺎل إﱃ ﻣﺴﺘﻌﺮض اﳌﺸﺮوع وﺗﻌﻴﲔ ﳕﻂ اﻟﺘﻨﻔﻴﺬ ﻟﻴﺘﻢ ﻋﻠﻰ اﳊﺎﺳﺐ ﻣﻦ ﺧﻼل اﻟﻨﻘﺮ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ “FPGA
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 334
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
ﻣﻦ ﺷﺮﻳﻂ اﻷدوات ﰲ وﺧﺎﺻﻴﺔ ”“Retain Wire Value -19ﻗﻢ ﺑﺘﻔﻌﻴﻞ ﺧﺎﺻﻴﺔ ”“Highlighted Execution
وﻻﺣﻆ ﺳﻠﻮك اﻟﱪﻧﺎﻣﺞ. واﺟﻬﺔ اﻟـ ،Block Diagramﰒ ﺷﻐﻞ اﳌﺸﺮوع ﺑﺎﻟﻀﻐﻂ ﻋﻠﻰ أﻣﺮ اﻟﺘﺸﻐﻴﻞ ”“Run
إن اﻟﱪﻧﺎﻣﺞ ﺳﻮف ﻳﻌﻤﻞ ﰲ ﺣﻠﻘﺔ ﺗﻜﺮارﻳﺔ ﻻ�ﺎﺋﻴﺔ ﺗﺘﻜﺮر ﻛﻞ .500msﻓﻌﻨﺪ وﺿﻊ اﳌﻔﺘﺎح SW0ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻋﻠﻰ اﻟﻮﺿﻌﻴﺔ
” ،“onﻓﺈن اﳊﺎﻟﺔ ﰲ ﺧﺮج اﳌﻔﺘﺎح ﺳﺘﻜﻮن ” “1أو ” ،“Trueاﻷﻣﺮ اﻟﺬي ﺳﻴﺆدي إﱃ ﺗﻔﻌﻴﻞ اﻟﺸﺮط Trueﰲ ﻋﻨﺼﺮ “Case
” ،Structureوﻳﺆدي ذﻟﻚ إﱃ ﺗﻄﺒﻴﻖ ” “1ﻣﻨﻄﻘﻲ ﻋﻠﻰ ﻗﻄﺐ اﻟﻌﻨﺼﺮ LED0وﺑﺎﻟﺘﺎﱄ ﻳﻀﻲء.
إن اﻟﻌﻨﺼﺮ TF:LED1واﻟﻌﻨﺼﺮ TF:SW0ﻫﻲ ﻋﻨﺎﺻﺮ ﻟﻮاﺟﻬﺔ اﻟﺘﻄﺒﻴﻖ ) (Forint Panelوﻫﻲ ﺗﺘﺨﺎﻃﺐ ﻣﺒﺎﺷﺮة ﻣﻊ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻣﻦ
ﺧﻼل ﻣﻨﻔﺬ اﻟـ.USB
ﺑﺸﻜﻞ ﻓﺮدي أو ﺑﺎﻟﺘﻌﺎون ﻣﻊ زﻣﻼﺋﻚ ﰲ ﻧﻔﺲ اﺠﻤﻟﻤﻮﻋﺔ ﺑﺎﻟﻘﺮاءة ﺣﻮل اﳌﻮﺿﻮﻋﺎت اﻟﺘﺎﻟﻴﺔ ﰲ دﻟﻴﻞ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ]:[812
Chapter 1: Introduction and Overview + Chapter 2: Switches, Buttons, and Knob
ﻗﻢ ﺑﺘﺼﻔﺢ دﻟﻴﻞ اﻟﱪﻧﺎﻣﺞ LabVIEWﺣﻮل اﻟﻌﻨﺎﺻﺮ اﻟﱵ ﰎ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ اﻟﺘﺠﺮﺑﺔ ﻣﻦ ﺧﻼل ﲢﺪﻳﺪ اﻟﻌﻨﺼﺮ وﻣﻦ ﰒ ﺿﻐﻂ اﳌﻔﺘﺎح F1
ﻋﻠﻰ ﻟﻮﺣﺔ اﳌﻔﺎﺗﻴﺢ واﻟﺬي ﻳﻘﻮدك ﻣﺒﺎﺷﺮة إﱃ اﻟﺼﻔﺤﺔ اﳋﺎﺻﺔ ﺑﺎﻟﻌﻨﺼﺮ ﰲ اﻟﺪﻟﻴﻞ ).(User’s Manual
-22ﻗﻢ ﺑﻔﺘﺢ ﻣﻠﻒ اﳌﺸﺮوع ” “Lab1.lvprojاﻟﺬي ﻗﻤﺖ ﺑﺈﻧﺸﺎﺋﻪ ﰲ ﺟﻠﺴﺔ اﳌﺨﺘﱪ اﻟﺘﻤﻬﻴﺪي.
-23ﻗﻢ ﺑﺎﻻﻧﺘﻘﺎل إﱃ ﻣﺴﺘﻌﺮض اﳌﺸﺮوع وﺗﻌﻴﲔ ﳕﻂ اﻟﺘﻨﻔﻴﺬ ﻟﻴﺘﻢ ﻋﻠﻰ اﻟـ FPGAﻣﻦ ﺧﻼل اﻟﻨﻘﺮ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ “FPGA
335 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ |
ﰲ ﺑﺎدئ اﻷﻣﺮ ﺳﻴﻘﻮم اﻟﱪﻧﺎﻣﺞ ﻋﻠﻰ ﺗﻮﻟﻴﺪ ” “Intermediate Filesﻛﻤﺎ ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ .32-6ﻳﻘﻮم ﺑﻌﺪ ذﻟﻚ ﻣﱰﺟﻢ ﺷﺮﻛﺔ
Xilinxاﳌﺪﻣﺞ ﰲ اﻟﱪﻧﺎﻣﺞ ﻋﻠﻰ ﲢﻮﻳﻠﻬﺎ إﱃ ﻣﻠﻔﺎت VHDLوﻣﻦ إﱃ ،Bitfileوﺧﻼل ﻋﻤﻠﻴﺔ اﻟﺘﺤﻮﻳﻞ ﻳﻘﻮم اﻟﺘﻄﺒﻴﻖ ﺑﺈﻋﻄﺎء ﺗﻘﺪﻳﺮ
أوﱄ ﻟﻠﻤﻮارد اﻟﱵ ﺳﻴﺘﻢ اﺳﺘﺨﺪﻣﻬﺎ ﻋﻠﻰ ﺷﺮﳛﺔ اﻟـ ،FPGAﲝﻴﺚ أﻧﻪ ﰲ ﺣﺎل ﻛﻮن اﳌﻮارد ﺗﺘﺠﺎوز اﳌﻮارد اﳊﻘﻴﻘﺔ اﳌﺘﺎﺣﺔ ،ﳝﻜﻦ إﻟﻐﺎء
ﻋﻤﻠﻴﺔ اﻟﱰﲨﺔ اﻟﱵ ﳝﻜﻦ أن ﺗﺴﺘﻐﺮق ﺳﺎﻋﺎت ﰲ ﺑﻌﺾ اﻟﺘﻄﺒﻴﻘﺎت اﳌﺘﻘﺪﻣﺔ وإﻋﺎدة اﻟﻨﻈﺮ ﰲ اﻟﱪﻧﺎﻣﺞ ﻣﻦ ﺧﻼل ﲢﻘﻴﻖ ﺑﻌﺾ اﻷﻣﺜﻠﻴﺎت
ﻟﺘﻘﻠﻴﺺ ﺣﺠﻢ اﳌﻮارد اﳌﺴﺘﺨﺪﻣﺔ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 336
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
-26ﻗﻢ ﺑﺎﺳﺘﺒﺪال ﺣﻠﻘﺔ Do…Whileﲝﻠﻘﺔ Timed Loopوذﻟﻚ ﺑﺎﻟﻨﻘﺮ ﻋﻠﻰ ﺣﺎﻓﺔ اﳊﻠﻘﺔ Do…Whileﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة
واﺧﺘﻴﺎر ”.“Replace with Timed-Loop
-27ﻗﻢ ﲝﺬف ﻋﻨﺼﺮ اﻟﺘﺄﺧﲑ Loop-Timerﻣﻦ داﺧﻞ اﳊﻠﻘﺔ.
”.Main.vi
-31ﻗﻢ ﺑﺈﺿﺎﻓﺔ ﲨﻴﻊ اﳌﻔﺎﺗﻴﺢ اﻻﻧﺰﻻﻗﻴﺔ ) (SW0-SW3واﳌﻔﺎﺗﻴﺢ اﻟﻠﺤﻈﻴﺔ واﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ ) (LED0-LED7ﺑﻨﻔﺲ اﻟﻄﺮﻳﻘﺔ اﻟﱵ
ﲤﺖ ﰲ اﳋﻄﻮة رﻗﻢ ”.“9
-33ﻗﻢ ﺑﺎﻟﻨﻘﺮ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ ﺧﺮج اﻟﻌﻨﺼﺮ Build Arrayواﺧﱰ Create» Indicatorﻹﺿﺎﻓﺔ اﻟﻌﻨﺼﺮ .Switches Array
-34ﻗﻢ ﺑﺎﻟﻨﻘﺮ ﺑﺎﻟﺰر اﻷﳝﻦ ﻟﻠﻔﺄرة ﻋﻠﻰ ﺧﺮج اﻟﻌﻨﺼﺮ Number to Boolean Arrayواﺧﱰ Create» Indicatorﻹﺿﺎﻓﺔ اﻟﻌﻨﺼﺮ
.LED Array
إن اﻟﱪﻧﺎﻣﺞ ﺳﻮف ﻳﻌﻤﻞ ﰲ ﺣﻠﻘﺔ ﺗﻜﺮارﻳﺔ ﻻ�ﺎﺋﻴﺔ ﳝﻜﻦ اﻟﺘﺤﻜﻢ ﺑﺰﻣﻨﻬﺎ ﻣﻦ ﺧﻼل اﻟﻌﻨﺼﺮ ” .“Delayﺳﻴﺘﻢ ﻣﺮاﻗﺒﺔ ﺣﺎﻟﺔ ﲨﻴﻊ اﳌﻔﺎﺗﻴﺢ
ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ وﻋﻨﺪﻣﺎ ﻳﺘﻮﺿﻊ أﺣﺪﻫﺎ ﻋﻠﻰ اﻟﻮﺿﻌﻴﺔ ” ،“onﻓﺈن اﻟﻌﻨﺼﺮ ” “Build Arrayﺳﻴﻘﻮم ﺑﺘﺤﻮﻳﻞ اﻟﻘﻴﻤﺔ اﳌﻮاﻓﻘﺔ إﱃ
ﻣﺼﻔﻮﻓﺔ ﺛﻨﺎﺋﻴﺔ أﺣﺎدﻳﺔ )ﻣﺜﻼً :وﺻﻞ اﳌﻔﺘﺎح SW3ﺳﻴﻮﻟﺪ اﻟﻘﻴﻤﺔ ” “7ﰲ ﺧﺮج اﳌﺼﻔﻮﻓﺔ وﺳﻴﺆدي إﱃ ﺗﺸﻐﻴﻞ ،(LED4ﻳﺘﻢ ﺑﻌﺪ ذﻟﻚ
ﲢﻮﻳﻞ اﳌﺼﻔﻮﻓﺔ اﻟﺜﻨﺎﺋﻴﺔ إﱃ ﻋﺪد ﻣﻦ ﺧﻼل Boolean-to-Numberوﻣﻦ ﰒ إﻋﺎدة ﺗﻮزﻳﻊ اﻟﻘﻴﻤﺔ اﻟﻌﺸﺮﻳﺔ ﻋﻠﻰ اﳋﺮج اﻟﺜﻨﺎﺋﻲ ﻣﻦ ﺧﻼل
ﲢﻮﻳﻠﻬﺎ إﱃ Number-to-Booleanوﻣﻦ ﰒ ﺗﻮزﻳﻌﻬﺎ ﺑﺎﺳﺘﺨﺪام اﻟﻌﻨﺼﺮ .Index Array
إن اﻟﻌﻨﺎﺻﺮ اﳌﻮﺟﻮدة ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﺤﻜﻢ ﺗﺘﺨﺎﻃﺐ ﻣﺒﺎﺷﺮة ﻣﻊ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﻣﻦ ﺧﻼل ﻣﻨﻔﺬ اﻟـ ،USBوﺗﺒﲔ اﳊﺎﻟﺔ اﻵﻧﻴﺔ ﳌﺪاﺧﻞ وﳐﺎرج
اﻟﻠﻮﺣﺔ اﻟﱵ ﰎ ﺗﻌﻴﻴﻨﻬﺎ.
ﻳﻄﻠﺐ ﻣﻨﺎﻗﺸﺔ ﻣﺒﺪأ اﻟﻌﻤﻞ واﻟﻌﻨﺎﺻﺮ ﺑﲔ اﻟﻄﻼب ﰲ ﳎﻤﻮﻋﺎت ،وﻣﻦ ﰒ ﻃﺮح ﺣﻠﻮل وﺑﺪاﺋﻞ.
-3ﻗﻢ ﺑﺒﻨﺎء ﺑﺮﻧﺎﻣﺞ "ﺷﺮﻳﻂ أﺿﻮاء اﻟﺰﻳﻨﺔ" وﻗﻢ ﺑﺘﺸﻐﻴﻠﻪ ﻣﻦ ﺧﻼل اﳌﺨﺘﱪ ﻋﻦ ﺑﻌﺪ أو اﶈﺎﻛﺎة ﲝﻴﺚ أﻧﻪ:
.aﺑﺎﻟﻀﻐﻂ ﻋﻠﻰ ” “BTN_Eastﻳﺘﻢ ﺗﺸﻐﻴﻞ اﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ ﻣﻦ اﻟﻴﻤﲔ إﱃ اﻟﻴﺴﺎر ﺑﺸﻜﻞ دوار.
.bﺑﺎﻟﻀﻐﻂ ﻋﻠﻰ ” “BTN_Westﻳﺘﻢ ﺗﺸﻐﻴﻞ اﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ ﻣﻦ اﻟﻴﺴﺎر إﱃ اﻟﻴﻤﲔ ﺑﺸﻜﻞ دوار.
.cﺑﺎﻟﻀﻐﻂ ﻋﻠﻰ ” “BTN_Northﻳﺘﻢ ﺗﺸﻐﻴﻞ اﻟﺜﻨﺎﺋﻴﺎت اﻟﻀﻮﺋﻴﺔ ﻣﻦ اﻟﻴﻤﲔ إﱃ اﻟﻴﺴﺎر ذﻫﺎﺑﺎً ،وﻣﻦ اﻟﻴﺴﺎر إﱃ اﻟﻴﻤﲔ
إﻳﺎﺑﺎً ﺑﺸﻜﻞ ﻣﺘﻜﺮر.
ﺟﻬﺎز اﳊﺎﺳﺐ اﳌﺨﺼﺺ ﻟﻠﺘﺠﺮﺑﺔ .اﻟﺴﺒﺐ ﰲ اﺳﺘﺨﺪام ﻫﺬا اﻟﱪﻧﺎﻣﺞ أﻧﻪ ﻻ ﻳﺘﻮﻓﺮ ﰲ ﺑﻠﺪﻧﺎ ﻣﺎ ﻳﺴﻤﻰ ﲞﺪﻣﺔ ” “Private IPوﺧﺪﻣﺔ
” .“VPNاﻟﱪﻧﺎﻣﺞ LogMeInﳝﺜﻞ ﺣﻼً ﳍﺬﻩ اﳌﺸﻜﻠﺔ ﺣﻴﺚ ﻳﻘﻮم ﻋﻠﻰ إﻧﺸﺎء ﺷﺒﻜﺔ اﻓﱰاﺿﻴﺔ ﺧﺎﺻﺔ وﻳﻘﻮم ﺑﺘﻮﻟﻴﺪ رﻗﻢ IPﺧﺎص
ﺗﺴﺘﻄﻴﻊ ﻣﻦ ﺧﻼﻟﻪ اﻟﻮﺻﻮل إﱃ أي ﺟﻬﺎز ﻋﻦ ﺑﻌﺪ ﺑﻄﺮﻳﻘﺔ آﻣﻨﺔ ،وﺑﺎﻟﺘﺎﱄ ﳝﻜﻦ ﺗﻔﻌﻴﻞ ﺧﺪﻣﺔ ” “Remote Desktopاﳌﻮﺟﻮدة ﰲ أي
ﻧﻈﺎم ﺗﺸﻐﻴﻞ.
ﰎ ﲣﺼﻴﺺ ﺟﻬﺎز ﺣﺎﺳﺐ ﻳﺮﺗﺒﻂ ﻣﻊ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ Spartan-3E Starter Boardوﻫﻮ ﻣﺰود ﺑﻜﺎﻣﲑا ﻣﺮﺋﻴﺔ ﻟﻌﺮض ﺣﺎﻟﺔ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ.
ﻛﺬﻟﻚ ﰎ رﺑﻂ ﺟﻬﺎز ” “Logic Analyzerﳕﻮذج ) [814]Zeroplus LAP-C(16128ﻣﻊ اﳊﺎﺳﺐ ﻋﱪ ﻣﻨﻔﺬ ،USBوﳝﻠﻚ اﳉﻬﺎز
16ﻨﺎة رﻗﻤﻴﺔ وﻗﻨﺎﺗﲔ ﺗﺸﺎﻬﺑﻴﺘﲔ ﰎ رﺑﻄﻬﺎ ﻣﻊ ﳎﻤﻮﻋﺔ ﻣﻦ ﻷﻗﻄﺎب اﻟﺮﻗﻤﻴﺔ واﻟﺘﺸﺎﻬﺑﻴﺔ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ﲝﻴﺚ ﳝﻜﻦ ﻣﺮاﻗﺒﺔ اﳊﺎﻟﺔ اﳌﻨﻄﻘﻴﺔ
ﻟﻺﺷﺎرات ﻋﻠﻰ اﻷﻗﻄﺎب وﲢﻠﻴﻞ ﺑﺮوﺗﻮﻛﻮﻻت اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻲ .أﻳﻀﺎً ﰎ وﺻﻞ راﺳﻢ إﺷﺎرة ﻣﻦ اﻟﻨﻮع HAMEGﳕﻮذج HM1008
ﻟﻘﻴﺎس اﻹﺷﺎرات ذات اﻟﱰدد اﻟﻌﺎﱄ ) – (50MHzإن اﻻرﺗﺒﺎط ﻣﻊ اﻻﻗﻄﺎب ﳝﻜﻦ ﺗﻌﻴﻴﻨﻪ ﻳﺪوﻳﺎً ﺣﺴﺐ اﻟﺘﺠﺎرب اﳌﻄﻠﻮﺑﺔ .اﻟﻨﻈﺎم ﻣﺮﺗﺒﻂ
إﱃ ﺧﻂ اﺗﺼﺎل رﻗﻤﻲ ) (ADSLﺑﺴﺮﻋﺔ 1MBﻋﱪ ﻣﻮزع ) (ADSL Routerوﳐﺪم داﺧﻠﻲ ﺧﺎص ) .(Serverاﻟﺸﻜﻞ 58-6ﻳﺒﲔ
اﳌﺨﻄﻂ اﳍﻴﻜﻠﻲ ﻟﻌﻨﺎﺻﺮ ﻣﻨﻈﻮﻣﺔ ﺗﺸﻐﻴﻞ اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ ).(Remote-Lab
إن ﻛﻠﻔﺔ اﳌﺨﺘﱪ اﻟﺘﺄﺳﻴﺴﻴﺔ أﻗﻞ ﺑﻜﺜﲑ ﻣﻘﺎرﻧﺔ ﻣﻊ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ اﻷﺧﺮى ﺣﻴﺚ أن ﻫﺬا اﳌﺨﱪ ﻳﺘﻴﺢ ﻟﻠﻄﻼب اﻟﻌﻤﻞ ﻋﻠﻰ اﻟﺘﺠﺮﺑﺔ دون
اﳊﺎﺟﺔ ﻟﺘﻨﺼﻴﺐ أي ﺑﺮاﻣﺞ أو ﺗﻌﺎرﻳﻒ ﻛﻴﺎن ﺻﻠﺐ أو ﺷﺮاء أي ﲡﻬﻴﺰات إﺿﺎﻓﻴﺔ ،ﻛﻞ ﻣﺎ ﺳﻮف ﳛﺘﺎﺟﻪ اﻟﻄﺎﻟﺐ ﻫﻮ ﺧﻂ إﻧﱰﻧﺖ رﻗﻤﻲ
) (ADSLﺑﺴﺮﻋﺔ ﻻ ﺗﻘﻞ ﻋﻦ ،512KBﻛﻤﺎ ﻳﺴﺘﻄﻴﻊ اﻟﻄﺎﻟﺐ اﻟﻮﺻﻮل إﱃ اﻟﺘﺠﺮﺑﺔ ﻣﻦ ﺧﻼل راﺑﻂ دﺧﻮل إﱃ ﺟﻬﺎز اﻟﺘﺠﺮﺑﺔ ،ﺣﻴﺚ ﻳﺘﻢ
ﺗﺰوﻳﺪ اﻟﻄﺎﻟﺐ ﺑﻌﻨﻮان ﺧﺎص ) (Private IPوﻛﻠﻤﺔ ﻣﺮور ﻟﻠﺪﺧﻮل إﱃ اﻟﺘﺠﺮﺑﺔ وﻳﺘﻢ اﻟﻮﺻﻮل ﻣﻦ ﺧﻼل ﺧﺪﻣﺔ Remote Desktopﻛﻤﺎ
ﻫﻮ ﻣﺒﲔ ﻋﻠﻰ اﻟﺸﻜﻞ.59-6
339 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments | ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ
VPN
Internet
Remote-Lab PC
5.0.71.98
USB Bus
USB Bus
Signals Bus
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 340
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
اﻟﺸﻜﻞ 60-6واﻟﺸﻜﻞ 61-6ﻳﺒﻴﻨﺎن ﻣﻨﺼﺔ اﳌﺨﺘﱪ Remote-Labوﻋﻨﺎﺻﺮ اﻟﺘﺠﺮﺑﺔ واﻟﺘﺠﻬﻴﺰات اﳌﺮﺗﺒﻄﺔ وﻫﻲ ﰲ ﳕﻂ اﻟﺘﺸﻐﻴﻞ ﻋﻦ ﺑﻌﺪ.
اﻟﺸﻜﻞ 62-6ﻳﺒﲔ ﺳﻄﺢ ﻣﻜﺘﺐ ﺟﻬﺎز ﻳﺘﻮاﺻﻞ ﻣﻊ اﻟﺘﺠﺮﺑﺔ وﻳﺸﻐﻠﻬﺎ ﻋﻦ ﺑﻌﺪ ،وﻳﻌﺮض ﺻﻮرة ﺣﻴﺔ ﻟﻠﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،وﻳﺘﻢ ﻣﺮاﻗﺒﺔ اﻹﺷﺎرات
وﺗﺴﺠﻴﻠﻬﺎ ﻣﻦ ﺧﻼل اﻟﱪﻧﺎﻣﺞ اﳋﺎص ﲟﺤﻠﻞ اﻹﺷﺎرات ) (Logic Analyzerاﳌﺮﺗﺒﻂ ﻣﻊ اﻟﺘﺠﺮﺑﺔ.
341 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments | ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 342
26 اﻟﻔﺼﻞ اﻟﺴﺎدس | Chapter 6
ﻗﺪم ﻫﺬا اﻟﻔﺼﻞ ﳕﻮذﺟﺎً ﻣﻔﺼﻼً ﻋﻦ اﻟﺪراﺳﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻟﻠﺒﺤﺚ اﺳﺘﻨﺎداً إﱃ اﳍﻴﻜﻠﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻟﻠﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ اﻟﱵ ﺗﺴﺘﻨﺪ إﱃ ﻣﻮﺿﻮﻋﺎت
اﻟﻔﺼﻮل اﻟﺴﺎﺑﻘﺔ وﺧﺼﻮﺻﺎً ﳕﻮذج اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي اﳍﺠﲔ اﻟﺬي ﻳﻀﻢ اﻷﺻﻨﺎف اﻟﺜﻼﺛﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي.
اﻟﺪراﺳﺔ اﻧﻄﻠﻘﺖ ﻣﻦ ﲝﺚ ﺷﺎﻣﻞ ﻋﻦ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﻷﻧﺴﺐ ﻟﺒﻨﺎء ﻫﺬا اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ ،إذ أن اﺧﺘﻴﺎر ﻋﻨﺎﺻﺮ وأدوات أي ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ
ﻳﻌﺘﱪ ﻣﻦ اﻷﻣﻮر اﳍﺎﻣﺔ ﺟﺪاً وﳚﺐ أن ﻳﺘﻢ ﺑﻌﻨﺎﻳﺔ ﻓﺎﺋﻘﺔ ،إذ أن اﻷدوات واﻟﻌﻨﺎﺻﺮ ﳚﺐ أن ﺗﻜﻮن ذات ﺻﻠﺔ ﻣﺒﺎﺷﺮة ﺑﺎﻷدوات اﳌﺴﺘﺨﺪﻣﺔ ﰲ
اﻟﻮاﻗﻊ )اﻟﺼﻨﺎﻋﺔ(.
اﻟﺪراﺳﺔ ﻗﺪﻣﺖ أﻳﻀﺎً ﺗﺼﻤﻴﻤﺎً ﻟﻠﻮﺣﺔ ﺗﻮﺳﻌﺔ إﺿﺎﻓﻴﺔ ﺗﺮﺑﻂ إﱃ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،وﳏﻴﻄﻴﺎت أﺧﺮى ﰎ ﺗﺼﻤﻴﻤﻬﺎ ﺑﺪف اﺳﺘﺜﻤﺎر اﻟﻨﻈﺎم ﰲ ﺑﻨﺎء
ﺗﻄﺒﻴﻘﺎت ﻋﻤﻠﻴﺔ أﻛﺜﺮ ﴰﻮﻟﻴﺔ.
اﳌﺮﺣﻠﺔ اﻟﺘﺎﻟﻴﺔ ﻣﻦ ﻫﺬا اﻟﺒﺤﺚ ﺗﻀﻤﻨﺖ ﺑﻨﺎء اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﺠﺎرب واﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ – ﺑﺎﺳﺘﺨﺪام ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﳌﻌﺘﻤﺪة ﰲ اﻟﺪراﺳﺔ واﻟﺒﻴﺌﺔ
اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ LabVIEWﻣﺘﻀﻤﻨﺔً اﳌﻜﺘﺒﺎت اﳋﺎﺻﺔ ﺑﱪﳎﺔ ﺗﻘﻨﻴﺔ اﻟـ – FPGAاﻟﱵ ﻏﻄﺖ ﻣﻌﻈﻢ اﶈﻴﻄﻴﺎت واﻟﺘﻄﺒﻴﻘﺎت اﳌﺪﳎﺔ ﻋﻠﻰ
ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،Spartan-3Eإﺿﺎﻓﺔً إﱃ ﳎﻤﻮﻋﺔ إﺿﺎﻓﻴﺔ ﻣﻦ اﻟﺘﺠﺎرب ﺗﺘﻌﻠﻖ ﺑﺎﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ اﻹﺿﺎﻓﻴﺔ اﻟﱵ ﰎ ﺗﺼﻤﻴﻤﻬﺎ.
ﻗﺪم ﻫﺬا اﻟﻔﺼﻞ أﻳﻀﺎً ﳕﻮذﺟﺎً ﻣﻨﻬﺠﻴﺎً ﺑﻨﺎﺋﻴﺎً ﻟﺘﺼﻤﻴﻢ دﻟﻴﻞ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ اﻋﺘﻤﺎداً ﻋﻠﻰ اﻟﻨﻤﻮذج اﻟﺸﻤﻮﱄ اﳌﺼﻤﻢ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ،
ﺣﻴﺚ ﰎ وﺿﻊ دﻟﻴﻞ اﻟﺘﺠﺎرب ﲝﻴﺚ ﻳﺴﺘﻄﻴﻊ اﻟﻄﺎﻟﺐ ﺗﻨﻔﻴﺬ اﻟﺘﺠﺮﺑﺔ ﺑﺸﻜﻞ ذاﰐ دون اﳊﺎﺟﺔ إﱃ وﺟﻮد ﻣﻮﺟﻪ ﻟﻪ ﰲ ﻛﻞ ﻣﺮﺣﻠﺔ ،وﰎ ﺗﻘﺴﻴﻢ
ﻛﻞ ﲡﺮﺑﺔ إﱃ ﺛﻼث ﻣﺮاﺣﻞ :ﻣﺮﺣﻠﺔ ﺗﺘﻢ ﺑﺸﻜﻞ أوﱄ وﻓﻘﺎً ﻟﻨﻤﻮذج اﳌﺨﺘﱪ اﻟﺘﺤﻀﲑي ،وﻣﺮﺣﻠﺔ رﺋﻴﺴﻴﺔ ﺗﺘﺒﻊ ﳕﻮذج اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ،واﳌﺮﺣﻠﺔ
اﻷﺧﲑة داﻋﻤﺔ وﺗﺴﺘﻨﺪ إﱃ ﳕﻮذج اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ.
اﻟﺒﺤﺚ أﻛﺪ ﻋﻠﻰ أﻧﻪ ﻣﻦ اﳌﻬﻢ ﺟﺪاً ﺗﻘﺪﱘ اﻻﻋﺘﺒﺎرات واﳌﺒﺎدئ اﻷﺳﺎﺳﻴﺔ ﻟﻠﺘﺠﺮﺑﺔ ) (Conceptsﺑﺸﻜﻞ ﻣﺴﺒﻖ ﺿﻤﻦ اﳌﺨﺘﱪ اﻟﺘﻤﻬﻴﺪي،
ﰒ ﺗﻄﺒﻴﻖ ﻫﺬﻩ اﻻﻋﺘﺒﺎرات ﻟﺒﻨﺎء اﻟﺘﺠﺮﺑﺔ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ،ﰒ ﻻﺣﻘﺎً ﺗﺸﻐﻴﻞ وﳏﺎﻛﺎة اﻟﺘﻄﺒﻴﻖ ﰲ ﺑﻴﺌﺔ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ اﳊﻘﻴﻘﻲ.
اﻟﻮﻇﺎﺋﻒ واﻻﺧﺘﺒﺎرات واﳌﺸﺎرﻳﻊ ﺗﻌﺘﱪ ﻋﺎﻣﻼً ﻣﻬﻤﺎً ﰲ ﺗﻌﺰﻳﺰ ﻓﻬﻢ اﻟﻄﻼب وﺗﻌﻤﻴﻖ ﲡﺮﺑﺘﻬﻢ اﻟﻌﻤﻠﻴﺔ ،وﻣﻦ اﳌﻬﻢ ﺟﺪاً ﺗﻮﺟﻴﻪ اﻟﻄﻼب ﳓﻮ
اﻟﺘﻌﻠﻢ اﻟﺘﻌﺎوﱐ اﻟﺘﺸﺎرﻛﻲ ﻣﻦ ﺧﻼل إدارة اﳌﻨﺎﻗﺸﺎت اﳉﻤﺎﻋﻴﺔ ﺣﻮل ﻣﻮﺿﻮﻋﺎت اﻟﺘﺠﺎرب.
ﻟﺘﺠﺎرب واﻟﺘﻄﺒﻴﻘﺎت واﻟﻮﻇﺎﺋﻒ واﳌﺸﺎرﻳﻊ اﳌﺴﻨﺪة إﱃ اﻟﻄﻼب ﳚﺐ أن ﺗﻜﻮن ذات ارﺗﺒﺎط ﻣﺒﺎﺷﺮ ﺑﺎﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﻤﻠﻴﺔ اﻟﻮاﻗﻌﻴﺔ وﻬﺗﺪف
ﺑﺎﻟﻨﺘﻴﺠﺔ إﱃ ﻣﺸﺮوع ﺗﻄﺒﻴﻖ واﻗﻌﻲ ﺣﻘﻴﻘﻲ ﳝﻜﻦ أن ﻳﻜﻮن ﺣﻼً ﻣﺮﺣﻠﻴﺎً أو ﻣﺴﺘﻘﺒﻠﻴﺎً ﳌﺸﻜﻠﺔ ﰲ اﻟﺼﻨﺎﻋﺔ.
أﺧﲑاً ﻳﻌﺘﱪ اﳌﺨﺘﱪ ﻋﻦ ﺑﻌﺪ ﺣﻼً ﻣﺜﺎﻟﻴﺎً ﻳﻔﺴﺢ ﻟﻠﻄﺎﻟﺐ اﻟﻔﺮﺻﺔ ﺑﺎﻟﺘﻮاﺻﻞ ﺑﺸﻜﻞ أﻛﱪ ﻣﻊ اﻟﺘﺠﺮﺑﺔ ،وذﻟﻚ ﻷن اﻟﻮﻗﺖ اﳌﺘﺎح ﰲ ﺟﻠﺴﺔ
اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ﻻ ﻳﻜﻔﻲ ﻟﺘﻌﻤﻴﻖ اﳌﻔﻬﻮم اﻟﺘﻄﺒﻴﻘﻲ.
343 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Designing The Laboratory Experiments | ﺗﺼﻤﻴﻢ اﻟﺘﺠﺎرب اﳌﺨﱪﻳﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 344
R References |اﳌﺮاﺟﻊ
(References) اﻟﻤﺮاﺟﻊ
1. Battelle Foundation, Global R&D Report, September 2007, Ibid, page.10
2. Arab Knowledge Report 2009, www.mbrfoundation.ae/English/Documents/AKR-2009-En/AKR-English.pdf
3. Computer history, http://www.computerhistory.org/microprocessors
4. Embedded Systems Magazine, http://www.embedded.com/mag.htm
5. J. M. Fernandes, R. J. Machado, 2007. "Teaching Embedded Systems Engineering in a Software-Oriented Computing Degree",
37th ASEE/IEEE Frontiers in Education Conf., Milwaukee, WI, 1-4244-1084-3/07.
6. Levy, Marcus. “EDN Microprocessor/Microcontroller Directory” EDN, 14 Sep. 2000.
7. Stankovic JA, “Real-Time and Embedded Systems”, ACM Computing Surveys 28(1):205–8, 1996. DOI:
10.1145/234313.234400.
8. Zave P, “An Operational Approach to Requirements Specification for Embedded Systems”, IEEE Transactions on Software
Engineering SE- 8(3):250–69, 1982.
9. Stankovic JA, “Misconceptions About Real-Time Computing: A Serious Problem for Next-Generation Systems”, IEEE
Computer 21(10):10–9, 1988. DOI: 10.1109/2.7053.
10. Ganssle, Jack, “Born to Fail,” Embedded Systems Programming Magazine , December 2002.
11. Turley, J., “The Two Percent Solution,” Embedded Systems Programming, December 2002, www.embedded.com.
12. Gannod, G. C., Golshani, F., Huey, B., Lee, Y. H., Panchanathan, S., and Pheanis, D., “A Consortium-based Model for the
Development of a Concentration Track in Embedded Systems,” 2002 Proceedings of the American Society for Engineering
Education Annual Conference and Exposition, session 1532.
13. Wolf, W., “Rethinking embedded microprocessor education,” Proceedings of the 2001 American Society for Engineering
Education Annual Conference and Exposition, Albuquerque, NM, 2001.
14. Wolf, W., Madsen, J., “Embedded systems education for the future,” Proceedings of the IEEE, 88(1), pp. 23-30, January 2000.
15. Semico semiconductor marketing research cooperation, www.semico.com.
16. Hartenstein R, “The Digital Divide of Computing”, 1st Conference on Computing Frontiers (CF ’04), ACM Press, 2004, pages
357–62. DOI: 10.1145/977091.977144
17. Ganssle, J., “A Call for a New Curriculum”, May 2002, www.embedded.com/story/ OEG20020530S0075.
18. Wayne Wolf and Jan Madsen. Embedded systems education for the future. Proceedings of the IEEE, 88(1):23.30, January 2000.
19. Pak S, Rho E, Chang J, Kim MH, “Demand-driven Curriculum for Embedded System Software in Korea”, ACM SIGBED
Review 2(4):15–9, 2005. DOI: 10.1145/1121812.1121816.
20. Yamamoto M, Tomiyama H, Takada H, Agusa K, Mase K, Kawaguchi N, Honda S, Kaneko N, “NEXCESS: Nagoya University
Extension Courses for Embedded Software Specialists”, ACM SIGBED Review 2(4):20–4, 2005. DOI:
10.1145/1121812.1121817.
21. Broy M, “Challenges in Automotive Software Engineering”, 28th International Conference on Software Engineering (ICSE 2006),
ACM, 2006, pp. 33–42. DOI: 10.1145/1134285.1134292.
22. Burns A, Sangiovanni-Vincentelli A, “Editorial”, ACM Transactions on Embedded Computing Systems 4(3):469–71, 2005. DOI:
10.1145/1086519.1086520.
23. S. Nooshabadi and J. Garside, “Modernization of teaching in embedded systems design-an international collaborative project,”
IEEE Transactions on Education, vol. 49, no. 2, pp. 254 262, May 2006.
24. Neilsen, M. L., Lenhert, D. H., Mizuno, M., Singh, G., Zhang, N., and Gross, A. B., “An Interdisciplinary Curriculum on Real-
Time Embedded Systems,” 2002 Proceedings of the American Society for Engineering Education Annual Conference and
Exposition, session 1526.
25. Caspi, P., Sangiovanni-Vincentelli A. et al, “Guidelines for a Graduate Curriculum on Embedded Software and Systems,” ACM
Transactions Embedded Computing Systems, August 2005, to appear.
26. D. L. Maskell and P. J. Grabau, “A multidisciplinary cooperative problem-based learning approach to embedded systems design,”
IEEE Trans. Educ., vol. 41, no. 2, pp. 101–103, May 1998.
345 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
27. J. W. Bruce, J. C. Harden, and R. B. Reese, “Cooperative and progressive design experience for embedded systems,” IEEE Trans.
Educ., vol. 47, no. 1, pp. 83–92, Feb. 2004.
28. M. Moallem, “A laboratory testbed for embedded computer control,”IEEE Trans. Educ., vol.47, no.3, pp. 340–347, Aug. 2004.
29. S. Nooshabadi and J. Garside, “Modernization of teaching in embedded systems design-an international collaborative project,”
IEEE Trans. Educ., vol. 49, no. 2, pp. 254–262, May 2006.
30. S. Hussmann and D. Jensen, “Crazy car race contest: Multicourse design curricula in embedded system design,” IEEE Trans.
Educ., vol. 50, no. 1, pp. 61–67, Feb. 2007.
31. Grimheden M., Törngren M. What is embedded systems and how should it be taught? – Results from a didactical analysis. ACM
Transactions on Embedded Computing Systems; Special Issue on Education, Vol. 4, Issue 3, August 2005.
32. K. G. Ricks, D. J. Jackson, and W. A. Stapleton, “Incorporating embedded programming skills into an ECE curriculum,” ACM
SIGBED Rev., vol. 4, pp. 17–26, Jan. 2007.
33. R. E. Seviora, “A curriculum for embedded system engineering,” ACM Trans. Embed. Comput. Syst., vol. 4, no. 3, pp. 569–
586, Aug. 2005.
34. J. K. Muppala, “Experience with an embedded systems software course,” ACM SIGBED Rev., vol. 2, pp. 29–33, Oct. 2005.
35. P. Koopman, H. Choset, R. Gandhi, B. Krogh, D. Marculescu, P. Narasimhan, J. Paul, R. Rajkumar, D. Siewiorek, A.
Smailagic, P. Steenkiste, D. Thomas, and C. Wang, “Undergraduate embedded system education at Carnegie Mellon,” ACM
Trans. Embed. Comput. Syst., vol. 4, no. 3, pp. 500–528, Aug. 2005.
36. A. Sangiovanni-Vincentelli and A. Pinto, “An overview of embedded system design education at Berkeley,” ACM Trans. Embed.
Comput. Syst., vol. 4, no. 3, pp. 472–499, Aug. 2005.
37. J. Sztipanovits, G. Biswas, K. Frampton, A. Gokhale, L. Howard, G. Karsai, T. J. Koo, X. Koutsoukos, and D. C. Schmidt,
“Introducing embedded software and systems education and advanced learning technology in an engineering curriculum,” ACM
Trans. Embed. Comput. Syst, vol. 4, no. 3, pp. 549–568, Aug. 2005.
38. R. Seviora, “A curriculum for embedded system engineering,” ACM Trans. Embed. Comput. Syst., vol. 4, no. 3, pp. 569–586,
Aug. 2005.
39. M. Paulik, M. Krishnan, and N. Al-Holou, “Work in progress—Development of an innovative curriculum for undergraduate
electrical and computer engineering students,” in Proc. 34th Frontiers in Education Conf., Savannah, GA, Oct. 20–23, 2004, pp.
S2C-13–S2C-14.
40. J. R. Vallino and R. S. Czernikowski, “Thinking inside the box: A multi-disciplinary real-time and embedded systems course
sequence,” in Proc. 35th Frontiers in Education Conf., Indianapolis, IN, Oct. 19–22, 2005, pp. T3G-12–T3G-17.
41. K. G. Ricks, D. J. Jackson, and W. A. Stapleton, “Incorporating embedded programming skills into an ECE curriculum,”
SIGBED Rev., vol. 4, no. 1, pp. 17–26, Jan. 2007.
42. J. W. Bruce, J. C. Harden, and R. B. Reese, “Cooperative and progressive design experience for embedded systems,” IEEE Trans.
Educ., vol. 47, no. 1, pp. 83–92, Feb. 2004.
43. Joint Task Force on Computer Engineering Curricula, Association for Computing Machinery, Computer Engineering 2004:
Curriculum Guidelines for Undergraduate Degree Programs in Computer Engineering, IEEE Computer Society, Los Alamitos,
CA, Jul. 2006, pp. A.43–A.45.
44. Dechsri P, Heikkinen HW, Jones LL, 1997. Effect of a laboratory manual design incorporating visual information-processing aids
on student learning and attitudes. Journal of Research in Science Teaching, 34(9), 891–904.
45. Johnstone AH and Al-Shuaili A, 2001. Learning in the laboratory; some thoughts from the literature, University Chemistry
Education.
46. Hofstein A and Lunetta VN, 2004. The laboratory in science education: Foundations for the twenty-first century, Science
education., 88, 1, 28, W.G. Whitman, Salem, Mass.
47. Feisel LD and Rosa AJ, 2005. The Role of the Laboratory in Undergraduate Engineering Education, J Eng Educ, 94, 1, 121-130,
The Society, Washington, D.C.
48. Kirschner PA, 1988. The Laboratory in Higher Science Education, Problems, Premises, & Objectives. Higher Education, 17, 1.
49. Ma J and Nickerson JV, 2006. Hands-on, simulated, and remote laboratories: A comparative literature review. ACM Comput.
Surv. 38, 3
50. Chu RH and Lu DD, 2008. project based lab learning teaching for power electronics and drives. IEEE Transactions on Education,
Vol. 51, No.1, 108-113.
51. Tan KK, Lee TH, and Leu FM, 2000. Development of a distant laboratory using LabVIEW. Int. J. Eng. Education 16, 3, 273–
282.
52. Magin D and Kanapathipillai DJ, 2000. Engineering students’ understanding of the role of experimentation. European J. Eng.
Education 25, 4, 351–358.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 346
R References |اﳌﺮاﺟﻊ
53. Philip Koopman. Challenges in Embedded Systems Research & Education, www.ices.cmu.edu/koopman
54. Pinto A. and Sangiovanni-Vincentelli A.. An overview of embedded system design education at Berkeley. ACM Trans. on
Embedded Computing Systems (TECS); Special Issue on Education, Volume 4, Issue 3, August 2005.
55. Grimheden, M. Mechatronics Engineering Education, Doctoral Thesis, Royal Institute of Technology, Stockholm, Sweden.
TRITA – MMK 2006:1, ISSN 1400-1179, 2006.
56. Leifer, L., Design Team Performance: Metrics and the impact of technology. In: Brown, S. and Seidner, C. (eds), Evaluating
Organizational Thinking. Kluwer, 1998.
57. Vernon, D., Blake, R., Does problem-based learning work? A meta-analysis of evaluative research. Academic Medicine, 68(7),
550-563, 1993.
58. Blixt D, Brikho S, Bråkenhielm E, Cedergren U, Cronebäck Ö, Edvinsson L, Eloranta T, Forséll S, Hallberg M, Karlsson N,
Olsson A, Rödén M, Steiner A, Wängdahl J, Öhlund D, Öhrvall M. 2005, Project SAINT, Technical Report TRITAMMK
2005:26 ISSN 1400-1179. Royal Institute of Technology, KTH, Stockholm, June 2005.
59. Paper, A Comparison of Embedded Systems Education in the United States, European, and Far Eastern Countries, IEEE
60. Hall, Tyson, Bruckner, and Halterman, “A Novel Approach to an Embedded Systems Curriculum”, 36th ASEE/IEEE Frontiers in
Education Conference, San Diego, CA, Oct. 28 – 31, 2006..
61. A. Sangiovanni-Vincentelli and Alessandro Pinto, “Embedded system education: a new paradigm for engineering schools?”
SIGBED Rev., vol.2, no.4, pp.5–14, 2005.
62. Kang Yimei, “A Graduate Program on Embedded Software Engineering in China”, 20th Conference on Software Engineering
Education & Training (CSEET’07), 2007.
63. Chi-Sheng Shih, etc. “Toward HW/SW Integration: a Networked Embedded System Course in Taiwan”, ACM SIGBED
Review, Vol.4, No.1, January 2007, pp36-54.
64. Suehee Pak, Eunha Rho, Juno Chang, and Moon Kim, “Demand-Driven Curriculum for Embedded System Software in Korea”,
www.cs.virginia.edu/sigbed/archives/2005-10/03-wese2005%20(Pak).pdf.
65. Minaie, Afsaneh and Reza Sanati-Mehrizy, “An International Study of Embedded Systems Design in the Computer Science &
Engineering Curriculums”, Proceedings of Annual ASEE Conference, 2007.
66. Wang Ping. "Research on the Embedded System Teaching", 2008 International Workshop on Education Technology and
Training, 978-0-7695-3563-0/08 2008 IEEE, DOI 10.1109.
67. ACM Curricula Recommendations, http://www.acm.org/education/curricula.html
68. David Jeff Jackson and Paul Caspi, “Embedded systems education: future directions, initiatives, and cooperation,” First Workshop
on Embedded System Education (WESE), 2005.
69. Alberto Luigi, Sangiovanni-Vincentelli, and Alessandro Pinto, “Embedded system education: a new paradigm for engineering
schools?” First Workshop on Embedded System Education (WESE), 2005.
70. Suehee Pak, Eunha Rho, Juno Chang, and Moon Hae Kim, “Demand-driven curriculum for embedded system software in
Korea,” First Workshop on Embedded System Education (WESE), 2005.
71. Peter Marwedel, “Towards laying common grounds for embedded system design education,” First Workshop on Embedded
System Education (WESE), 2005.
72. Alberto L. Sangiovanni-Vincentelli, and Alessandro Pinto, “An overview of embedded system design education at berkeley,”
ACM Transactions on Embedded Computing Systems Special Issue on Embedded Systems Education, 4(3): 472-499, Aug 2005.
73. Philip Koopman et al., “Undergraduate embedded system education at Carnegie Mellon,” ACM Transactions on Embedded
Computing Systems Special Issue on Embedded Systems Education, 4(3): 500 - 528, August 2005.
74. Janos Sztipanovits et al., “Introducing embedded software and systems education and advanced learning technology in an
engineering curriculum,” ACM Transactions on Embedded Computing Systems Special Issue on Embedded Systems Education,
4(3): 549 - 568, August 2005.
75. Rudolph E. Seviora, “A curriculum for embedded system engineering,” ACM Transactions on Embedded Computing Systems
Special Issue on Embedded Systems Education, 4(3): 569 - 586, August 2005.
76. P. Caspi et al., “Guidelines for a graduate curriculum on embedded software and systems,” ACM Transactions on Embedded
Computing Systems Special Issue on Embedded Systems Education, 4(3): 587 - 611, August 2005.
77. Nooshabadi S, Garside J, “Modernization of Teaching in Embedded Systems Design? – An International Collaborative Project”,
IEEE Transactions on Education 49(2):254–262, 2006. DOI: 10.1109/TE.2006.872402.
78. Wolf W, Madsen J, “Embedded Systems Education for the Future”, Proceedings of the IEEE 88(1): 23–30, 2000. DOI:
10.1109/5.811598.
79. Rauch, Jonathan (January 2001). "The New Old Economy: Oil, Computers, and the Reinvention of the Earth". The Atlantic
Monthly. 2008.
347 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
80. Keyes, Robert W. (September 2006). "The Impact of Moore's Law". Solid State Circuits, IEEE Journal.
81. Moore, Gordon E. (1965). "Cramming more components onto integrated circuits". Electronics Magazine. pp.4
82. "Excerpts from A Conversation with Gordon Moore: Moore’s Law". Intel Corporation. 2005. pp.1
83. "1965 – “Moore's Law” Predicts the Future of Integrated Circuits". Computer History Museum. 2007.
84. Disco, Cornelius; van der Meulen, Barend (1998). Getting new technologies together. New York: Walter de Gruyter. pp. 206–
207. ISBN 311015630X. OCLC 39391108.
85. Invention Of Integrated Circuits: Untold Important Facts, 2009, Arjun N. Saxena, World Scientific Publishing, Singapore, ISBN
9789812814456 ISBN 9812814450
86. Chen, Wai-Kai (ed) (2006). The VLSI Handbook, Second Edition (Electrical Engineering Handbook). Boca Raton: CRC. ISBN
0-8493-4199-X.
87. http://diephotos.blogspot.com/
88. LSI Design Story, http://www2.renesas.com/v_factory/en/index.html
89. J. M. Rabaey, A. Chandrakasan, B. Nikoli´c, “Digital Integrated Circuits”, ISBN 0-13-090996-3
90. P. Gargini, “The global route to future semiconductor technology,” IEEE Circuits & Devices Mag., vol.18, pp.13-17, Mar. 2002
91. P. M. Zeitzoff, J. E. Chung, "Weighing in on Logic Scaling Trends", IEEE Circuits & Devices Mag., vol.18, pp.18-27, Mar.2002
92. Adam Osborne, An Introduction to Microcomputers Volume 1 Basic Concepts,2nd Edition, Osborne-McGraw Hill, Berkely
California, 1980, ISBN 0-931988-34-9 pg1-1
93. http://www.clemson.edu/caah/history/FacultyPages/PamMack/lec122/micro.htm
94. http://www.hofstra.edu/pdf/CompHist_9812tla6.PDF
95. http://www.cse.nd.edu/courses/cse30322/www/hw/history_of_4004.pdf
96. Karam, Andrew P. "Advances in Microprocessor Technology." Schlager, Neil and Josh Lauer. Science and its Times. Farmington
Hills, MI: The Gail Group, 2000 . 525-528).
97. Intel Corporate, 2008. "Intel Details Upcoming New Processor Generations". Press release.
98. "Cell Designer talks about PS3 and IBM Cell Processors". http://ps3.qj.net/Cell-Designer-talks-about-PS3-and-IBM-Cell-
Processors/pg/49/aid/14805.
99. "Synergistic Processing in Cell's Multicore Architecture". IEEE. http://www.research.ibm.com/people/
m/mikeg/papers/2006_ieeemicro.pdf
100. Jon Stokes, 2005, "Introducing the IBM/Sony/Toshiba Cell Processor, Part II: The Cell Architecture".
http://arstechnica.com/old/content/2005/02/cell-2.ars
101. Microprocessor Architectures abd Systems book
102. Computer Architecture Book
103. Tanenbaum, Andrew S.,2006, Structured Computer Organization, Fifth Edition, Pearson Education, Upper Saddle River, NJ.
104. Barron, Iann M. (1978). D. Aspinall. ed. "The Transputer". The Microprocessor and its Application: an Advanced Course
(Cambridge University Press): 343. ISBN 0521222419. Retrieved 2009-05-18.
105. Guide to RISC Processors for Programmers and Engineers": Chapter 3: "RISC Principles" by Sivarama P. Dandamudi, 2005,
ISBN 978-0-387-21017-9.
106. www.cstp.umkc.edu/~mullinsj/cs282/DifferenceBetweenRISCandCISC.htm
107. World Microcontrollers Market F591-26, Agency/Source: Frost & Sullivan http://www.newswiretoday.com/news/7122
108. ATMEL Corp., “Flash Version for ATAR080 ATAR090/890 ATAR092/892 and ATAM893-D” available online at
http://www.atmel.com/dyn/resources/prod_documents/doc4680.pdf, 2010
109. M. Vogt, A. Poschmann, C. Paar, "Cryptography is feasible on 4-Bit Microcontrollers - A Proof of Concept". IEEE Conference
Proceedings. 27.-28. April 2009.
110. ATMEL cop., ATmega128, www.atmel.com/dyn/products/product_card.asp?part_id=2018
111. ATMEL cop., ATXmega128A1, www.atmel.com/dyn/products/product_card_v2.asp?part_id=4298
112. ATMEL cop., AT32UC3B0128, www.atmel.com/dyn/products/product_card_v2.asp?part_id=4180
113. ATtiny43U, http://www.atmel.com/dyn/products/product_card_mcu.asp?PN=ATtiny43U
114. Liptak, Béla G. (2006). Instrument Engineers' Handbook: Process control and optimization. 2. CRC Press. pp. 11-12.
115. Kawakami, Y., Nishitani, T., Sugimoto, E., et. al. "A single-chip digital signal processor for voiceband applications," Solid-State
Circuits Conference. Digest of Technical Papers. 1980 IEEE International, Vol. XXIII, (February 1980) pp. 40- 41.
116. Boddie, J. Daryanani, G., et. al. "A digital signal processor for telecommunications applications," Solid-State Circuits Conference.
Digest of Technical Papers. 1980 IEEE International, Vol. XXIII (February 1980) pp. 44-45.
117. Berkeley Design Tech. Inc. Pocket Guide to DSPs, www.bdti.com/pocket/pocket.htm
118. http://focus.ti.com/vf/docs/blockdiagram.tsp?blockDiagramId=6046&appId=267
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 348
R References |اﳌﺮاﺟﻊ
349 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
156. Yu, Zhiyi; Meeuwsen, Michael; Apperson, Ryan; Sattari, Omar; Lai, Michael; Webb, Jeremy; Work, Eric; Mohsenin, Tinoosh;
Singh, Mandeep; Baas, Bevan M. (February 2006). "An Asynchronous Array of Simple Processors for DSP Applications". In
Proceedings of the IEEE International Solid-State Circuits Conference, (ISSCC '06). San Francisco, CA. pp. 428–429, 663.
157. Truong, Dean; Cheng, Wayne; Mohsenin, Tinoosh; Yu, Zhiyi; Jacobson, Anthony; Landge, Gouri; Meeuwsen, Michael; Tran,
Anh; Xiao, Zhibin; Work, Eric; Webb, Jeremy; Mejia, Paul; Baas, Bevan (April 2009). "A 167-Processor Computational Platform
in 65 nm CMOS". IEEE Journal of Solid-State Circuits 44 (4).
158. Truong, Dean; Cheng, Wayne; Mohsenin, Tinoosh; Yu, Zhiyi; Jacobson, Toney; Landge, Gouri; Meeuwsen, Michael; Watnik,
Christine; Mejia, Paul; Tran, Anh; Webb, Jeremy; Work, Eric; Xiao, Zhibin; Baas, Bevan M. (June, 2008). "A 167-processor 65
nm Computational Platform with Per-Processor Dynamic Supply Voltage and Dynamic Clock Frequency Scaling". In Proceedings
of the IEEE Symposium on VLSI Circuits, 2008. Honolulu, HI. pp. 22–23.
159. Tilera Corp., TILE-GxTM Processor Family, www.tilera.com/pdf/Product_Brief_TILE-Gx.php
160. The Great Debate: SOC vs. SIP". http://www.eetimes.com/showArticle.jhtml;?articleID=159901628.
161. Tuominen, R. and Kivilahti, J.K., “A Novel IMB Technology for Integrating Active and Passive Components,” Proc. 4th
International Conference on Adhesive Joining and Coating Technology in Electronics Manufacturing, p. 269, 2000.
162. Aschenbrenner, R., Ostmann, A., Neumann, A., and Reichl, H., “Process Flow and Manufacturing Concept for Embedded
Active Devices,” Proc. EPTC, p. 605, 2004.
163. Chen, Y.H., Lin, J.R., Chen, S.L., Ko, C.T., Kuo, T.Y., Chien, C.W., and Yu, S.P., “Chip-in-Substrate Package, CiSP,
Technology,” Proc. EPTC, p. 595, 2004.
164. Ostmann, A., Neumann, A., Auersperg, J., Ghahremani, C., Sommer, G., Aschenbrenner, R., and Reichl, H., “Integration of
Passive and Active Components into Build-Up Layers,” Proc. EPTC, p. 223, 2002.
165. Semiconductor Industry Associations, International Technology Roadmap for Semiconductors; 2004,
http://public.itrs.net/Files/2004updateFinal/2004Update.htm.
166. Tummala, R.R., “SOP: What Is It and Why? A New Microsystem-Integration Technology Paradigm-Moore’s Law for System
Integration of Miniaturized Convergent Systems of the Next Decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, p. 241, 2004.
167. Tummala, R.R., Swaminathan, M., Tentzeris, M.M., Laskar, J., Chang, G.K., Sitaraman, S., Keezer, D., Guidotti, D., Huang,
Z., Lim, K., Wan, L., Bhattacharya, W.K., Sundaram, V., Liu, F., and Raj, P.M., “The SOP for Miniaturized, Mixed-Signal
Computing, Communication, and Consumer Systems of the Next Decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, p. 250,
2004.
168. Becker, K.F., Jung, E., Ostmann, A., Braun, T., Neumann, A., Aschenbrenner, R., and Reichl, H., “Stackable System-On-
Packages With Integrated Components,” IEEE Trans. Adv. Packag., vol. 27, no. 2, p. 268, 2004.
169. ETRAX 100LX Multi Chip Module, http://developer.axis.com/old/products/mcm/index.html
170. System in Package, Toshiba Semiconductor Co, http://www.toshiba-components.com/ASIC/SiP.html
171. Philips Semiconductors, BGW200 Low-power WLAN SiP, http://www.semiconductors.philips.com
172. CHIPSiP Tech. Co. Ltd., http://www.chipsip.com/products.asp?id=4
173. Rao R. Tummala, Madhavan Swaminathan, Introduction to system-on-package (SOP): miniaturization of the entire system, 750
pages, McGraw-Hill Professional; 1 edition, May 2008
174. R. Tummala et al., "SOP for Miniaturized Mixed-Signal Computing, Communication, and Consumer Systems of the Next
Decade," pp. 250–267, and R. Tummala, "SOP: What It Is and Why?" pp. 241–249.
175. R. Tummala and J. Laskar, "Gigabit wireless: system-on-package technology," is in Proceedings of the IEEE , Vol. 92, 2004, pp.
376–387.
176. Moore's Law Meets Its Match, IEEE Spectrum, 2006, http://spectrum.ieee.org/computing/hardware/ moores-law-meets-its-
match
177. John H. Lau, "Chip on board technologies for multichip modules", 1994, 555 pages
178. COB, the technology of the future?: Dr. Farassat, Fellbach 1990
179. Lau, J.H (1994), Chip on-Board Technologies for Multichip Modules
180. Junko Yoshida, EE Times, Commoditization shakes ASIC business, http://www.eetasia.com/ART_
8800463178_480100_NT_0f8b78d6.HTM
181. Gartner Analysts, http://www.gartner.com/technology/analysts.jsp
182. Gartner (March 2009), Report: Market Trends - Estimated ASIC Design Starts 2003-2013, 2009
183. Gartner (December 2009), Report: Market Trends - Recession Hits ASSP and SoC Semiconductor Designs, but not Moore's
Law, 2003-2013.
184. Gartner (March 2009), Report: Market Trends - Estimated FPGA /PLD Design Starts 2003-2013
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 350
R References |اﳌﺮاﺟﻊ
185. Tom Feist, "Taking the road from ASIC to FPGA", Feb.25, 2010, http://www.electronicsweekly.com/
Articles/2010/02/25/48082/taking-the-road-from-asic-to-fpga.htm
186. Juergen Jaeger, "ASIC Prototyping with FPGAs – YES, Please!" Mentor Graphics Corporation,
http://chipdesignmag.com/display.php?articleId=591&issueId=0
187. Rick Mosher, AMI Semiconductor, "FPGA Prototyping to Structured ASIC Production to Reduce Cost", http://www.design-
reuse.com/articles/13550/fpga-prototyping-to-structured-asic-production-to-reduce-cost-risk-ttm.html
188. "FPGA-based Prototyping: Why All ASICs Should be Prototyped Using FPGAs"; Synplicity, Inc.; 2005
189. Michael Parker, Altera Corp. "Today’s FPGAs Offer High-Performance DSP Capabilities":
http://chipdesignmag.com/display.php?articleId=3881
190. Banerjee, S. Sinha, A. "Performance analysis of different DSP algorithms on advanced microcontroller and FPGA", Advances in
Computational Tools for Engineering Applications. ACTEA '09. Pg.609-613
191. Ozer, E. Nisbet, A.P. Gregg, D. " Fine-tuning loop-level parallelism for increasing performance of DSP applications on FPGAs",
FCCM 2004. 12th Annual IEEE Symposium.
192. M. LaPedus, FPGAs can outperform DSPs, says study, Nov.13, 2006, http://www.dspdesignline.com/ 194000012
193. BDTI, "FPGAs vs. DSPs: A look at the unanswered questions", performance, cost, power, and ease of development, Jan.11, 2007,
http://www.dspdesignline.com/196802403
194. BTDI Tech. Design, BDTI Focus Report: FPGAs for DSP, 2nd, www.bdti.com/products/reports_ focus.html.
195. Special Preview: BDTI's FPGAs for DSP, Second Edition, Nov.14, 2006, http://www.dspdesignline.com/193502012
196. Semicast, The Automotive FPGA Market is projected to grow at CAGR over the next decade, October 2009
197. Michael Parker, Altera Corp. "Increasing bandwidth in industrial applications with FPGA co-processors", Feb.10, 2010,
http://www.pldesignline.com/222600532
198. Juergen Jaeger, Synopys Inc. "Partitioning an ASIC Design into Multiple FPGAs", Feb.10, 2010,
http://www.pldesignline.com/222700643.
199. Ogrenci, A. K. Katsaggelos, and M. Sarrafzadeh, “Analysis and FPGA Implementation of Image restoration under resource
constraint,” IEEE Trans. on Computers, Vol.52, No. 3, pp. 390-399, 2003.
200. C. Ebeling, C. Fisher, G. Xing, M. Shen, and H. Liu, “Implementing an OFDM Receiver on the RaPiD Reconfigurable
Architecture,” IEEE Trans. on Computers, Vol. 53, No. 11, pp. 1436-1448, 2004.
201. S. Knapp, “Using Programmable Logic to Accelerate DSP Functions,” http://www.xilinx.com/ appnotes/dspintro.pdf.
202. J. Ma, “Signal and Image processing via Reconfigurable Computing,” in Proc. of the First Workshop on Information and Systems
Technology, 2003.
203. F. Otto and Z. Pavel, “Hardware Accelerated Imaging Algorithms,” in Proc. of AUTOS’2002 Automatizace systému, pp. 165-
171, 2002.
204. L. Batina, S. B. Ors, B. Preneel, and J. Vandewalle, “Hardware architectures for public key cryptography,” Integration, the VLSI
Journal, Vol. 34, pp. 1-64, 2003.
205. D. Johnson, K. Gribbon, D. Bailey, and S. Demidenko, “Implementing Digital Signal Processing Algorithm’s in FPGA’s: Digital
Spectral Warping,” in Proc. of 9th Electronics New Zealand Conf., pp. 72-77, 2002.
206. K. Compton and S. Hauck, “Reconfigurable Computing: A Survey of Systems and Software,” ACM Computing Surveys, Vol.
34, No. 2, pp.171-210, 2002.
207. R. Tessier and W. Burleson, “Reconfigurable Computing for Digital Signal Processing: A survey,” Journal of VLSI Signal
Processing, Vol. 28, No. 3, pp.7-27, 2001.
208. T. J. Todman, G. A. Constantinides, S. J. E. Wilton, O. Mencer, W. Luk, and P. Y. K. Cheung, “Reconfigurable Computing:
architectures and design methods,” IEE Proc. of Computer Digital Techniques, Vol. 152, No. 2, pp. 193-207, 2005.
209. Czeslaw T. Kowalski, Jacek Lis, Teresa Orlowska-Kowalska, "FPGA Implementation of DTC Control Method for the Induction
Motor Drive", The International Conference on “Computer as a Tool”, IEEE EUROCON 2007, vol.7
210. Jay Southard, "FPGAs Replacing ASICs in SoC Applications", Design Creation and Synthesis Division, Mentor Graphics,
http://www.mentor.com/fpga
211. Bryan Lewis Ganesh Ramamoorthy, Market Trends: ASIC Design Starts, 2009:
http://www.gartner.com/DisplayDocument?doc_cd=166473&ref=g_rss
212. Hartenstein, Reiner, "RAW keynote 2: new horizons of very high performance computing (VHPC): hurdles and chances." Parallel
and Distributed Processing Symposium, 2006. IPDPS 2006. 20th. ISBN 1 4244-0054-6
213. Haohuan Fu, Oskar Mencer, Wayne Luk., Optimizing Residue Arithmetic on FPGAs, Proceeding of International Conference
on Field-Programmable Technology 2008 (ICFPT'08). December, 2008.
351 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
214. Vinay Sriram, Oskar Mencer., Accelerating the simulation of optical distortion due to atmospheric scintillation using CPUs,
GPUs, Cell Broadband Engine and FPGAs, In Proceedings of 28th International Congress on Applications of Lasers and Electro-
Optics, Orlando, Florida. November, 2009.
215. Ahmed Karim Ben Salem, Slim Ben Othman and Slim Ben Saoud, "Field Programmable Gate Array-Based System-on-Chip for
Real-Time Power Process Control", American Journal of Applied Sciences 7 (1): 127-139, 2010.
216. Kevin Morris, “A Perfect DSP Storm: BDTi + High Level Synthesis + FPGA,” FPGA and Programmable Logic Journal, Jan.19,
2010: www.fpgajournal.com/fpgajournal/feature_articles/201001 19-storm
217. K. Compton and S. Hauck, “Reconfigurable Computing: A Survey of Systems and Software,” ACM Computing Surveys, vol.
34, no. 2, pp. 171–210, June 2002.
218. N. Ohba and K. Takano, “An SoC Design Methodology using FPGAs and Embedded Processors,” in Proc. of the 41st Annual
Conference on Design Automation, June 2004, pp. 747–752.
219. S. A. Edwards, “Experiences Teaching an FPGA-based Embedded System Class,” in Proc. of the 1st Workshop on Embedded
System Education, vol. 2, October 2005, pp. 56–62.
220. T. Wiangtong, P. Y. K. Cheung, and W. Luk, “Hardware/ software Codesign: A Systematic Approach Targeting Data-intensive
Applications,” IEEE Signal Processing Magazine, vol. 22, pp. 14–22, May 2005.
221. I. Amer, W. Badawy, and G. Jullien, “A Design Flow for an H.264 Embedded Video Encoder,” in Proc. of the 3rd International
Conference on Information and Communications Technology, November 2005, pp. 178–181.
222. S. Ha, C. Lee, Y. Yi, S. Kwon, and Y.-P. Joo, “Hardware-Software Codesign of Multimedia Embedded Systems: the PeaCE
Approach,” in Proc. of the 12th IEEE International Conference on Embedded and Real-Time Computing Systems and
Applications, August 2006, pp. 207–214.
223. P. Schaumont, D. Ching, and I. Verbauwhede, “An interactive Codesign Environment for Domain-Specific Coprocessors,”
ACM Transactions on Design Automation of Electronic Systems, vol. 11, pp. 70–87, January 2006
224. J. Villasenor and W. Mangione-Smith, "Configurable Computing," Scientific American, vol. 276, no. 6, June 1997, pp. 66-71.
225. R. Tessier and W. Burleson, Reconfigurable Computing and Digital Signal Processing: Past, Present, and Future, in
Programmable Digital Signal Processors , Yu Wen Hu, ed., Marcel Dekker, New York, N.Y., 2002
226. C. Dick, Re-discovering signal processing: A configurable logic based approach, in: Proc. Asilomar
227. D.A. Buell, K.L. Pocek, Custom computing machines: an introduction. J. Supercomput. 9(3),219–29 (1995)
228. A. DeHon, Comparing computing machines, in SPIE-Int. Soc. Opt. Eng. Proceedings of SPIE—the International Society for
Optical Engineering, vol. 3526, pp. 124–33, 1998
229. J.E. Vuillemin, P. Bertin, D. Roncin,M. Shand, H.H. Touati, P. Boucard, Programmable active memories: reconfigurable systems
come of age. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 4(1), 56–69 (1996)
230. K. Bondalapati, V.K. Prasanna, Reconfigurable computing systems. Proc. IEEE 90(7), 1201–1217 (2002)
231. K. Compton, S. Hauck, Reconfigurable computing: a survey of systems and software. ACM Comput. Surv. (CSUR) 34(2), 171–
210 (2002)
232. A. DeHon, J. Wawrzynek, Reconfigurable computing: what, why, and implications for design automation, in Proceedings of the
36th ACM/IEEE Conference on Design Automation, New Orleans, LA, June 1999
233. R. Kastner, A. Kaplan, M. Sarrafzadeh, Synthesis Techniques and Optimizations for Reconfigurable Systems (Kluwer Academic,
Dordrecht, 2004)
234. W.H. Mangione-Smith, B. Hutchings, D. Andrews, A. DeHon, C. Ebeling, R. Hartenstein, O. Mencer, J. Morris, K. Palem,
V.K. Prasanna, H.A.E. Spaanenburg, Seeking solutions in configurable computing. Computer 30(12), 38–43 (1997)
235. P. Schaumont, I. Verbauwhede, K. Keutzer, M. Sarrafzadeh, A quick safari through the reconfiguration jungle, in Proceedings of
the Design Automation Conference, 2001, pp. 172–177
236. D. McGrath, Gartner Dataquest analyst gives ASIC, FPGA markets clean bill of health. EE Times, 13 June 2005
237. Actel Corporation, FPGAs for military, avionics, and high-reliability applications. White Paper, Actel Corporation, 2008
238. Military and Aerospace Electronics, F-35 Joint Strike Fighter uses Actel FPGAs for engine electronics, in Military and Aerospace
Electronics, 1 September 2004
239. Design and Reuse Magazine, TTP controller IP in Altera’s low-cost cyclone FPGA Families for Aerospace Applications, in Design
and Reuse Magazine, 23 October 2007
240. Electronic Design Magazine, Actel FPGAs in Mars Rover, in Electronic Design Magazine, 6 August 2007
241. Military and Aerospace Electronics, FPGA processors keep Mars Rovers moving, in Military and Aerospace Electronics, 11
January 2005
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 352
R References |اﳌﺮاﺟﻊ
242. Silicon Graphics, Inc., Extraordinary acceleration of workflows with reconfigurable application-specific computing from SGI.
White Paper, Silicon Graphics, Inc., 2004
243. Silicon Graphics Inc., SGI builds world’s largest FPGA supercomputer, boosts nucleotide query performance by more than 900
times over 68-node cluster. White Paper, Silicon Graphics, Inc., 8 November 2007
244. K. Morris, Cray goes FPGA, in FPGA and Structured ASIC Journal, 5 April 2005
245. O.D. Fidanci, D. Poznanovic, K. Gaj, T. El-Ghazawi, N. Alxeandridis, Performance and overhead in a hybrid reconfigurable
computer, in Proceedings of the 2003 International Parallel and Distributed Processing Symposium (IPDPS), Nice, France, 2003
246. M.C. Smith, J.S. Vetter, X. Liang, Accelerating scientific applications with the SRC-6 reconfigurable computer: methodologies
and analysis, in Proceedings of the 19th IEEE Parallel and Distributed Processing Symposium (IPDPS), Denver, CO, April 2005
247. U. Bondhugula, A. Devulapalli, J. Fernando, P.Wyckoff, P. Sadayappan, Parallel FPGA-based all-pairs shortest-paths in a directed
graph, in Proceedings of the 20th IEEE International Parallel and Distributed Processing Symposium (IPDPS’06), 2006
248. S. Craven, P. Athanas, Examining the viability of FPGA supercomputing. EURASIP J. Embed. Syst. 2007(1) (2007 )
249. K. Underwood, FPGAs vs. CPUs: trends in peak floating-point performance, in Proceedings of the 2004 ACM/SIGDA 12th
International Symposium on Field Programmable Gate Arrays, Monterey, CA, February 2004
250. R. Hartenstein, Morphware and Configware, A. Y. Zomaya, Ed. New York: Springer-Verlag, 2006.
251. R. Hartenstein, Basics of Reconfigurable Computing, S. P. J. Henkel, Ed. New York: Springer-Verlag, 2007.
252. H. Ngo, R. Gottumukkal, V. Asari, A flexible and efficient hardware architecture for real-time face recognition based on
Eigenface, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2005
253. A. Senior, S. Pankanti, A. Hampapur, L. Brown, Y.-L. Tian, A. Ekin, Blinkering surveillance: enabling video privacy through
computer vision. Technical Report RC22886, IBM, 2003
254. J. Deepakumara, H.M. Heys, R. Venkatesan, FPGA implementation of MD5 hash algorithm, in Canadian Conference on
Electrical and Computer Engineering, 2001
255. N. Sklavos, O. Koufopavlou, On the hardware implementations of the SHA-2 (256, 384, 512) hash functions, in Proceedings of
IEEE International Symposium on Circuits and Systems, 2003
256. M. McLoone, J.V. McCanny, A single-chip IPsec cryptographic processor, in IEEE Workshop on Signal Processing Systems,
2002
257. C. Paar, B. Chetwynd, T. Connor, S.Y. Deng, S. Marchang, An algorithm-agile cryptographic co-processor based on FPGAs, in
SPIE’s Symposium on Voice, Video, and Data Communications, 1999
258. G. Selimis, N. Sklavos, O. Koufopavlou, VLSI implementation of the keyed-hash message authentication code for the wireless
application protocol, in IEEE International Conference on Electronics, Circuits, and Systems, 2003
259. L. Wu, C. Weaver, T. Austin, Cryptomaniac: a fast flexible architecture for secure communication, in International Symposium
on Computer Architecture, 2001
260. C. Grabbe, M. Bednara, J. von zur Gathen, J. Shokrollahi, J. Teich, A high performance VLIW processor for finite field
arithmetic, in Proceedings of the International Parallel and Distributed Processing Symposium, 2003
261. P.H.W. Leong, I.K.H. Leung, A microcoded elliptic curve processor using FPGA technology. IEEE Trans. VLSI Syst. 10(5),
550–559 (2002)
262. C. McIvor, M. McLoone, J.V. McCanny, Fast Montgomery modular multiplication and RSA cryptographic processor
architectures, in 37th IEEE Asilomar Conference on Signals, Systems, and Computers, 2003
263. M. Attig, S. Dharmapurikar, J. Lockwood, Implementation results of bloom filters for string matching, in Proceedings of the
Field-Programmable Custom Computing Machines, 12th Annual IEEE Symposium on (FCCM’04) (IEEE Comput. Soc., Los
Alamitos, 2004), pp. 322–323
264. Z.K. Baker, V.K. Prasanna, A methodology for synthesis of efficient intrusion detection systems on FPGAs, in Proceedings of the
Field-Programmable Custom Computing Machines, 12th Annual IEEE Symposium on (FCCM’04) (IEEE Comput. Soc., Los
Alamitos, 2004), pp. 135–144
265. Z.K. Baker, V.K. Prasanna, Time and area efficient pattern matching on FPGAs, in Proceeding of the 2004 ACM/SIGDA 12th
International Symposium on Field Programmable Gate Arrays (ACM, New York, 2004), pp. 223–232
266. Y.H. Cho, S. Navab, W.H. Mangione-Smith, Specialized hardware for deep network packet filtering, in 12th International
Conference on Field-Programmable Logic and Applications, 2002
267. C.R. Clark, D.E. Schimmel, Efficient reconfigurable logic circuits for matching complex network intrusion detection patterns, in
Proceedings of FPL, Lisbon, Portugal, 2003
268. S. Dharmapurikar,M. Attig, J. Lockwood, Deep packet inspection using parallel bloom filters. IEEE Micro 24(1), 52–61 (2004)
353 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
269. M. Gokhale, D. Dubois, A. Dubois, M. Boorman, S. Poole, V. Hogsett, Granidt: towards gigabit rate network intrusion detection
technology, in Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-
Programmable Logic and Applications (Springer, Berlin, 2002), pp. 404–413
270. B.L. Hutchings, R. Franklin, D. Carver, Assisting network intrusion detection with reconfigurable hardware, in Proceedings of
the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM’02) (IEEE Comput. Soc., Los
Alamitos, 2002), p. 111
271. I. Sourdis, D. Pnevmatikatos, Pre-decoded CAMs for efficient and high-speed NIDS pattern matching, in Proceedings of the
Field-Programmable Custom Computing Machines, 12th Annual IEEE Symposium on (FCCM’04) (IEEE Comput. Soc., Los
Alamitos, 2004), pp. 258– 267
272. J. O. Hamblen, T. S. Hall, and M. D. Furman, Rapid Prototyping of Digital Systems Quartus II Edition, Springer Science &
Business Media, Inc., 2006.
273. Xilinx Inc., San Jose, CA [Online]. Available: http://www.xilinx.com/company/history.htm
274. H. Ochi, “ASAver.1: An FPGA-based education board for computer architecture/system design,” in Proc. Asia and South Pacific
Design Automation Conf., Makuhari,, Japan , Jan. 1997, pp. 157–165.
275. K. Newman, J. O. Hamblen, and T. S. Hall, “An introductory digital design course using a low–cost autonomous robot,” IEEE
Transactions on Education, vol. 45, no. 3, pp. 289–296, Aug. 2002.
276. J. O. Hamblen, “Rapid prototyping using field–programmable logic devices,” IEEE Micro, vol. 20, no. 3, pp. 29–37, May 2000
277. J. O. Hamblen and T. S. Hall, “Engaging undergraduate students with robotic design projects,” in Proceedings of the Second
IEEE International Workshop of Electronic Design, Test and Applications, Jan. 2004.
278. T. S. Hall and J. O. Hamblen, “System–on–a–programmable–chip development platforms in the classroom,” IEEE Transactions
on Education, vol. 47, no. 4, pp. 502–507, Nov. 2004.
279. T. S. Hall and D. V. Anderson, “A framework for teaching real–time digital signal processing with field–programmable gate
arrays,” IEEE Transactions on Education, vol. 48, no. 3, Aug. 2005.
280. Vahid, F. The softening of hardware, in IEEE Computer, Volume 36, Issue 4, April 2003, pp. 27-34.
281. Amaral, J., Berube, P., and Mehta, P. Teaching Digital Design to Computing Science Students in a Single Academic Term, in
IEEE Transactions on Education, vol. 48, no. 1, February 2005, pp. 127-132.
282. Bonato, V., et al., Teaching Embedded Systems with FPGAs Throughout a Computer Science Course, in Workshop on
Computer Architecture Education (WCAE 2004), June 19, 2004, at 31st Int’l Symposium on Computer Architecture, Munich,
Germany, June 19-23, 2004, pp. 8-14.
283. Calazans, N., and Moraes, F. Integrating the teaching of computer organization and architecture with digital hardware design early
in undergraduate courses, in IEEE Transactions on Education, vol. 44, no. 2, May 2001, pp. 109–119.
284. M. Mano and C. Kime, Logic and Computer Design Fundamentals, 3rd Edition, Prentice Hall, Oct 6, 2003.
285. J. Hennessy and D. Patterson, Computer Architecture A Quantitative Approach, 2nd Edition, San Francisco, CA: Morgan
Kaufmann, 1996.
286. J. Hamblen and M. Furman, Rapid Prototyping of Digital Systems - Second Edition, Kluwer Academic Publishers, Aug. 2001.
287. M. A. Soderstrand, “Role of FPGAs in undergraduate project courses,” in 1997 IEEE International Conference on
Microelectronic Systems Education Proceedings, April 1997, pp. 109–110.
288. M. S. Nixon, “On a programmable approach to introducing digital design,” IEEE Transactions on Education, vol. 40, no. 3, pp.
195–206, Aug. 1997.
289. Stephen D. Brown, Robert J. Francis, Jonathan Rose, and Zvonko G. Vranesic. Field-Programmable Gate Arrays. Kluwer
Academic Publishers, 1992.
290. R. C. Cofer and Benjamin Harding. Rapid System Prototyping with FPGAs: Accelerating the Design Process. Elsevier, 2005.
291. Esma Alaer, Ali Tangel, and Mehmet Yakut. MIB-16 FPGA based design and implementation of a 16-bit microprocessor for
educational use. WSEAS Transactions on Advances in Engineering Education, 5(5), May 2008.
292. Don Bouldin. Impacting education using FPGAs. In Proceedings of the 18th International Symposium on Parallel and Distributed
Processing, Santa Fe, USA, April 2004.
293. Stephen A. Edwards. Experiences teaching an FPGA-based embedded systems class. In Proceedings of the Workshop on
Embedded Systems Education (WESE), New Jersey, USA, 2005.
294. Yong-K. Jung. Work in progress – a rapid design methodology for FPGA-based processor platform design education. In
Proceedings of the 35th. ASEE/IEEE Frontiers in Education Conference, Indianapolis, USA, October 2005.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 354
R References |اﳌﺮاﺟﻊ
295. Dong-Soo Kang, Soo Yun Hwang, Kyoung-Son Jhang, and Kang Yi. A low cost and interactive rapid prototyping platform for
digital system design education. In Proceedings of the 2007 International Conference on Microelectronic Systems Education, San
Diego, CA, USA, June 2007.
296. Thilo Pionteck. Teaching informatics students the secrets of hardware design. In Proceedings of the 2007 International Conference
on Microelectronic Systems Education, San Diego, CA, USA, June 2007.
297. Michael J.Wirthlin. Senior-level embedded system design project using FPGAs. In Proceedings of the 2005 International
Conference on Microelectronic Systems Education, Anaheim, CA, USA, June 2005.
298. Jan Gray, Hands-on Computer Architecture – Teaching Processor and Integrated Systems with FPGAs, Workshop on Computer
Architecture Education, Vancouver, BC, 2000.
299. R. Lysecky and F. Vahid, “A study of the speedups and competitiveness of fpga soft processor cores using dynamic
hardware/software partitioning,” Design, Automation and Test in Europe (DATE’05), vol. 1, pp. 18–23, 2005.
300. T. S. Hall and J. O. Hamblen, “System-on-a-programmable-chip development platforms in the classroom,” IEEE Trans. Educ.,
vol. 47, no. 4, pp. 502–507, Nov. 2004.
301. Wong, S., Vassiliadis, S., and Cotofana, S. Embedded Processors:Characteristics and Trends, Technical Report CE-TR-2004-03,
Delft, The Netherlands, May 2004.
302. Marwedel, P. Embedded System Design, Kluwer Academic Publisher, 2003.
303. Patt, Y., and Patel, S. Introduction to Computing Systems: from bits & gates to C & beyond, McGrawHill Press, 2001.
304. Vahid, F., and Givargis, T. Embedded System Design: A Unified Hardware/Software Introduction, John Wiley and Sons, October
2001.
305. Wolf, W. Computers as Components: Principles of Embedded Computing Systems Design, Morgan Kaufmann Publishers, 2000.
306. P. S. G. Maya Gokhale, Reconfigurable Computing: Accelerating Computation with Field-programmable Gate Arrays. Berlin:
Springer, 2005.
307. R. Kastner, A. Kaplan, and M. Sarrafzadeh, Synthesis Techniques and Optimizations for Reconfigurable Systems. Amsterdam:
Kluwer Academic Publishers, 2003.
308. P. L. E. Wolfgang Rosenstiel, New Algorithms. Architectures and Applications for Reconfigurable Computing. Berlin: Springer,
2005.
309. Pao‑Ann Hsiung, Marco D. Santambrogio, and Chun‑Hsian Huang., “Reconfigurable System Design and Verification”, 2009 by
Taylor & Francis Group, LLC, CRC Press, ISBN: 978‑1‑4200‑6266‑3
310. Zoran Salcic, Zoran Salcic, Digital Systems Design and Prototyping, 2002 Kluwer Academic Publishers, ISNB: 0-792-37920-9
311. M. Butts, “Molecular electronics: All chips will be reconfigurable,” presented at the 13th Int. Conf. Field Programmable Logic and
Applications، Lisbon, Portugal, Sep. 2003.
312. Computing Curricula 2001, Computer Science (2004, Sep. 10). [Online]. Available: http://computer.org/educate/cc2001/
313. A. McGettrick, M. D. Theys, D. L. Soldan, and P. K. Srimani, “Computer engineering curriculum in the new millennium,”
IEEE Trans. Educ., vol.46, no. 4, pp. 456–462, Nov. 2003.
314. Valery Sklyarov and Iouliia Skliarova, Teaching Reconfigurable Systems: Methods،Tools, Tutorials, and Projects, IEEE
TRANSACTIONS ON EDUCATION, VOL. 48, NO. 2, MAY 2005
315. Saumil Merchant, Gregory D. Peterson, and Don Bouldin. Improving embedded systems education: Laboratory enhancements
using programmable systems on chip. In Proceedings of the 2005 International Conference on Microelectronic Systems Education,
Anaheim, CA, USA, June 2005.
316. Sklyarov, V., Skliarova, I., “Teaching FPGA-based systems and their influence on mechatronics”, IEEE Transactions on
Education
317. Sklyarov, V., Skliarova, I., Teaching Reconfigurable Systems: Methods, Tools, Tutorials and Projects, IEEE Transactions on
Education, vol. 48, no. 2, May 2005.
318. I. A. Hack and J. Haberly, “ Low cost FPGA Development Systems for Teaching Advanced Digital Circuits ,” ASEE Conference,
session 0047, 2001
319. R. Backnak, D. Kar, and H. Shaalan, “Digital Systems Laboratory for Teaching and Research, “ASEE Conference, session 1520,
2004.
320. David Harris, The Microprocessor as a Microcosm: A Hands-on Approach to VLSI Design Education, 32nd ASEE/IEEE Frontiers
in Education Conference, 2002.
321. V. Sklyarov, I. Skliarova, "Teaching Reconfigurable Systems: Methods, Tools, Tutorials and Projects", IEEE Transactions on
Education, vol. 48, no. 2, May 2005, pp. 290-300.
355 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
322. Tyson S. Hall, James O. Hamblen, System-on-a-Programmable-Chip Development Platforms in the Classroom, IEEE
Transactions on Education, pp. 502-507, vol. 47, no. 4, November 2004.
323. Sarah Harris, David Harris, Inexpensive Student-Assembled FPGA / Microcontroller Board, Microelectronics Systems Education
Conf., pp. 101-102, June 2005.
324. J. L. Cooper and P. Robinson, “Getting started: Informal small-group strategies in large classes,” New Directions for Teaching and
Learning, vol. 81, pp. 17–24, 2000.
325. I. Rubin and C. Hebert, “Model for active learning: Collaborative peer teaching.,” Cooperative Learning and College Teaching,
vol. 46, no. 1, pp. 26–30, 1998.
326. M. Towns, “How do I get my students to work together? Getting cooperative learning started,” Chem. .Engr. Education, vol. 75,
no. 1, pp. 67–69, 1998.
327. Juan A. Marin-Garcia and Jaime Lloret. Improving teamwork with university engineering students. The effect of an assessment
method to prevent shirking. WSEAS Transactions on Advances in Engineering Education, 5(1), January 2008.
328. Norio Ishii, Yuri Suzuki, Hironobu Fujiyoshi, Takashi Fujii, and Masanori Kozawa. Designing effective learning environments for
creativity. WSEAS Transactions on Advances in Engineering Education, 3(10), October 2006.
329. Lyle D. Feisel and Albert J. Rosa. The role of the laboratory in undergraduate engineering education. Journal of Engineering
Education, pages 121–130, January 2005.
330. Juan A. Marin-Garcia and Jaime Lloret. Improving teamwork with university engineering students. The effect of an assessment
method to prevent shirking. WSEAS Transactions on Advances in Engineering Education, 5(1), January 2008.
331. Romanas V. Krivickas and Jonas Krivickas. Laboratory instruction in engineering education. Global Journal of Engineering
Education, 11(2), 2007.
332. S. Edwards, "Experiences Teaching an FPGA-based Embedded Systems Class," Proceedings of the 2005 Workshop on Embedded
Systems Education, pp. 52-58, September 22, 2005.
333. S. Dhanani, “FPGAs Enabling Consumer Electronics”, http://www.fpgajournal.com/articles_2005 /20050614_xilinx.htm, 2005
334. Zainalabedin Navabi. The impact of hardware description languages on the education of hardware design. In Proceedings of the
8th IEEE University/Government/Industry Symposium, pages 235–239, Westborough, MA, USA, June 1989.
335. Assim Sagahyroon and Mehran Massoumi. On the use of hardware description languages in teaching VLSI design courses. In
Proceedings of the 26th. ASEE/IEEE Frontiers in Education Conference, pages 713–716, Salt Lake City, UT, USA, Nov.1996.
336. Assim Sagahyroon. From AHPL to VHDL: A course in hardware description languages. IEEE Transactions on Education,
43(4):449–454, November 2000.
337. Don M. Gruenbacher. An active learning/teamwork approach to implementing an integrated circuit design cycle in an advanced
hardware description language course. In Proceedings of the 29th. ASEE/IEEE Frontiers in Education Conference, San Juan,
Puerto Rico, November 1999.
338. José N. Amaral, Paul Berube, and Paras Mehta. Teaching digital design to computing science students in a single academic term.
IEEE Transactions on Education, 48(1):127–132, February 2005.
339. Valery Sklyarov and Iouliia Skliarova. Teaching reconfigurable systems: methods, tools, tutorials and projects. IEEE Transactions
on Education, 48(2):290–300, May 2005.
340. Hugo Hedberg, Joachim N. Rodrigues, Fredrik Kristensen, Henrik Svensson, Matthias Kamuf, and Viktor Owall. Teaching
digital ASIC design to students with heterogeneous previous knowledge. In Proceedings of the 2005 International Conference on
Microelectronic Systems Education, pages 15–16, Anaheim, CA, USA, June 2005.
341. Igone Vélez and Juan F. Sevillano. A course to train digital hardware designers for industry. IEEE Transactions on Education,
50(3):236–243, August 2007.
342. P. Denning, A. McGettrick: Recentering Computer Science; Comm. ACM 48, 11 (Nov. 2005), 15-19
343. D. Patterson: Restoring the Popularity of Computer Science; Comm. ACM 48, 9 (Sept. 2005), 25-28
344. N.N.: U.S. losing lead in science and engineering (a study); Reuters, Washington, DC, July 8, 2005
345. D. Kapur, J. McHale: Are We Losing the Global Race for Talent? Wall Street Journal (11/21/05), p. A17
346. Yong-Kyu Jung, “An Innovative Rapid Processor Platform Design for Early Engineering Education”, Texas A&M University-
College Station Proceedings of the 2005 ASEE Annual Conference & Exposition 2005
347. G. Martin, “Industry needs and expectations of soc design education,” in Proc. Int. Conf. Microelectronic Systems Education,
Anaheim, CA, Jun. 2003, pp. 146–147.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 356
R References |اﳌﺮاﺟﻊ
348. J. N. Amaral, P. Berube, and P. Mehta, “Teaching digital design to computing science students in a single academic term,” IEEE
Trans. Educ., vol. 48, no. 1, pp. 127–132, Feb. 2005.
349. W. Carter, K. Duong, R. H. Freeman, H. Hsieh, J. Y. Ja, J. E. Mahoney، L. T. Ngo, and S. L. Sze, “A user programmable
reconfiguration gate array”، in Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 233–235, May 1986.
350. Ian Kuon, Russell Tessier, and Jonathan Rose, “FPGA Architecture: Survey and Challenges”, in Foundations and Trends in
Electronic Design Automation, vol. 2, no. 2, pp. 135-253, February 2008.
351. D. Chen, J. Cong, and P. Pan, “FPGA design automation: A survey,” Foundations and Trends in Electronic Design Automation,
vol. 1, no. 3, September 2006.
352. Xilinx CoolRunner-II CPLDs, http://www.xilinx.com/products/coolrunner2, 2010
353. Bob Zeidman, " Designi with FPGAs and CPLDs", CMP Book, 2002, ISBN: 1-57820-112-8, 241p
354. R.C. Cofer, Benjamin F. Harding, "Rapid System Prototyping with FPGAs", 2006, Elsevier, ISBN-13: 978-0-7506-7866-7,
321p
355. Ian Grout, " Digital Systems Design with FPGAs and CPLDs ", 2008, Elsevier, ISBN-13: 978-0-7506-8397-5, 763p
356. Clive Maxfield, "FPGAs World Class Designs", Newnes, 2009, ISBN: 1856176215, 488p
357. Christophe Bobda, "Introduction to Reconfigurable Computing : Architectures, Algorithms, and Applications ", Springer, 2007,
ISBN 978-1-4020-6088-5, 375p
358. Xilinx UG331 Spartan-3 Generation FPGA User Guide, (v1.5) January 21, 2009, www.xilinx.com
359. PowerPC 440, Embedded Processor Block in Virtex-5 FPGAs Reference Guide, Xilinx, 2010
360. ATMEL FPSLIC (AVR with FPGA), http://www.atmel.com/products/FPSLIC/overview.asp, 2010
361. Rahul Dubey, "Introduction to Embedded System Design Using Field Programmable Gate Arrays", 2009 Springer, ISBN 978-1-
84882-015-9, 154p
362. MicroBlaze Soft Processor Core, http://www.xilinx.com/tools/microblaze.htm, 2010
363. Xilinx PicoBlaze 8-bit Embedded Microcontroller User Guide for Spartan-3, Spartan-6, Virtex-5 and Virtex-6 FPGAs,
http://www.xilinx.com/support/documentation/ip_documentation/ug129.pdf, 2010
364. Nios II Processor: The World's Most Versatile Embedded Processor, http://www.altera.com/products/ ip/processors/nios2/ni2-
index.html, 2010
365. Xilinx Virtex-6 FPGA GTX Transceivers User Guide, UG366, 2010, http://www.xilinx.com/support/ documentation/user_
guides/ug366.pdf
366. Xilinx UG389 Spartan-6 FPGA DSP48A1 Slice, User Guide, 2010, http://www.xilinx.com/support/documentation/user_
guides/ug389.pdf
367. Xilinx LogiCORE System Generator for DSP Reference Guide, 2010, www.xilinx.com/support/sw_manuals/sysgen_ref.pdf
368. Marco Lanzagorta,Stephen Bique,Robert Rosenberg, Introduction to Reconfigurable Supercomputing, M&C, 2010,
ISBN:1608453367, 104p
369. Brown, S. and Vranesic, Z., “Fundamentals of Digital Logic with VHDL Design,” McGraw Hill, 2005.
370. Cong, J. and Pan, P. , “Technology Mapping,” in Reconfigurable Computing, S. Hauck and A. Dehon (eds.), Morgan
Kaufmann, 2008.
371. Betz, V. , “Placement for General Purpose FPGAs,” in Reconfigurable Computing, S. Hauck and A. Dehon (eds.), Morgan
Kaufmann, 2008.
372. Singh, S., “Specifying Circuit Layout on FPGAs in Reconfigurable Computing”, S. Hauck and A. Dehon (eds.), Morgan
Kaufmann, 2008. DOI: 10.1145/508352.508353
373. Marquardt, A., Betz, V., and Rose, J., “Timing-Driven Placement for FPGAs,” ACM/SIGDA International Symposium on Field
Programmable Gate Arrays, Monterey, CA, February 2000, pp. 203 - 213. DOI: 10.1145/329166.329208
374. Selvakkumaran, N.,Ranjan, A.,Raje, S.,Karypis, G. “Multi-resouce aware partitioning algorithms for FPGAs with heterogeneous
resources,” February 2004 FPGA ’04: Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field
programmable gate arrays, Monterrey, CA, 2004.
375. Guccione, S. A., “Configuration Bitstream Generation,” in Reconfigurable Computing, S. Hauck and A. Dehon (eds.), Morgan
Kaufmann, 2008.
376. MathStar, Inc., www.mathstar.com
377. ArrixTM Family Product Brief, “1 GHz Field Programmable Object ArrayTM”, April 2007
378. Actel Corp., http://www.actel.com/
379. Actel Fusion Family of Mixed-Signal FPGAs Datasheet, v2.0, 2009, p.1-2
357 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
380. G. Genest, R. Chamberlain and R. Bruce, "Programming an FPGA-based Super Computer Using a C-to-VHDL Compiler:
DIME-C," Adaptive Hardware and Systems, 2007. AHS 2007. Second NASA/ESA Conference on, pp. 280-286, Aug. 2007.
381. R. Bruce, M. Devlin and S. Marshall, "An elementary transcendental function core library for reconfigurable computing," in
Reconfigurable Systems Summer Institute (RSSI 2007), 2007, pp. 1-9
382. IEEE. IEEE standard VHDL language reference manual, IEEE Std 1076-1987, March 1988.
383. D. E. Thomes and P. Moorby. The Verilog Hardware Description Language. Kluwer Academic, 3rd edition edition, 1996.
384. R.T. Boute, “Fundamentals of Hardware Description Languages and Declarative Languages,” Fundamentals and Standards in
Hardware Description Languages, pp. 3-38, J.P. Mermet ed., Kluwer Academic, 1993.
385. Volnei A. Pedroni, Circuit Design with VHDL, MIT Press, 2004, ISBN 0-262-16224-5
386. Joseph Cavanagh, "Digital Design and Verilog HDL Fundamentals", CRC Press, 2008, ISBN: 978-1-4200741-5-4, 1168p
387. Samir Palnitkar, "Verilog HDL: a guide to digital design and synthesis ", SunSoft Press, (2003), ISBN: 0130449113, 450p
388. W. Luk and S. McKeever. Pebble: a language for parameterised and reconfigurable hardware design. In R. W. Hartenstein and A.
Keevallik, editors, Proc. FPL’98: Field- Programmable Logic and Applications, vol.1482 of LNCS, p.9–18. Springer, 1998.
389. Y. Li and M. Leeser. HML, a novel hardware description language and its translation to VHDL. IEEE Trans. on Very Large Scale
Integration Systems, 8(1):1–8, 2000.
390. P. Bjesse, K. Claessen, M. Sheeran, and S. Singh. Lava: hardware design in Haskell. In ICFP ’98: Proc. 3rd ACM SIGPLAN Intl.
Conf. on Functional programming, pages 174–184. ACM Press, 1998.
391. D. Pellerin and S. Thibault, Practical FPGA Programming in C. Upper Saddle River, NJ: Prentice Hall Professional Technical
Reference, 2005.
392. P. Bellows, BL Hutchings. JHDL—An HDL for reconfigurable systems. Proceedings of IEEE Workshop on FPGAs for Custom
Computing Machines, 1998
393. Brent E. Nelson, "The Mythical CCM: In Search of Usable (and Resuable) FPGA-Based General Computing Machines," asap,
pp.5-14, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06), 2006
394. Mentor Graphics, Catapult-C Synthesis: Full-Chip High-Level Synthesis, http://www.mentor.com/products/esl/high_level_
synthesis/catapult_synthesis, 2010
395. Impulse Accelerated Technologies, Inc., Impulse Co-Developer, http://www.impulseaccelerated.com, 2010
396. Celoxica: Handel-C, http://www.celoxica.com/technology/cdesign/handel-c.asp
397. E. ElAraby, M. Taher, M. Abouellail, T. ElGhazawi and G. B. Newby, "Comparative Analysis of High Level Programming for
Reconfigurable Computers: Methodology and Empirical Study," Programmable Logic, 2007. SPL '07. 2007 3rd Southern
Conference on, pp. 99-106, Feb. 2007.
398. Celoxica. Handel-C Lanuage Reference Manual, 2001.
399. Mentor Graphics, Handel-C™ to FPGA for Algorithm Design, http://www.mentor.com/products/fpga/handel-c/dk-design-suite,
2010
400. A. Mycroft and R. Sharp. Higher-level techniques for hardware description and synthesis. International Journal on Software tools
for Technology Transfer, 4(3):271–297, May 2003.
401. J. Hughes. Why functional programming matters. Computer Journal, 32(2):98–107, 1989.
402. DIME-C, C-to-VHDL compiler, http://www.nallatech.com/Development-Tools/dime-c.html
403. G. Genest, R. Chamberlain and R. Bruce, "Programming an FPGA-based Super Computer Using a C-to-VHDL Compiler:
DIME-C," Adaptive Hardware and Systems, 2007. AHS 2007. Second NASA/ESA Conference on, pp. 280-286, 5-8Aug. 2007.
404. DIMEtalk, FPGA Computing Design Tool, http://www.nallatech.com/FPGA-Development-Tools/dimetalk.html
405. Lukai Cai, Daniel D. Gajski, C/C++ Based System Design Flow Using SpecC, VCC and SystemC, Technical Report CECS-02-
30, 2002
406. Gajski, D.D., Jianwen Zhu, Dömer, R., Gerstlauer, A., Shuqing Zhao, SpecC: Specification Language and Methodology,
springer, 2000, ISBN: 978-0-7923-7822-8
407. M. Fujita, H. Nakamura. The Standard SpecC Language. Proceedings of the International Symposium on System Synthesis,
Montreal, October 2001.
408. The Open SystemC Initiative, www.systemc.org
409. IEEE 1666 Standard SystemC Language Reference Manual, http://standards.ieee.org/getieee/1666/
410. D. C. Black, J. Donovan, SystemC: From the Ground Up, Springer 2005. ISBN 0387292403
411. Frank Ghenassia (Editor), Transaction-Level Modeling with Systemc: Tlm Concepts and Applications for Embedded Systems,
Springer 2006. ISBN 0387262326
412. SRC Computers, http://www.srccomp.com/CarteProgEnv.htm
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 358
R References |اﳌﺮاﺟﻊ
359 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
447. Neuman, C., Lieberman, D., Engelberg, D., Flamholz, A., Marchese, P., Tremberger, G., et al, “LabVIEW Graphical
Programming in an Introductory Engineering Physics Course.” American Society for Engineering Education Annual Conference
& Exposition, 2005.
448. Ertugrul, N. (2000) Towards virtual laboratories: a survey of LabVIEW-based teaching/learning tools and future trends.
International Journal of Engineering Education, 16, 171-180.
449. Trevelyan, J. (2002) Towards cost effective online laboratories. In: Proceedings of the World Congress Networked Learning in a
Global Environment, Berlin, Germany.
450. Choi, S. J., Shetty, D., Poduraev, J., Lee, W. Y. & Lee, J. H. (2003) International Collaboration and Improvement of
Mechatornics Education Based on Simulation and Virtual Instruments. [Online] Available from:
http://www.ni.com/academic/journal_asee.htm. [Accessed 17 January 2010].
451. Lauterburg, U. (2001) LabVIEW in Physics Education. [Online] A white paper about using LabVIEW in physics demonstration
and laboratory experiments and simulations. Available online at: www.clab.unibe.ch/labview/whitepaper/LV-
PhysicsWPScreen.pdf. [Accessed 15 January 2010]
452. Tan, K. K., Lee, T. H. & Leu, F. M. (2000) Development of a distant laboratory using LabVIEW. International Journal of
Engineering Education, 16(3), 273–282.
453. Eckhoff, E. C., Eller, V. M., Watkins, S. E. & Hall, R. H. (2002) Interactive virtual laboratory for experience with a smart bridge
test. In proceedings of the American Society for Engineering Education Annual Conference & Exposition, Montre´al, Quebec,
Canada, June 16-19.
454. Hercog, D., Gergic, B., Uran S. & Jezernik, K. (2007) A DSP-based remote control laboratory. IEEE Transaction on Industrial
Electronics, 54(6), 3057– 3068.
455. Callaghan M. J., Jim, H., Martin, M. T. & Maguire, L. P. (2008) Intelligent user support in autonomous remote experimentation
environments. IEEE Transactions on Industrial Electronics, 55(6), 2355-2367.
456. Stefanovic, M., Cvijetkovic, V., Matijevic, M. & Visnja, Simic. (2009) A LabVIEW-based remote laboratory experiments for
control engineering education. Computer Applications in Engineering Education, 2009. Articles online in advance of print
457. Moriarty, P. J., Gallagher, B. L., Mellor, C. J. & Baines, R. R. (2003) Graphical computing in the undergraduate laboratory.
Teaching and interfacing with Lab- View. American Journal of Physics, 71(10), 1104–1114.
458. Anderson, J. A., Korrapati. R. B., & Swain. N. K., “Digital signal processing using virtual instrumentation.” Proceedings of SPIE,
Vol. 4052, April 2000.
459. Korrapati, R. B. & Swain. N. K., “Study of Modulation Using Virtual Instruments.” Proceedings of National Conference on
Allied Academies, Spring 2000.
460. Swain, N. K., Anderson, J. A., & Korrapati. R. B. “Computer-based Virtual Engineering Laboratory (CBVEL) and Engineering
Technology Education.” 2000 Annual ASEE Conference Proceedings.
461. Pecen, R., Salim, M., & Zora, A. “A LabView Based Instrumentation System for a Wind-Solar Hybrid Power Station.” Journal of
Industrial Technology, Vol. 20, June 2004–August 2004.
462. Vinicius J. & Osvaldo S. “Using LabVIEW in a Mini Power System Model Allowing Remote Access and New Implementations.”
International Conference on Engineering Education, 2007.
463. Garcia, J. and Backer, P. “Assessment of LabVIEW and Multisim in the delivery of electronics laboratory content”, Proceedings of
the 2007 American Society for Engineering Education Annual Conference and Exposition
464. Salzmann, C. H., Gillet, D. & Huguenin, P. (2000) Introduction to real-time control using LabView with an application to
distance learning. International Journal of Engineering Education, 16(3), 1-18.
465. Asad A. Salem, Mufid Abudiab, Labview: an Interactive Teaching Tool for a Differential Equations Class, Journal of Mathematical
Sciences & Mathematics Education.
466. M. Higa, D. Tawy, and S. Lord, “An introduction to LabVIEW exercise for an electronics class,” in Proc on 32nd Annual
Frontiers in Education Conference, vol. 1, Nagoya, Japan, 2002, pp. T1D13–T1D16.
467. F. Adamo, F. Attivissimo, G. Cavone, and N. Giaquinto, “SCADA/HMI systems in advanced educational courses,” IEEE
Transactions on Instrumentation and Measurement, vol. 56, pp. 4–10, 2007.
468. A. Leva and F. Donida, “Multifunctional remote laboratory for education in automatic control: The CrAutoLab experience,”
IEEE Transactions on Industrial Electronics, vol. 55, no. 6, pp. 2376–2385, 2008.
469. C. I. Nitu, B. S. Gramescu, C. D. P. Comeaga, and A. O. Trufasu, “Optomechatronic system for position detection of a mobile
minirobot,” IEEE Transactions on Industrial Electronics, vol. 52, no. 4, pp. 969–973, 2005.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 360
R References |اﳌﺮاﺟﻊ
470. W. Wei, Y. Pan, and K. Furuta, “Internet-based tele-control system for wheeled mobile robot,” in IEEE International
Conference on Mechatronics and Automation, Niagara Falls, Canada, 2005 .
471. A. Garc´ıa-Cerezo, A. Mandow, J. L. Mart´ınez, J. G´omez-de-Gabriel, J. Morales, A. Cruz, A. Reina, and J. Ser´on,
“Development of ALACRANE: A mobile robotic assistance for exploration and rescue missions,” in IEEE International
Workshop on Safety, Security, and Rescue Robotics (SSRR2007), Rome, Italy, 2007.
472. Globig, J. “An Interdisciplinary, LabVIEW Based, Data Acquisition and Measurements Course”, “Proceedingsof the 2003
American Society for Engineering Education Annual Conference & Exposition
473. Hrynuk, J., Pennington, M., Illig, D., Dempsey, J. P. “Freshman Engineering: An Introductory Computer Course Teaching
MATLAB and LabVIEW”, Proceedings of the 2008 American Society for Engineering Education Annual Conference and
Exposition
474. Daniels, S., Harding, D., Collura, M. “Introducing Feedback Control to First Year Engineering Students using LabVIEW”,
Proceedings of the 2005 American Society for Engineering Education Annual Conference and Exposition
475. Illig, D., Hrynuk, J., Pennington, M., Dempsey, J. P. “The Influence of Student Feedback and Involvement on a Course
Teaching MATLAB and LabVIEW” , Proceedings of the 2008 American Society for Engineering Education Annual Conference
and Exposition
476. Trevelyan, J. (2004) Lessons learned from 10 years' experience with remote laboratories, presented at the Int. Conf. Engineering
Education Research Progress Through Partnership, Ostrava, Czech Republic, Jun. 27–30.
477. Abu-Mulaweh, H. “The Use of LabVIEW and Data Acquisition Unit to Monitor and Control Air-Conditioning Processes”,
Proceedings of the 2007 American Society for Engineering Education Annual Conference and Exposition
478. Pecen, R., Salim, M.D. and Zora, A. “A LabView Based Instrumentation System for a Wind-Solar HybridPower Station”,
Journal of Industrial Technology, Volume 20, Number 3, 2004
479. N. A. Muhamad, S. Ali, “LabVIEW with fuzzy logic controller simulation panel for condition monitoring of oil and dry type
transformer”, IEEE Trans. on Engineering, Computing and Technology, Vol. 14, pp. 187-193, 2006.
480. J. Fernandez de Canete, P. Del Saz Orozco and S. Gonzalez-Perez, Distillation Monitoring and Control using LabVIEW and
SIMULINK Tools, World Academy of Science, Engineering and Technology 34, 2007, pg.115-118
481. R. K., “Integrated design laboratory,” in 33rd ASEE/IEEE Frontiers in Education Conference, pp.F2E13–F2E18, Nov. 2003
482. M. S. Recayi Pecen and A. Zora, “A labview based instrumentation system for a wind-solar hybrid powerstation,” Journal of
Industrial Technology 20(3), pp. 1–8, 2004.
483. I. F. Riad and M. S. El Shazali Elkatim, “A radioactive decay simulation (For Education),” ArXiv Physics eprints , Dec. 2005.
484. G. M. a. D. G. I. Lita, D. A. Visan, “Labview application for analysis of mechanical vibrations from industrial environment,” in
28th International Spring Seminar on Electronics Technology: Meeting the Challenges of Electronics Technology Progress, May
19-20 2005.
485. H. A. basher and S. A. Isa, “Labview-based position control system with synchro [radio telescope control application],” in IEEE
Proceedings SoutheastCon, 2005, Ari. 8-10 2005.
486. N. Kehtarnavaz and N. Kim, Digital Signal Processing System-Level Design Using Labview, Newnes, Burlinton, MA, 2005.
487. Rana A. Jabbar, Muhammad Junaid, M. Ali Masood, M. Mansoor and Adil Iftkhar , LabVIEW based Induction Machines
Laboratory for Engineering Education, Latest Trends on ENGINEERING EDUCATION, IEEE EDUCATION-2010, ISBN:
978-960-474-202-8
488. Mark Yoder, Rose-Hulman , Bruce Black, Rose-Hulman , 2006-1951: A Study of Graphical vs. Textual Programming for
Teaching DSP, American Society for Engineering Education, 2006
489. NI LabVIEW Add-Ons, http://sine.ni.com/nips/cds/view/p/lang/en/nid/1387.
490. NI LabVIEW Product Family, http://www.ni.com/labview/family/
491. Streveler, R. A., & Smith K. A. (2006) Guest Editorial: Conducting rigorous research in engineering education. Journal of
Engineering Education, 95(2), 03–105.
492. Beddoes, K., Jesiek, B.K., & Borrego, M. (2009) Preliminary report on two meetings designed to promote international
collaboration in engineering education. In Proceedings of the 20th Australian Association for Engineering Education Conference,
Adelaide, Australia, December 6-9.
493. Borrego, M. (2007) Development of engineering education as a rigorous discipline: A study of the publication patterns of four
coalitions. Journal of Engineering Education, 96 (1), 5-18.
494. Wankat, P.C., Felder, R. M., Smith, K. A. & Oreovicz, F. S. (2002) The scholarship of teaching and learning in engineering, in
Disciplinary Styles in the Scholarship of Teaching and Learning, M.T.Huber and S.P. Morreale (eds.), Menlo Park, California:
American Association for Higher Education and the Carnegie Foundation for the Advancement of Teaching, pp. 217–237.
361 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
495. Rossiter, J.A., Gray, L., & Diercks O’Brien, G. (2007) A case study in using theory of change to improve teaching practice in a
control department. In: Proceedings of International conference on engineering education, ICEE, University of Coimbra,
Portugal, 3rd -7th of September.
496. Engineering education scholarships, http://www.asee.org/fellowship-programs
497. EngSC (2000) Higher Education Academy Subject Centre. [Online] Available online at: http://www.engsc.ac.uk/
498. engCETL (2005) Linking education with industry – engCETL – Engineering Centre for Excellence in Teaching and Learning.
http://www.engcetl.ac.uk/
499. ASEE (2010) The American society for engineering education. [Online] Available from: www.asee.org
500. Borrego, M. (2006) The Higher Education Job Market for Engineering Education Program Graduates. In: Proceedings of the
American Society for Engineering Education Annual Conference.
501. durkheim, Émile (1911b). Pédagogie. In f. Buisson (dir.), Nouveau dictionnaire de pédagogie et d’instruction primaire. Paris:
Hachette, pp. 15381543.
502. René Hubert : Traité de pédagogie générale, Paris PUF, 1965, p16
503. Thorndike, E. L. (1913) Educational psychology: The psychology of learning. New York: Teachers College Press.
504. Pavlov, I. P. (1927) Conditioned reflexes. London: Clarendon Press.
505. Skinner, B. F. (1953) Science and human behavior. New York: Macmillan.
506. Skinner, B. F. (1974) About behaviorism. New York: Knopf.
507. Schunk, D. H. (2000) Learning theories. 3rd ed. Upper Saddle River, NJ: Merrill/Prentice-Hall.
508. Fraley, LF (2001). "Strategic interdisciplinary relations between a natural science community and a psychology community", The
Behavior Analyst Today 2 (4): 209–324. http://www.baojournal.com
509. Bigge, M. L. & Shermis, S. S. (1999) Learning theories for teachers. New York, Longman.
510. Malott, R. W, Malott, M. E. & Trojan, E. A. (1999) Elementary Principles of Behavior. Pearson Education (4th edition).
511. Kazdin, A. E. (2000) Behavior modification in applied settings. Wadsworth Publishing.
512. Kulik, J. A. & Kulik, C. C. (1988) Timing of feedback and verbal learning. Review of Educational Research, 58(1), 79–97.
513. Kulhavy, R. W. & Wager, W. (1993) Feedback in programmed instruction: Historical context and implications for practice. In: J.
V. Dempsey & G. C. Sales (Eds.), Interactive instruction and feedback. Englewood Cliffs, NJ: Educational Technology. p3-20.
514. Atkinson R. C. & Shiffrin R. M. (1968) human memory: a proposed system and its component processes. The psychology of
learning and motivation, Vol 2, New York: Academic Press.
515. Squire, L. R., Knowlton, B. & Musen, G. (1993) The structure and organization of memory. Annual review of psychology, 44,
453-495.
516. Martin, J. (1993) Episodic memory: A neglected phenomenon in the psychology of education. Educational psychologist, 28(2),
169-184.
517. Baddeley, A. D. (1999) Essentials of human memory. East Sussex, Psychology Press.
518. Loftus, G. (1985) Evaluating forgetting curves. Journal of Experimental Psychology: Learning, Memory, and Cognition, 11(2),
397–406.
519. Wixted, J. T. & Ebbesen, E. B. (1991) On the form of forgetting. Psychological Science, 2(6), 409-415.
520. White, K. G. (1985) Characteristics of forgetting functions in delayed matching-to-sample. Journal of the Experimental Analysis of
Behavior, 44(1), 15-34.
521. Rubin, D. C. (1982) On the retention function for autobiographical memory. Journal of Verbal Learning & Verbal Behavior,
21(1), 21-38.
522. Rubin, D. C., Hinton, S. & Wenzel, A. (1999) The precise time course of retention. Journal of Experimental Psychology:
Learning, Memory and Cognition, 25(5), 1161–1176.
523. Mensink, G. J. M. & Raaijmakers, J. G. W. (1988) A model for interference and forgetting. Psychological Review, 95(4), 434–455
524. Wickelgren, W. A. (1972) Trace resistance and the decay of long term memory. Journal of Mathematical Psychology, 9,418-455
525. Wickelgren, W. A. (1974) Single-trace fragility theory of memory dynamics. Memory & Cognition, 2(4), 775–780.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 362
R References |اﳌﺮاﺟﻊ
526. Nembhard, D. A. & Osothsilp, N. (2001) An empirical comparison of forgetting models. IEEE Transactions on Engineering
Management, 48(3), 283–291.
527. Nembhard, D. A. (2000) The effects of task complexity and experience on learning and forgetting: a field study. Human Factors,
42(2), 272–286.
528. Bäuml, K. H. & Kuhbandner, C. (2009) Positive moods can eliminate intentional forgetting. Psychonomic Bulletin Review, 16,
93-98.
529. Wheeler, M. A., Ewers, M., & Buonanno, J. F. (2003) Different rates of forgetting following study versus test trials. Memory,
11(6), 571-580.
530. Born, J., Rasch, B. & Gais, S. (2006) Sleep to remember. Neuroscientist, 12, 410–424.
531. Lister, R. G, Eckardt, M. J. & Weingartner, H. (1987) Ethanol intoxication and memory: recent developments and new
directions. In Recent Developments in Alcoholism, Vol. 5, ed. M Galanter, pp. 111–27. New York: Plenum
532. Slavin, R. E. (2005) Educational psychology. Prentice Hall.
533. Hollands J., & Wickens, C. D. (1999) Engineering psychology and human performance. Prentice Hall.
534. Ericsson, K. A. & Kintsch, W. (1995) Long-term working memory. Psychological Review, 102(2), 211-245.
535. Craik, F. I. M., & Tulving, E. (1975) Depth of processing and the retention of words in episodic memory. Journal of Experimental
Psychology: General, 104, 268-294.
536. Martin, A. & Chao, L. L. (2001) Semantic memory and the brain: structure and processes. Current Opinion in Neurobiology,
11(2), 194-201.
537. Cohen, M. D. & Bacdayan, P. (1994) Organizational routines are stored as procedural memory: Evidence from a laboratory study.
Organization Science, 5, (4), 554-568.
538. Voss, J. F., Wiley, J. & Carretero, M. (1995) Acquiring intellectual skills. Annual review of psychology, 46, 155-81.
539. Clark, J. M. & Paivio, A. (1991) Dual coding theory and education. Educational Psychology Review, 3(3), 149-210.
540. Sweller, J., Van Merriënboer, J. & Paas, F. (1998) Cognitive architecture and instructional design. Educational Psychology
Review, 10(3), 251–296.
541. Anderson J. R. (1985) Cognitive psychology and its implications. New York, Freeman and Company: W H.
542. Bahrick, H. P. & Hall, L. K. (1991) Lifetime maintenance of high School mathematics content. Journal of Experimental
Psychology: general, 120(1), 20-33.
543. George Siemens, Connectivism: A Learning Theory for the Digital Age, International Journal of Instructional Technology and
Distance Learning, Vol. 2 No. 1, Jan 2005, http://www.elearnspace.org/Articles/connectivism.htm [Online]
544. Hergenhahn, B. & Olson, M. H. (2004) An Introduction to Theories of Learning. Prentice Hall, 7th edition.
545. Kolb, D. A. (1984) Experiential learning: experience as the source of learning and development. Prentice-Hall.
546. Caine R. N. & Caine G, (1991) Making connections: Teaching and the human brain, Addison-Wesley.
547. Tynjälä, P. (1999) Towards expert knowledge? A comparison between a constructivist and a traditional learning environment in
the university. International Journal of Educational Research, 31(5), 357–442.
548. Richardson, V. (2003) Constructivist Pedagogy. The Teachers College Record.
549. Piaget, J. (1978) The development of thought: Equilibration of cognitive structures. Blackwell.
550. Brown, S., Collins, A. & Duguid, P. (1989) Situated cognition and the culture of learning. Educational research, 18, 32-42.
551. Steffe, L. P. & Gale, J. E. (1995) Constructivism in education. Lawrence Erlbaum Associates Inc.
552. Gergen, K. J. (1995) Social construction and the educational process. In: Stele, P. & Gale, J. Constructivism in education.
Hillsdale, NJ: Erlbaum. pp. 17-39.
553. Mayer, R. H. (1999) Designing instruction for constructivist learning. In: C. M. Reigeluth (ed.), Instructional-design theories and
models: A new paradigm of instructional theory Vol. II. Mahwah, NJ: Lawrence Earlbaum Associates. pp. 141–159.
554. Brooks, J. G. & Brooks M. G. (1993) In search of understanding: The Case for Constructivist Classrooms. Association for
Supervision and Curriculum Development, 1250 North Pitt Street, Alexandria, VA 22314.
555. Palincsar, A. S. (1998) Social constructivist perspectives on teaching and learning. Annual Review of Psychology, 49, 345–375.
556. Dewey J. (1938/1998). Experience and education: The 60th Anniversary Edition. Indianapolis, Kappa Delta Pi.
363 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
557. Vygotsky, L. S. (1978) Mind in society: the development of higher psychological processes. Harvard University, Press London.
558. Doolittle, P.E. (1999) Constructivism and online education, In: Proceedings of Online Conference on Teaching Online in Higher
Education, Fort Wayne, USA.
559. Bacon, S. B. (1987) The evolution of the outward bound process. [Online] Available from:
http://www.wilderdom.com/html/Bacon1987EvolutionOBProcess.htm [Accessed 20 November 2009].
560. Priest, S. and Gass, M. (1997) Effective leadership in adventure programming. Champaign, IL: Human Kinetics.
561. Von Glasersfeld, V.E. (1990). An Exposition of cnstructivism: Why some like it radical . Journal for Research in Mathematics
Education.
562. Lord, T.P. (1999). A comparison between traditional and constructivist teaching in environmental education. Journal of
Environmental Education, 30 (3), 22028.
563. Rutherford, P.M. (1999). The Effect of computer simulation and the learning cycle on students conceptual understanding of
Newton's three laws of motion (Sir lsacc Newton, Concept Mapping). Doctoral Dissertation, University of Missouri, DAI-A
69105, p. 1505, Nov 1999.
564. Yager, R. E., The Constructivist Learning Model.; Science Teacher, Vol. 58, No. 6, 1991, p. 52-57.
565. Carin, Arthur A., (1993). Teaching Science Through Discovery, New York: Macmillan Publishing Company.
566. Greenaway, R. (1995) Powerful learning experiences. PhD thesis, University of Lancaster, Centre for the Study of Management
Learning.
567. LEWIN K (1942) "Field Theory and Learning" in D Cartwright (ed.) Field Theory in Social Science: selected theoretical papers,
London; Social Science Paperbacks, 1951.
568. Pfeiffer, W. & Jones, J. E. (1975) A Handbook of structured experiences for human relations training. University Associates, La
Jolla, CA.
569. Joplin, L. (1981) On defining experiential education. Journal of Experiential Education, 4(1), 17-20.
570. Tennant, M. (1997) Psychology and Adult Learning. Second Edition. London: Routledge.
571. Felder, R., Woods, D., Stice, J, & Rugarcia, A. (2000) The future of engineering education, part 2. Teaching methods that work,
Chemical Engineering Education, 34(1), 26-39.
572. Bender, B. (2001) Concepts for purposive and motivational teaching and learning in engineering design courses. International
Journal of Engineering Education, 17(4-5), 336-341.
573. Moor, S. & Piergiovanni, P. (2003) Experiments in the classroom, examples of inductive learning with classroom-friendly
laboratory kits. Proceedings of the American Society for Engineering Education Annual Conference & Exposition.
574. Kamis, A. & Topi, H (2007) Network subnetting: an instance of technical problem solving in Kolb's experiential learning cycle.
Proceeding of Hawaii International Conference on System Sciences.
575. Lagoudas, D. C., Whitcomb, J. D., Miller, D. A., Lagoudas, M. Z. & Shryock, K. J. (2000) Continuum mechanics in a
restructured engineering undergraduate curriculum. International Journal of Engineering Education, 16 (4), 301–14.
576. Plett, G. L., Ziemer, R. E., Ciletti, M. D., Dandapani, R., Kalkur, T. & Wickert, M. A. (2006) Experiences in updating the ECE
curriculum with signal processing first and Kolb/4MAT pedagogy. Proceedings of the American Society for Engineering
Education Annual Conference and Exposition. Chicago, IL. June 18-21.
577. David, A., Wyrick, P. E. & Hilsen, L. (2002) Using Kolb’s cycle to round out learning. In: Proceedings of the American Society
for Engineering Education Annual Conference, Montréal, Quebec, Canada.
578. Stice, J. E. (1987) Using Kolb’s learning cycle to improve student learning. Engineering Education, 77(5), 291–96.
579. McCarthy, B. (1986) The 4MAT system: Teaching to learning styles with right-left mode techniques. EXCEL Inc., Barrington,
IL.
580. Kolb, D. A. (1999) The Kolb Learning Style Inventory, Version 3. Boston: Hay Group.
581. Felder, R. M. & Silverman, L. K. (1988) Learning and teaching styles in engineering education. Engineering Education, 78, (7),
674–681.
582. Solomon, B. & Felder, R. (2004) Index of learning Styles. http://www.engr.ncsu.edu/learningstyles/ilsweb.html
583. Fleming, N. D. & Mills, C. (1992). Not another inventory, rather a catalyst for reflection. To Improve the Academy,11,133–149
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 364
R References |اﳌﺮاﺟﻊ
584. Loo , R (2004) . Kolb's Learning Styles and Learning Preferences : Is There a Linkage ? , Educational Psychological , Vol.24 , No.1
, pp.99-108.
585. Duff , A (2004) . A Note on the Problem Solving Style Questionnaire : An Alternative to Kolb's Learning Style Inventory ? ,
Educational Psychological , Vol.24 , No.5 , pp.699-709.
586. Kolb , D & McCarthy , B (2005). Learning Styles Inventory Adapted. WWW.ace.salford .ac.uk .
587. Entwistle , N(1981). Styles Of Learning and Teaching , New York , John Wiley & Sons
588. Zwanenberg , N & Wilkinson , L and Anderson , A (2000) . Felder and Silverman's Index of Learning Styles and Honey and
Munford's Learning Styles Questionnaire : how do they Compare and do they Predict Academic Performance ? , Educational
Psychological , Vol.20 , No.3, pp.365-380.
589. Jones BF, Rasmussen CM, Moffitt MC 1997. Real-life problem solving.: A collaborative approach to interdisciplinary learning.
Washington, DC: American Psychological Association.
590. Thomas, J. W., Mergendoller, J. R., and Michaelson, A. (1999). Project-based learning: A handbook for middle and high school
teachers. Novato, CA: The Buck Institute for Education.
591. Moursund D 1999. Project-based learning using information technology, International Society for Technology in Education.
592. Diehl, W., Grobe, T., Lopez, H., & Cabral, C. (1999). Project-based learning: A strategy for teaching and learning. Boston, MA:
Center for Youth Development and Education, Corporation for Business, Work, and Learning.
593. Thomas JW, San Rafael CA 2000. A review of research on project-based learning, Autodesk Foundation. Retrieved April, 2000.
594. Torp L, Sage S 1998. Problems as possibilities: Problem-based learning for K-12 education. Alexandria, VA: Association for
Supervision and Curriculum Development.
595. Macias-Guarasa et al., 2006 J. Macias-Guarasa, J.M. Montero, R. San-Segundo, A. Araujo and O. Nieto-Taladriz, A project
based learning approach to design electronic systems curricula, IEEE Transactions on Education Vol 49 (2006), pp. 389–398.
596. Kumar, M. & Natarajan, U. (2007) 'A problem-based learning model: showcasing an educational paradigm shift', Curriculum
Journal, 18:1, 89 – 102.
597. Gallagher, S. A., Stepien, W. J., & Rosenthal, H. (1992). The effects of problem-based learning on problem solving. Gifted Child
Quarterly, 36, 195-200.
598. Engel, C. E. (1997). Not just a method but a way of learning. In D. Boud & G. Feletti (Eds.), The challenge of problem-based
learning (2nd ed.; pp. 17–27). London: Kogan Page.
599. Gagné, E. D., Yekovich, C. W., & Yekovich, F. R. (1993). The cognitive psychology of school learning (2nd ed.). New York:
HarperCollins College Publishers.
600. Poikela, E., & Poikela, S. (1997). Conceptions of learning and knowledge: Impacts on the implementation of problem-based
learning. Zeitschrift fur Hochschuldidactic, 21(1), 8–21.
601. Segers, M. (1997). An alternative for assessing problem-solving skills: The overall test. Studies in Educational Evaluation, 23(4),
373–398.
602. Cawley, P. (1989). The introduction of a problem-based option into a conventional engineering degree course. Studies in Higher
Education, 14, 83–95.
603. Mills, J. E. & Treagust, D. F. (2004). Engineering education: is problem-based or project-based learning the answer? Australasian
Journal of Engineering Education, 2003–04, online publication. Retrieved 14 February 2007, from
http://www.aaee.com.au/journal/2003/mills_treagust03.pdf
604. Gonzalez V and Musa, 2005. A development of a communication course integrating a virtual laboratory and complex simulations.
Proceedings of the American society for engineering education annual conference & exposition.
605. Chu RH and Lu DD, 2008. project based lab learning teaching for power electronics and drives. IEEE Transactions on Education,
Vol. 51, No.1, 108-113.
606. Fincham, A. & Shuler, C. (2001) The Changing Face of Dental Education:the Impact of PBL, Journal of Dental Education, 65 (5),
406-421
607. Kumar, M. & Natarajan, U. (2007) 'A problem-based learning model: showcasing an educational paradigm shift', Curriculum
Journal, 18:1, 89 – 102
365 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
608. Neufeld, V. R., & Barrows, H. S. (1974). The McMaster philosophy: An approach to medical education. Journal of Medical
Education, 49(11):1040–1050.
609. Roschelle, J. (1999). Transitioning to professional practice: A Deweyan view of five analyses of problem-based learning. Discourse
Processes: A Multidisicplinary Journal, 27(2), 231–240.
610. Ellis, R. (2003). Task-based language learning and teaching. Oxford: Oxford University Press.
611. Skehan, P. (1998). Task-based instruction. Annual Review of Applied Linguistics, 18, 268–286.
612. Willis, J. (1996). A framework for task-based learning. London: Longman.
613. Garner, M., & Borg, E. (2005). An ecological perspective on content-based instruction. Journal of English for Academic Purposes,
4(2), 119–134.
614. Rodgers, D. M. (2006). Developing content and form: Encouraging evidence from Italian content-based instruction.Modern
Language Journal, 90(3), 373–386.
615. Ertmer, P. A., Lehman, J., Park, S. H., Cramer, J., & Grove, K. (2003). Barriers to teachers’ adoption and use of technology in
problem-based learning. Proceedings of the Association for the Advancement of Computing in Education (AACE) Society for
Information Technology and Teacher Education (SITE) International Conference, 1761–1766.
616. Albion, P. R., & Gibson, I. W. (1998). Designing multimedia materials using a problem-based learning design. Retrieved
February 16, 2007, from www.usq.edu.au/users/albion/ papers/ascilite98.html
617. Boud, D. (1985). Problem-based learning in perspective. In D. Boud (Ed.), Problem-based learning in education for the
professions (pp. 13–18). Sydney, Australia: Higher Education Research Society of Australasia.
618. Butler, S. M. (2003). Designing a technology-based science lesson: Student teachers grapple with an authentic problem of practice.
Journal of Technology and Teacher Education, 11(4), 463–481.
619. I. Alarcão, “Changing to project-based learning. The role of institutional leadership and faculty development”, in Management of
change – Implementation of problem-based and project-based learning in engineering, Graaff and Kolmos (Eds.), Sense Publishers,
Rotterdam/Taipei (2006).
620. J.M. Oliveira, “Project based learning in engineering: the Águeda experience”, in Management of change – Implementation of
problem-based and project-based learning in engineering, Graaff and Kolmos (Eds.), Sense Publishers, Rotterdam/Taipei (2006).
621. Leite, Walter L.; Svinicki, Marilla; and Shi, Yuying: Attempted Validation of the Scores of the VARK: Learning Styles Inventory
With Multitrait–Multimethod Confirmatory Factor Analysis Models, pg. 2. SAGE Publications, 2009.
622. Thomas F. Hawk, Amit J. Shah (2007) "Using Learning Style Instruments to Enhance Student Learning" Decision Sciences Journal
of Innovative Education doi:10.1111/j.1540-4609.2007.00125.x
623. Rompelman, O. & de Graaff, E. (2006) The engineering of engineering education: Curriculum development from a designer’s
point of view. European Journal of Engineering Education, 31(2), 215–226.
624. Abdulwahed M., Nagy Z. K. & Blanchard R. E. (2009) Constructivist project based learning design, a cybernetics approach.
Journal of Education, Information and Cybernetics, 1(2), 1-8.
625. Hattie, J. & Temperley, H. (2007) The power of feedback. Review of Educational Research, 77(1), 81–112.
626. Shute, V. J. (2008) Focus on formative feedback. Review of Educational Research, 78(1), 153–189.
627. Zimmerman, B. J. and Martinez Pons, M. (1988) Construct validation of a strategy model of student self-regulated learning.
Journal of Educational Psychology, 80(3), 284–290.
628. Butler, D. L. & Winne, P. H. (1995) Feedback and self-regulated learning: A theoretical synthesis. Review of Educational
Research, 65(3), 245–281.
629. Gustafson, K. L., & Branch, R. (1997) Survey of instructional development models. Syracuse University, NY: ERIC
Clearinghouse on Information Resources.
630. Dick, W., Carey, L. & Carey, J. O. (2001) The systematic design of instruction (5th ed.). New York: Addison, Wesley, Longman.
631. Goodwin, G. C., Graebe, S. F. & Salgado, M. E. (2001) Control system design. Australia, Prentice Hall.
632. Åström, K. J. & Murray, R. M. (2008) Feedback systems: an introduction for scientists and engineers. New Jersey, Princeton
University Press.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 366
R References |اﳌﺮاﺟﻊ
633. Johnson, D. W. & Johnson, R. T. (1993) Cooperative learning and feedback in technology-based instruction. In: J. V. Dempsey
& G. C. Sales (Eds.), Interactive instruction and feedback. Englewood Cliffs, NJ: Educational Technology. pp. 133–157.
634. Jonassen, D. H. (1999) Designing constructivist learning environments. In: C. M. Reigeluth (Ed.), Instructional-design theories
and models: A new paradigm of instructional theory Vol. II. Mahwah, NJ: Lawrence Earlbaum Associates. pp. 215–239.
635. Willis, J. (2000) The maturing of constructivist instructional design: Some basic principles that can guide practice. Educational
Technology, 40(1), 5–16.
636. Collis, B., De Boer, W. & Slotman, K. (2001) Feedback for web-based assignments. Journal of Computer Assisted Learning, 17,
306–313.
637. Race, P, (2005) Making Learning Happen. Sage (Paul Chapman) Publications.
638. Hanna, G. S. (1976) Effects of total and partial feedback in multiple choice testing upon learning. Journal of Educational Research,
69, 202–205.
639. Krause, U.-M., Stark, R., & Mandl, H. (2009). The effects of cooperative learning and feedback on e-learning in statistics.
Learning and Instruction, 19(2), 158–170.
640. Sterman, J. D. (2006) Learning from evidence in a complex world. American Journal of Public Health, 96(3), 505-514.
641. Pridemore, D. R. & Klein, J. D. (1995) Control of practice and level of feedback in computer-based instruction. Contemporary
Educational Psychology, 20, 444–450.
642. Moreno, R. (2004) Decreasing cognitive load for novice students: effects of explanatory versus corrective feedback in discovery-
based multimedia. Instructional Science, 32, 99-113.
643. Earley, P. C., Northcraft, G. B., Lee, C. & Lituchy, T. R. (1990) Impact of process and outcome feedback on the relation of goal
setting to task performance. Academy of Management Journal, 33(1), 87–105.
644. Locke, E. A. & Latham, G. P. (1990) A theory of goal setting and task performance. Englewood Cliffs, NJ: Prentice Hall.
645. Black, P., Harrison, C., Lee, C., Marshall, B., & Wiliam, D. (2003) Assessment for learning: Putting it into practice. Buckingham,
Open University Press.
646. Wiliam, D. (2000) Formative assessment in mathematics part 3: the learner’s role. Equals: Mathematics and Special Educational
Needs, 6(1), 19–22.
647. Black, P. & Wiliam, D. (2009) Developing the theory of formative assessment. Education Assessment Evaluation and
Accountability, 21(1), 5–31.
648. van Hattum-Janssen, N. & Lourenço, J. M. (2006) Explicitness of criteria in peer assessment processes for first-year engineering
students. European Journal of Engineering Education, 31(6), 683-691.
649. Juwah, C., Macfarlane-Dick, D., Matthew, B., Nicol, D., Ross, D. & Smith, B. (2004) Enhancing student learning through
effective formative feedback. In: The Higher Education Academy Generic Centre - June 2004.
650. Nicol, D, J., & Macfarlane-Dick, D. (2006) Formative assessment and self-regulated learning: A model and seven principles of
good feedback practice. Studies in Higher Education, 31(2), 199-216.
651. Sadler, D. R. (1989) Formative assessment and the design of instructional systems. Instructional Science, 18(2), 119-144.
652. Zimmerman, B. (2008) Investigating self-regulation and motivation: Historical background, methodological developments, and
future prospects. American Journal of International Research, 45(1), 166–183.
653. Zimmerman, B. J. & Martinez-Pons, M. (1986) Development of a structured interview for assessing students’ use of self-regulated
learning strategies. American Educational Research Journal, 23(4), 614–628.
654. Weinstein, C. E., Schulte, A. C. & Palmer, D. R. (1987) LASSI: Learning and study strategies inventory. Clearwater, FL: H.&H
655. Pintrich, P. R., Smith, D. A. F., Garcia, T. & McKeachie, W. J. (1993) Reliability and predictive validity of the motivated
strategies for learning questionnaire (MLSQ). Educational and Psychological Measurement, 53(3), 801–813.
656. Pintrich, P. R. (2000) The role of goal orientation in self-regulated learning. In: M. Boekaerts, P. Pintrich, & M. Zeidner (Eds.),
Handbook of self-regulation.
657. Boekaerts, M., Pintrich, P., & Zeidner, M. (2000). Handbook of self-regulation. San Diego, CA, Elsevier Academic Press.
658. Pintrich, P. R. & Zusho, A. (2002) Student motivation and self-regulated learning in the college classroom. In: J. C. Smart and
W.G. Tierney (Eds) Higher Education: Handbook of Theory and Research, Volume XVII. New York, Agathon Press.
367 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
659. Paris, S. G. & Byrnes, J. Y. P. (1989) The constructivists approach to self-regulation of learning in the classroom. In: B.J.
Zimmerman & D.H. Schunk (eds.) Self-regulated Learning and Academic Achievement. New York: Springer-Verlag, pp. 169-
200.
660. Zimmerman, B. J. (2000). Attainment of self-regulation: A social cognitive perspective. In: M. Boekaerts, P. Pintrich, & M.
Zeidner (Eds.), Handbook of self-regulation, research, and applications. Orlando, FL: Academic Press. pp 13-39.
661. Borkowski, J. G., Chan, L. K. S., & Muthukrishna, N. (2000). A process-oriented model of metacognition: links between
motivation and executive functioning. In: G. Schraw and J. Impara (eds), Issues in the Measurement of Metacognition. Lincoln,
NE: Buros Institute of Mental Measurements, University of Nebraska
662. Zimmerman, B. J., Bonner, S. & Kovach, R. (1996). Developing self-regulated learners: Beyond achievement to self-efficacy.
Washington, DC: American Psychological Association.
663. Puustinen, M. & Pulkkinen, L. (2001) Models of self-regulated learning: A review. Scandinavian Journal of Educational Research,
45(3), 269-286.
664. Gagne, R. M., Wager, W. W., Gola, K. C. & Keller, J. M. (2005) Principles of instructional design. Belmont, CA:
Wadsworth/Thompson Learning.
665. Reigeluth, C. M. (1999) What is instructional-design theory and how is it changing? In C.M. Reigeluth, ed., Instructional-Design
Theories and Models: A New Paradigm of Instructional Theory, Vol. II. Mahwah, NJ: Lawrence Erlbaum Associates. pp. 5-29.
666. Silvern, L. C. (1965) Basic analysis. Los Angeles, California: Education and Training Consultants Company.
667. Gagné, R. M. & Briggs, L. J. (1979) Principles of instructional design. New York: Holt, Rineholt, & Winston.
668. Rothwell, W.J. & Kazanas, H.C. (1997) Mastering the instructional design process (2nd ed.). San Francisco, CA: Jossey-Bass.
669. Ison, R. L., Blackmore, C. P., Collins, K. & Furniss, P. (2007) Systemic environmental decision making: designing learning
systems. Kybernetes, 36(9-10), 1340–1361
670. Craik, F. I. M. & Lockhart, R. S. (1972) Levels of processing: A framework for memory research. Journal of Verbal Learning and
Verbal Behavior, 11, 671-684.
671. Dempster, F. N. & Corkill, A. J. (1999) Interference and inhibition in cognition and behavior: Unifying themes for educational
psychology. Educational Psychology Review, 11, 1–88.
672. Mills, J. E. & Treagust, D. F. (2003) Engineering education—Is problem-based or project-based learning the answer? [Online]
Available from: http://www.aaee.com.au/journal/ 2003/mills_treagust03.pdf. [Accessed 25th January 2010].
673. Jarvis, P. (2001) Educating reluctant engineering educators, IEE International Symposium on Engineering Education: Innovations
in Teaching, Learning and Assessment, 2, 29/1-29/6.
674. Blumenfeld, P., Soloway, E., Marx, R., Krajcik, J., Guzdial, M. & Palincsar, A. (1991) Motivating project-based learning:
Sustaining the doing, supporting the learning. Educational Psychologist, 26 (3&4), 369-398.
675. Polman, J. L. (1999) Designing project-based science: Connecting learners through guided inquiry. New York: Teachers College
Press.
676. Thomas, J. W. & San Rafael, C. A. (2000) A review of research on project-based learning. Autodesk Foundation. San Rafael,
California. pp. 1-45.
677. Dechsri, P., Heikkinen, H. W. & Jones, L. L. (1997) Effect of a laboratory manual design incorporating visual information-
processing aids on student learning and attitudes. Journal of Research in Science Teaching, 34(9), 891–904.
678. Johnstone, A. H. & Al-Shuaili, A. (2001) Learning in the laboratory; some thoughts from the literature. Royal Society of
Chemistry, 5(2), 42-91
679. Hofstein A. & Lunetta, V. N. (2004) The laboratory in science education: Foundations for the twenty-first century. Laboratory of
Science Education, 88(1), 28-54.
680. Feisel, L. D. & Rosa, A. J. (2005) The role of the laboratory in undergraduate engineering education. Journal of Engineering
Education, 94(1), 121-130.
681. Kirschner, P. A. & Meester, M. A. M. (1988) The laboratory in higher science education, problems, premises, and objectives.
Higher Education, 17(1), 81-98.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 368
R References |اﳌﺮاﺟﻊ
682. Ma, J. & Nickerson, J. V. (2006) Hands-on, simulated, and remote laboratories: A comparative literature review. ACM Computer
Survey, 38(3), 1-24.
683. Chu, R. H. & Lu, D. D. (2008) Project based lab learning teaching for power electronics and drives. IEEE Transactions on
Education, 51(1), 108-113.
684. Tan, K. K., Lee, T. H. & Leu, F. M. (2000) Development of a distant laboratory using LabVIEW. International Journal of
Engineering Education, 16(3), 273–282.
685. Corter, J. E., Nickerson, J. V., Esche, S. K. & Chassapis, C. (2004) Remote versus hands-on labs: a comparative study.
ASEE/IEEE Frontiers in Education, 2, 17-21.
686. Hofstein, A., & Lunetta, V. N. (1982) The role of the laboratory in science teaching: neglected aspects of research. Review of
Educational Research, 52(2), 201-17.
687. Feisel, L. D. & Peterson, G. D. (2002) A Colloquy on Learning Objectives For Engineering Education Laboratories. In:
Proceedings of the ASEE Annual Conference & Exposition: Vive L'ingenieur!, Montreal Canada, 16-19 June.
688. Wankat, P. C. (2004) Analysis of the first ten years of the Journal of Engineering Education. Journal of Engineering Education,
93(1), 13-21.
689. Berg, C. A. R., Bergendahl, V. C. B., Lundberg, B. K. S., & Tibell, L. A. E. (2003) Benefiting from an open ended experiment?
A comparison of attitudes to, and outcomes of, an expository versus an open-inquiry version of the same experiment. International
Journal of Science Education, 25(3) 351–372.
690. Heise, D. (2006) Asserting the inherent benefits of hands-on laboratory projects vs. computer simulations. Journal of Computing
Sciences in Colleges, 21 (4), 104–10.
691. Nagy, Z. K. & Agachi, S. P. (2004) Internet-based interactive remote laboratory for educational experiments. In: Proceedings of
the AIChE Annual Meeting, Austin, TX, USA, Paper 528E, [CD-ROM].
692. Blanchard, R. E., Moron-Garcia, S. D. & Bates, M. R., (2006) Converting the physical to the virtual: Providing a laboratory
experience for distance learners in engineering. In: Current Developments in Technology-Assisted Education, Formatex, IV
International Conference on Multimedia and ICTs in Education, Seville, Spain, November. pp. 1-5.
693. Lindsay, E. D. & Good, M.C. (2005) Effects of laboratory access modes upon learning outcomes. IEEE Transactions on
Education, 48(4), 619-631.
694. Karady, G. G., Heydt, G. T., Olejniczak, K. J., Mantooth, H. A., Iwamoto, S. & Crow, M. L. (2000a) Role of laboratory
education in power engineering: Is the virtual laboratory feasible? I. In: Proceedings of the IEEE Power Engineering Society
Summer Meeting. pp 1471–1477.
695. Aktan, B., Bohus, C. A. & Shor, M. H. (1996) Distance learning applied to control engineering Laboratories. IEEE Transactions
on Education, 39(3), 320-326.
696. Arpaia, P., Baccigalupi, A., Cennamo, F. & Daponte, P. (2000) A measurement laboratory on geographic network for remote test
experiments. IEEE Transaction Instrumentation and Measurement, 49(5), 992–997.
697. Bauchspiess, A., Guimaraes, B. & Gosmann, H. L. (2003) Remote experimentation on three coupled water reservoirs. In:
Proceedings of the IEEE International Symposium on Industrial Electronics. pp. 572–577.
698. Callaghan M. J., Jim, H., Martin, M. T. & Maguire, L. P. (2008) Intelligent user support in autonomous remote experimentation
environments. IEEE Transactions on Industrial Electronics, 55(6), 2355-2367.
699. de Kort, Y. A. W., Ijsselsteijn, W. A., Kooijman, J. & Schuurmans, Y. (2003) Virtual laboratories: Comparability of real and
virtual environments for environmental psychology. Presence, 12(4), 360–373.
700. Witmer, B. G., Bailey, J. H., & Knerr, B. W. (1996) Virtual space and real world places: transfer of route. International Journal in
Human-Computer Studies, 45(4), 413-428.
701. Papert, S. (1980) Mindstorms. children, computers and powerful Ideas. New York: Basic books.
702. Hmelo, C. E., Holton, D. L., & Kolodner, J. L. (2000) Designing to learn about complex systems. The Journal of the Learning
Sciences, 9(3), 247–298.
703. Roth, W.M. (1994) Experimenting in a constructivist high school physics laboratory. Journal of Research in Science Teaching,
31(2), 197–223.
369 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
704. Gunstone, R. F. (1991) Reconstructing theory from practical experience. Practical Science.
705. Guimaraes, E., Maffeis, A., Pereira, J., Russo, B., Cardozo, E., Bergerman, M. and Magalhaes, M. F. (2003) REAL: A virtual
laboratory for mobile robot experiments. IEEE transactions on education, 46(1), 37-42.
706. Smith, P. R. (1992) Computer mediated learning in engineering education. Computers & Education, 19(1-2), 37-47.
707. Engelbart, D. C. (1962) Augmenting human intellect: A conceptual framework. Stanfrod Research Institute Menlo Park,
California.
708. Hunka, S. M. & Buck, G. H. (1992) The rise and fall of CAl at the University of Alberta's Faculty of Education. Canadian Journal
of Educational Communication, 21(2), 153 - 170.
709. Smith, P. R. (1976) Computers in engineering education in the United Kingdom. Computers & Education, 1(1), 13-21.
710. Smith, P. R. (1981) Computer graphics in nuclear engineering education at Queen Mary College. Computers & Education, 5(4),
265-274.
711. Gosman, A. D., Launder, B. E., Lockwood, F. C. & Reece, G. J. (1977) Computer assisted teaching of fluid mechanics and heat
transfer. Computers & Education, 1(3), 131-139.
712. Nagel, L. W. (1975) SPICE 2—A computer program to simulate semiconductor circuits. University of California, Electrical
Engineering Computer Science. Berkeley, CA, Memo M520, UCB/ERL.
713. Hites, M., Sekerak, M. & Sanders, L. (1999) Implementing and evaluating web-based “Hands-on” laboratories for undergraduate
education. In: Proceedings of the ASEE IL/IN Sectional Conference, DeKalb, IL, USA, March 11-12.
714. Campbell, D. R. (1985) Interactive graphics software for an undergraduate course in digital signal processing, Computers &
Education, 9(2), 79-86.
715. Gladwin, R. P., Margerison, D. & Walker, S. M. (1992) Computer-assisted learning in chemistry. Computers & Education, 19(1-
2), 17-25.
716. Ingram, D., Dickinson, C. J., Saunders, L., Sherriff, M., Bloch, R., Sweeney, G. & Ahmed, K. (1979) Application of a
pharmacokinetic simulation program. Pharmacy Courses Computers & Education, 3(4), 335-345.
717. Kinzel, G. L., Charles, J. A. & John, J. E. A. (1981) The impact of computer graphics on mechanical engineering education at the
Ohio State University. Computers & Education, 5(4), 275-287.
718. Laghari, J. R., Suthar, J. L. & Cygan, S. (1990) PSPICE applications in high voltage engineering education. Computers &
Education, 14(6), 455-462.
719. Prigozy, S. (1989) Novel applications of SPICE in engineering education. IEEE Transactions on Education, 32(1), 35-38.
720. Chamas, I. & Nokali, M. A. E. (2004) Automated PSpice simulation as an effective design tool in teaching power electronics.
IEEE Transactions on Education, 47(3), 415-421.
721. Adams, J. A. (1981) Kinematics with computer graphics. Computers & Education, 5(4), 245-264.
722. Shute, V. J. & Gawlick-Grendell, L. A. (1994) What does the computer contribute to learning? Computers & Education: An
International Journal, 11(23), 177-186.
723. Tjaden, B. J. & Dianne, M. C. (1995) Learning effects of CAI on college students. Computers & Education, 24(4), 271-277.
724. Jimoyiannis, A. & Komis, V. (2001) Computer simulations in physics teaching and learning: a case study on students'
understanding of trajectory motion. Computers & Education, 36(2), 183-204.
725. Eckhoff, E. C., Eller, V. M., Watkins, S. E. & Hall, R. H. (2002) Interactive virtual laboratory for experience with a smart bridge
test. In proceedings of the American Society for Engineering Education Annual Conference & Exposition, Montre´al, Quebec,
Canada, June 16-19.
726. McAteer, E., Neil, D., Barr, N., Brown, M., Draper, S. & Handerson, F. (1996) Simulation software in a life sciences practical
laboratory. Computers & Education, 26(1-3), 101-112.
727. Dobson, E. L., Hill, M. & Turner, J. D. (1995) An evaluation of the student response to electronics teaching using a CAL package.
Computer and Education, 25(1–2), 13–20.
728. Magin, D. J. & Kanapathipillai, S. (2000) Engineering students’ understanding of the role of experimentation. European Journal of
Engineering Education, 25(4), 351–358.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 370
R References |اﳌﺮاﺟﻊ
729. Engum, S. A., Jeffries, P. & Fisher, L. (2003) Intravenous catheter training system: Computer-based education versus traditional
learning methods. The American Journal of Surgery, 186(1) 67-74.
730. Raineri, D. (2001) Virtual laboratories enhance traditional undergraduate biology laboratories. Biochemistry and Molecular
Biology Education, 29(4), 160-162.
731. Ronen, M. & Eliahu, M. (2000) Simulation—a bridge between theory and reality: The case of electric circuits. Journal of
Computer Assisted Learning, 16 (1), 14–26.
732. Spicer, J. I. & Stratford, J. (2001) Student perceptions of a virtual field trip to replace a real field trip. Journal of Computer Assisted
Learning, 17(4), 345-54.
733. Sicker, D. C., Lookabaugh, T., Santos J. & Barnes, F. (2005) Assessing the effectiveness of remote networking laboratories. In:
Frontiers in Education. In: Proceedings of FIE 35th Annual Conference, 2005. pp. S3F-7-S3F-12.
734. Lang, D., Kamp, C., Jager, R., Geoffroy, D., Billaud, M. & Zimmer, T. (2007) Pedagogical evaluation of remote laboratories in
eMerge project. European Journal of Engineering Education, 32(1), 57–72.
735. Tzafestas, C. S., Palaiologou, N. & Alifragis, M. (2006) Virtual and remote robotic laboratory: comparative experimental
evaluation. IEEE Transactions on Education, 49(3), 360-369.
736. Aburdene, M. F., Mastascusa E. J. & Massengale R. (1991) A proposal for a remotely shared control systems laboratory. In:
Frontiers in Education Conference. Twenty-First Annual Conference - Engineering Education in a New World Order
Proceeding, West Lafayette, IN, USA. pp. 589-592.
737. Kondraske, G.V., Volz, R.A., Johnson, D.H., Tesar, D., Trinkle, J.C. & Price, C.R. (1993) Network-based infrastructure for
distributed remote operations and robotics research. IEEE transactions on robotics and automation, 9(5), 702-704.
738. Ogot, M., Elliot, G. & Glumac, N. (2002) Hands-on laboratory experience via remote control: Jet thrust laboratory. In: ASEE
Proceedings of the ASEE Annual Conference& Exposition.
739. LabShare (2010) The Australian laboratory sharing initiative. [Online] Available from: http://www.labshare.edu.au/ [Accessed 20
January 2010]
740. Bourne, J., Harris, D. & Mayadas, F. (2005) Online engineering education: Learning anywhere, anytime. JALN, 9(1), 15-41.
741. Srinivasagupta, D. & Babu, J. (2003) An Internet-mediated process control laboratory. IEEE Control System Mag., 23, 11-18.
742. Kwon, Y., Chiou, R., Rauniar, S. & Sosa, H. (2007) Remote quality control integrated with internet-based robotic systems.
Proceedings of the American society for engineering education annual conference and exposition.
743. VARK, (2009) A guide to learning styles. [Online] Available from: http://www.vark-learn.com/ [Accessed 20 January 2009]
744. Weenk, G. W. H. (1999) Learning pyramid. Educational Center, University of Twente.
745. Cohen L., Manion L., & Morrison K., (2005) Research methods in education. London: Routledge Falmer.
746. Howell, D. C. (1999) Fundamental statistics for the behavioural sciences. Brooks/Cole Publishing Company.
747. Conover, W.J. (1998) Practical nonparametric statistics. 3rd ed. Hoboken, NJ: John Wiley.
748. Dallal, G. E. (2003) Why p=0.05? http://www.jerrydallal.com/LHSP/p05.htm..
749. Stephen Gorard, “Quantitative Methods in Educational Research: The Role of Numbers Made Easy”, Continuum International
Publishing Group, August 2001, p.220, ISBN: 0826453074
750. IBM SPSS Statistics Family, http://www.spss.com/software/statistics/
751. Wolkenhauer, O., Sreenath, S. N., Wellstead, P., Ullah, M. & Cho, K.-H. (2005) A systems- and signal-oriented approach to
intracellular dynamics. Biochemical Society Transaction, 33, (3), 507–515.
752. Assmus, H. E., Herwig, R., Cho, K. H. & Wolkenhauer, O. (2006) Dynamics of biological systems: role of systems biology in
medical research. Expert Review of Molecular Diagnostic, 6(6), 891-902.
753. Kendrick, D. (2005) Stochastic control for economic models: past, present and the paths ahead. Journal of Economic Dynamic &
Control, 29(1-2), 3-30.
754. Sarychev, A., Shiryaev, A., Guerra, M. & Grossinho, M. D. R. (2008) Mathematical control theory and finance. Moscow, Russia.
Springer.
755. Kim, S. R. (2004) Uncertainty, political preferences, and stabilization: Stochastic control using dynamic CGE models.
Computational Economics, 24, 97–116.
371 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
756. Bond, C. A. (2008) On the potential use of adaptive control methods for improving adaptive natural resource management.
Working Paper. Fort Collins: Colorado State University.
757. Litoiu, M., Woodside, M. & Zheng, T. (2005) Hierarchical model-based autonomic control of software systems. In: DEAS ’05:
Proc 2005 Workshop on Design and Evolution of Autonomic Application Software. ACM Press. pp. 27-33.
758. Lu, C., Lu, Y., Abdelzaher, T. F., Stankovic, J. A. & Son, S. H. (2006) Feedback control architecture and design methodology for
service delay guarantees in web servers. IEEE Transaction on Parallel Distribution System, 17(9), 1014–1027.
759. Sun, Z., Sen, A. K. & Longman, R. W. (2006) Adaptive stochastic output feedback control of resistive wall modes in tokamaks.
Physics Plasmas, 13, 092508
760. Yeung, Y. (2006) Scientific modeling of technology-mediated collaborative learning processes. In: Proceeding of the 2006
Conference on Learning By Effective Utilization of Technologies: Facilitating intercultural Understanding R. Mizoguchi, P.
Dillenbourg, and Z. Zhu, Eds. Frontiers in Artificial Intelligence and Applications, vol. 151. IOS Press. Amsterdam, The
Netherlands. pp. 249-256.
761. Jensen, E. & Brehmer, B. (2003) Understanding and control of a simple dynamic system. System Dynamics Review, 19(2), 119–
137.
762. Senge, P. M. (2006) The Fifth Discipline, the Art & Practice of the Learning Organization. Random House Books; 2nd edition.
763. Moxnes, E. (2000) Not only the tragedy of the commons: misperceptions of feedback and policies for sustainable development.
Systems Dynamics Review, 16 (4), 325–348.
764. Sterman, J. D. (1989) Modeling managerial behavior: misperceptions of feedback in a dynamic decision making experiment.
Management Science, 35(3), 321–339.
765. Ebbinghaus, H. (1913) Memory: A contribution to experimental psychology (H. A. Ruger & C. E. Bussenius, Trans.). New
York: Teachers College Press, Columbia University. (Original work published 1885; reprint of translation published by Dover,
New York, 1964).
766. Miller, R. G., Ashar, B. H. & Getz, K. J. (2003) Evaluation of an audience response system for the continuing education of health
professionals. Journal of Continuing Education in the Health Professions, 23, 109–115.
767. Banks, D. A. (2006) Audience response systems in higher education: Applications and cases. London, UK. Information Science
Publishing.
768. Johnson, D. & McLeod, S. (2004) Get answers: using student response systems to see students’ thinking. Learning and Leading
with Technology, 32(4), 18–23.
769. Bunce, D. M., Van den Plas, J. R., & Havanki, K. L. (2006) Comparing the effectiveness on student achievement of a student
response system versus online WebCT quizzes. Journal of Chemical Education, 83(3), 488–493.
770. Kaleta, R. & Joosten, T. (2007) Student response systems: A University of Wisconsin study of clickers. Research Bulletin, Issue 6.
Boulder, CO: EDUCAUSE Center for Applied Research.
771. Beatty, I. D., Gerace, W. J., Leonard, W. J. & Dufresne, R. J. (2006) Designing effective questions for classroom response system
teaching. American Journal of Physics, 74(1), 31–39.
772. Fies, C. & Marshall, J. (2006) Classroom response systems: A review of the literature. Journal of Science Education and
Technology, 15(1), 101-109.
773. Cutts, Q., Kennedy, G., Mitchell, C. & Draper, S. (2004) Maximizing dialogue in lectures using group response systems.
Presented at 7th IASTED International Conference on Computer and Advanced Technology in Education, Hawaii. USA, August
16–18. [Online] Available from: www.dcs.gla.ac.uk/_quintin/papers/cate2004.pdf [Accessed 20 July 2006].
774. d’Inverno, R., Davis, H. & White, S. (2003) Using a personal response system for promoting student interaction. Teaching
Mathematics and its Applications, 22(4), 163–169.
775. Elliot, C. (2003) Using a personal response system in economics teaching. International Review of Economics Education, 1(1),
80–86.
776. Draper, S., Cargill, J., & Cutts, Q. (2002) Electronically enhanced classroom interaction. Australian Journal of Education
Technology, 18(1), 13–23.
777. Wit, E. (2003). Who wants to be. . The use of a personal response system in statistics teaching. MSOR Connections, 3(2), 14-20
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 372
R References |اﳌﺮاﺟﻊ
778. Robinson, C. & King, S. (2009) Introducing electronic voting systems into the teaching of mathematics. MSOR Connections,
9(1), 29-33.
779. Barber, M. & Njus, D. (2007) Clicker evolution: seeking intelligent design. CBE—Life Sciences Education, 6(1), 1–8.
780. Duncan, D. (2005). Clickers in the classroom: How to enhance science teaching using classroom response systems. New York:
Addison Wesley and Benjamin Cummings.
781. Wood, W. B. (2004) Clickers: a teaching gimmick that works. Development Cell, 7(6), 796–798.
782. Morling, B., McAuliffe, M., Cohen, L. & DiLorenzo, T. M. (2008) Efficacy of personal response systems (“clickers”) in large,
introductory psychology classes. Teaching of Psychology, 35, 45-50.
783. Alexander, C. J., Crescini, W., Lachman, N. & Pawlina, W. (2009) Classroom clickers provide reliable formative feedback: three
years’ experience in gross and microscopic anatomy curriculum. The FASEB Journal, 23, 476.1.
784. Roschelle, J., Penuel, W. R. & Abrahamson, L. (2004). Classroom response and communication systems: Research review and
theory. In: Annual Meeting of the American Educational Research Association, San Diego, CA.
785. Colwell, C., Scanlon, E. & Cooper, M. (2002) Using remote laboratories to extend access to science and engineering, Computer
and Education, 38(1–3), 65–76.
786. Beatty, I. D. (2004) Transforming student learning with classroom communication systems. EDUCAUSE Center for Applied
Research – Research Bulletin, 3, 1–13.
787. Brewer, C. (2004) Near real-time assessment of student learning and understanding in biology courses. BioScience, 54(11), 1034–
1039.
788. Johnstone, A. H. & Percival, F. (1976) Attention breaks in lectures. Education in Chemistry, 13(2), 49-50.
789. Middendorf, J. & Kalish, A. (1996) The change-up in lectures. The National Teaching and Learning Forum, 5(2), 1-5.
790. Kennedy, G. E. & Cutts, Q. I. (2005) The Association between Students' Use of an Electronic Voting System and their Learning
Outcomes. Journal of Computer Assisted Learning, 21(4), 260-268.
791. TurningPoint (2009) TurningPoint – interactive responses systems (School, Universities, Business). [Online] Available from:
http://www.turningtechnologies.co.uk. [Accessed 19 May 2009].
792. Draper, S. W. & Brown, M. I. (2004) Increasing interactivity in lectures using an electronic voting system. Journal of Computer
Assisted Learning, 20, 81–94.
793. Wood, D., Wood, H. & Middleton, D. (1978) An experimental evaluation of four face-to-face teaching strategies. International
Journal of Behavioral Development, 1(2), 131–147.
794. Caldwell, J., Zelkowski, J., & Butler, M. (2006) Using personal response systems in the classroom. [Online] Available from:
www.math.wvu.edu/_mbutler/CompAndTechSymp.pdf [Accessed 1 August 2006].
795. Sweller, J., Van Merriënboer, J., & Paas, F. (1998). Cognitive architecture and instructional design. Educational Psychology
Review, 10, 251–296.
796. Sweller J, 1999. Instructional design in technical areas. Camberwell, Australia: ACER Press.
797. MCS Electronic Inc., Bascom-AVR IDE, http://www.mcselec.com
798. LabCenter, Proteus VSM, http://www.labcenter.co.uk/index.cfm
799. Balid W., Embedded System Microcontroller Interactive Course using BASCOM-AVR, http://www.mcselec.com/index.php?
option=com_content&task=view&id=254&Itemid=67
800. Balid W., Embedded System Microcontroller Interactive Course using BASCOM-AVR, http://www.slideshare.net/alawail
/documents
801. W. Balid, I. Al-Rouh, A. Fatouh, " Design and Implementation of a Self-Learning Open-Source Interactive Kit for Teaching
Microcontroller Programming Based on Learning Methodologies", Researches Journal at Aleppo University, Engineering Sciences
Series, ver. 56 / (2009).
802. W. Balid, I. Al-Rouh, M. Alhaj Dibo, "YES, Constructivist Project Based Learning Could be the Magical Cure for Engaging
Future Engineers! Case of an Embedded Systems Course", Researches Journal at Aleppo University, Engineering Sciences Series,
in progress to be published in July 2010.
373 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
References | اﳌﺮاﺟﻊ
803. W. Balid, et al., "Multipurpose Open Source Embedded Systems Laboratory Kit for Engaging Students towards Experiential
Education", 2009 Annual ASEE (American Society for Engineering Education) Global Colloquium on Engineering Education,
Budapest, Hungary, Oct. 2009
804. W. Balid, et al., "The Impact of Different Pre-Lab Preparation Modes on Embedded Systems Hands-on Lab", 2009 Annual ASEE
(American Society for Engineering Education) Global Colloquium on Engineering Education, Budapest, Hungary, Oct. 2009
805. W. Balid, et al., "A Constructivist PBL Approach in Teaching Embedded Systems Hands-on Course, Comparative Study ", 2009
Annual ASEE (American Society for Engineering Education) Global Colloquium on Engineering Education, Budapest, Hungary,
Oct. 2009
806. W. Balid, et al., "An Assessment Rich PBL vs. Classical Teaching Approach, Case of an Embedded Systems Course", Proceedings
of the 2nd International Research Symposium on PBL, Melbourne, Australia, Dec. 2009
807. Ertmer, P., Newby, T. J., MacDougall, M., 1996 – Students’ responses and approaches to case-based instruction: The role of
reflective self-regulation. Am. Educ. Res. J. 33: 719–752.
808. Abrandt Dahlgren, M., and Dahlgren, L. O., 2002 – Portraits of PBL: Students’ experiences of the characteristics of problem-
based learning in physiotherapy, computer engineering, and psychology. Instr. Sci. 30: 111–127.
809. Albanese,M. A., and Mitchell, S., 1993 – Problem-based learning: A review of literature on its outcomes and implementation
issues. Acad. Med. 68: 52–81.
810. Jones. H., 2010 – National Curriculum tests and the teaching of thinking skills at primary schools - parallel or paradox? Education
3-13, Volume 38, (1), p 69-86.
811. Vernon, D. T., and Blake, R. L., 1993 – Does problem-based learning work?: A meta-analysis of evaluative research. Acad. Med.
68: 550–563.
812. Xilinx Spartan-3E FPGA Starter Kit Board User Guide; UG230 (v1.1) June 20, 2008
813. Finally, a VPN That Just Works, https://secure.logmein.com/US/products/hamachi2/
814. Zeroplus LAP-C(16128) Logic Analyzer, www.zeroplus.com.tw/logic-analyzer_en
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 374
A1 اﳌﻠﺤﻖ اﻷول| Appendix 1
@Ô‹j‘nèæa@ãÌÏ�n€aÎ@szj€a@÷bœeÎ@pbÓñÏn€aÎ@pbya6”¸aÎ@wˆbn‰€a
اﻟﺪراﺳﺔ اﻟﺒﺤﺜﻴﺔ اﻹﺣﺼﺎﺋﻴﺔ اﻟﻤﻘﺎرﻧﺔ ﻓﻲ اﻟﻔﺼﻞ اﻷول أﻛﺪت ﻋﻠﻰ أﳘﻴﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ وﻋﻠﻰ ﺿﺮورة ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﺗﻮاﻓﻖ
ﻣﺘﻄﻠﺒﺎت اﻟﺘﻘﺪم اﻟﺘﻜﻨﻮﻟﻮﺟﻲ ،وﻗﺪ ﻇﻬﺮ ﺟﻠﻴﺎً أن ﺗﻘﻨﻴﺔ اﻟـ FPGAﻫﻲ اﻟﺘﻘﻨﻴﺔ اﻟﱵ ﲢﺘﻞ أﻋﻠﻰ اﻷوﻟﻮﻳﺎت ﻛﺤﻞ ﺗﻜﻨﻮﻟﻮﺟﻲ ﻣﺮﺣﻠﻲ وﻣﺴﺘﻘﺒﻠﻲ
ﳌﻌﻈﻢ ﺗﻄﺒﻴﻘﺎت اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﺑﻜﺎﻓﺔ ﻓﺮوﻋﻬﺎ ﻣﻦ ﺗﻄﺒﻴﻘﺎت ﺻﻨﺎﻋﻴﺔ وﻃﺒﻴﺔ وﻋﺴﻜﺮﻳﺔ وﺧﺪﻣﻴﺔ وﻏﲑﻫﺎ ،وذﻟﻚ ﻧﻈﺮاً ﻟﻠﻤﺮوﻧﺔ اﻟﻜﺒﲑة ﰲ إﻋﺎدة
ﺗﺸﻜﻴﻞ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ،إﺿﺎﻓﺔً إﱃ ﺳﻌﺔ اﳌﻌﺎﳉﺔ اﻟﻌﺎﻟﻴﺔ ،وﻗﺎﺑﻠﻴﺔ إﻋﺎدة اﺳﺘﺨﺪام اﻟﻮﺣﺪات اﻟﱪﳎﻴﺔ " ،"IPsوﻏﲑﻫﺎ ﻣﻦ اﳌﻴﺰات
اﻟﱵ ﻛﺎﻧﺖ ﺳﺒﺒﺎً ﰲ ﺟﻌﻞ ﻫﺬﻩ اﻟﺘﻘﻨﻴﺔ اﳊﻞ اﻟﺒﺪﻳﻞ ﻟﺘﻘﻨﻴﺎت ﻋﺪﻳﺪة ﺳﺎدت ﻟﻌﻘﻮد ﻣﻦ اﻟﺰﻣﻦ.
اﻟﺪراﺳﺔ اﻟﺒﺤﺜﻴﺔ اﻹﺣﺼﺎﺋﻴﺔ اﻟﻤﻘﺎرﻧﺔ ﻓﻲ اﻟﻔﺼﻞ اﻟﺜﺎﻧﻲ ﺑﻴﻨﺖ دور اﺳﺘﺨﺪم ﺗﻘﻨﻴﺔ اﻟـ FPGAﻛﻮﺳﻴﻠﺔ ﺗﻌﻠﻴﻤﻴﺔ أﺳﺎﺳﻴﺔ ﰲ اﳌﺨﺘﱪات
اﳉﺎﻣﻌﻴﺔ ﻟﺘﻌﻠﻴﻢ اﻟﻄﻼب ﻣﺒﺎدئ ﺗﺼﻤﻴﻢ اﻟﺪارات اﳌﻨﻄﻘﻴﺔ ،ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﻟﺮﻗﻤﻴﺔ ،ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ،ﺑﺮﳎﺔ اﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة،
ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ ﻣﻌﺎﳉﺔ اﻹﺷﺎرة اﻟﺮﻗﻤﻴﺔ ،ﺣﻴﺚ أن اﺳﺘﺨﺪام اﻟـ FPGAﻛﻮﺳﻴﻠﺔ ﺗﻌﻠﻴﻤﺔ ﻳﺰود اﻟﻄﻼب ﺑﺎﻟﻔﺮﺻﺔ اﳌﺜﻠﻰ ﻟﻠﻌﻤﻞ ﻋﻠﻰ ﻣﺸﺎرﻳﻊ
ﻫﺎدﻓﺔ ذات ﺗﻄﺒﻴﻖ واﻗﻌﻲ وذو أﺛﺮ ﻣﻠﻤﻮس ،ﺑﺪﻻً ﻣﻦ اﺳﺘﺨﺪام ﺑﺮاﻣﺞ اﶈﺎﻛﺎة .وﻋﻠﻴﻪ ،وﻧﻈﺮاً ﻟﻠﺘﻮﺟﻪ اﻟﺘﻜﻨﻮﻟﻮﺟﻲ اﻟﻜﺒﲑ اﻟﺬي ﲢﺘﻠﻪ ﺗﻘﻨﻴﺔ
اﻟـ FPGAواﻟﺘﻄﻮر اﳌﺘﺴﺎرع ﳍﺬﻩ اﻟﺘﻘﻨﻴﺔ ،ﻓﺈن اﻟﻌﺪﻳﺪ ﻣﻦ اﻷﲝﺎث أﻛﺪت ﻋﻠﻰ ﺿﺮورة أﻧﻌﻜﺎس ﻫﺬﻩ اﻻﲡﺎﻫﺎت اﻟﺘﻜﻨﻮﻟﻮﺟﻴﺔ اﳉﺪﻳﺪة ﺣﻘﻴﻘﺔ
ﻋﻤﻠﻴﺔ ﰲ اﻷﻧﺸﻄﺔ اﻟﱰﺑﻮﻳﺔ ،وذﻟﻚ ﻣﻦ ﺧﻼل ﺗﺒﲏ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﺗﻮاﻓﻖ ﻣﺘﻄﻠﺒﺎت اﻟﺘﻘﺪم اﻟﺘﻜﻨﻮﻟﻮﺟﻲ وﺣﺎﺟﺔ اﻟﺼﻨﺎﻋﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻣﻦ
اﻟﻀﺮوري وﺟﻮد ﻣﺮاﺟﻌﺔ وﺗﻄﻮﻳﺮ ﻣﺴﺘﻤﺮ ﻟﻠﻤﻨﺎﻫﺞ اﻟﺪراﺳﻴﺔ اﳉﺎﻣﻌﻴﺔ ،ﺗﺪف إﱃ دﻣﺞ اﻟﺘﻄﻮرات اﳉﺪﻳﺪة ﻟﺘﻘﻨﻴﺔ اﻟـ FPGAsأدواﻬﺗﺎ وزﻳﺎدة
ﻓﺎﻋﻠﻴﺔ وﻓﺎﺋﺪة اﳋﱪة اﻟﻌﻤﻠﻴﺔ ﰲ اﶈﺎﺿﺮات اﻟﻨﻈﺮﻳﺔ ،وذﻟﻚ ﻣﻦ ﺧﻼل اﻋﺘﻤﺎد اﺳﱰاﺗﻴﺠﻴﺔ إﺿﺎﻓﺔ ﻣﻨﺎﻫﺞ ﺗﻌﻠﻴﻤﻴﺔ ﻟﺘﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ
ﺑﺎﺳﺘﺨﺪام ﺗﻘﻨﻴﺔ اﻟـ ،FPGAﲝﻴﺚ ﺗﺮﺗﻜﺰ ﻫﺬﻩ اﻻﺳﱰاﺗﻴﺠﻴﺔ ﻋﻠﻰ ﻃﺮاﺋﻖ اﻟﺘﺼﻤﻴﻢ وأدواﺗﻪ اﻟﻌﻤﻠﻴﺔ ﺑﺪﻻً ﻣﻦ اﻟﺪﻗﺎﺋﻖ اﻟﻨﻈﺮﻳﺔ ،ﲝﻴﺚ ﻳﻜﻮن
اﻟﻄﻼب أﻗﺮب ﻣﺎ ﳝﻜﻦ إﱃ اﻷدوات واﻻﺳﱰاﺗﻴﺠﻴﺎت اﻟﱵ ﺗﺴﺘﺨﺪم ﰲ اﻟﺼﻨﺎﻋﺔ ،وذﻟﻚ ﺑﺪف ﺗﻘﻠﻴﺺ اﻟﻔﺠﻮة ﺑﲔ اﳌﺆﺳﺴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ
واﻟﺼﻨﺎﻋﺔ ،ﻓﻼ ﻳﺒﻘﻰ اﻟﺘﺴﺎؤل اﶈﲑ ﻳﻄﺮق ﻣﺴﺎﻣﻌﻨﺎ ﻋﻠﻰ اﻟﺪوام ﺑـﺨﻄﺎب" :ﻛﻢ ﻣﻦ اﻟﻄﻼب واﳌﻬﻨﺪﺳﲔ ﰲ ﻓﺮوع اﻟﻌﻠﻮم اﳍﻨﺪﺳﻴﺔ ﰲ ﺟﺎﻣﻌﺎﺗﻨﺎ
ﻗﺎدرﻳﻦ ﻋﻠﻰ ﺗﺼﻤﻴﻢ أﻧﻈﻤﺔ اﻟـ!FPGA؟"
375 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
اﻟﺪراﺳﺔ اﻟﺒﺤﺜﻴﺔ اﻹﺣﺼﺎﺋﻴﺔ اﻟﻤﻘﺎرﻧﺔ ﻓﻲ اﻟﻔﺼﻞ اﻟﺜﺎﻟﺚ ﺟﺎءت ﻣﻜﻤﻠﺔ ﻟﺴﺎﺑﻘﺘﻬﺎ وأﻛﺪت ﻋﻠﻰ أن ﺗﺼﻤﻴﻢ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ أﺻﺒﺢ ﰲ ﻫﺬا
اﻟﻮﻗﺖ أﻣﺮاً أﺳﺎﺳﻴﺎً ﰲ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ اﳍﻨﺪﺳﻴﺔ ،وإن اﺳﺘﺨﺪام ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﻟﻨﺼﻴﺔ ﻳﻌﻴﻖ اﻟﻄﻼب واﻟﺒﺎﺣﺜﲔ ﻣﻦ اﻻﺳﺘﻔﺎدة ﻣﻦ
اﻟﻌﺪﻳﺪ ﺣﻠﻮل اﻟﻜﻴﺎن اﻟﺼﻠﺐ اﳌﺘﻮﻓﺮة ) (FPGAsواﻟﱵ ﳝﻜﻦ أن ﲡﻌﻞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ أﻛﺜﺮ ﻓﻌﺎﻟﻴﺔ وواﻗﻌﻴﺔ ،ﺣﻴﺚ أن ﻟﻐﺎت وﺻﻒ اﻟﻜﻴﺎن
ﻋﺎل ﻣﻦ اﳋﱪة ﰲ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﻟﻴﺘﻢ ﺗﻮﻇﻴﻔﻬﺎ ﺑﺸﻜﻞ ﻓﻌﺎل؛ اﻟﺴﺒﺐ اﻟﺬي ﳚﻌﻞ ﻣﻄﻮري اﻟﱪاﻣﺞ اﻟﺼﻠﺐ ) (HDLsﲢﺘﺎج إﱃ ﻣﺴﺘﻮى ٍ
اﳊﺎﺳﻮﺑﻴﺔ ﻳﻌﺎﻧﻮن ﻣﻦ ﻧﻘﺺ اﳋﱪة ﺣﻮل ﺗﻔﺎﺻﻴﻞ وﺗﻌﻘﻴﺪات ﺗﻄﻮﻳﺮ اﻟﻜﻴﺎن اﻟﺼﻠﺐ ﳑﺎ ﳛﺪ ﻣﻦ ﻘﺪرﻬﺗﻢ ﻋﻠﻰ ﺗﺼﻤﻴﻢ وﺗﻄﻮﻳﺮ ﺗﻄﺒﻴﻘﺎت
اﻟﻜﻴﺎن اﻟﺼﻠﺐ .وﻋﻠﻴﻪ ﻓﺈن اﻷﲝﺎث ﺗﺆﻛﺪ ﻋﻠﻰ ﺿﺮورة ﺗﻄﻮﻳﺮ وﺗﺒﲏ ﺑﻴﺌﺎت ﺑﺮﳎﻴﺔ ﺟﺪﻳﺪة ﻋﻠﻰ ﻣﺴﺘﻮى ﺟﺪﻳﺪ ،وذﻟﻚ ﺑﻌﻴﺪاً ﻋﻦ اﻟﻠﻐﺎت
اﻟﻨﺼﻴﺔ ﻣﺜﻞ :اﻟﺒﻴﺌﺎت اﻟﺮﺳﻮﻣﻴﺔ ﲝﻴﺚ ﳝﻜﻦ اﻟﱪﳎﺔ ﺑﻜﻼ اﳌﻨﺤﻴﲔ ﺑﻨﻔﺲ اﻟﻮﻗﺖ وﺿﻤﻦ ﺑﻴﺌﺔ ﺑﺮﳎﻴﺔ واﺣﺪة ،ﲟﺎ ﰲ ذﻟﻚ ﻣﺮاﺣﻞ اﻟﺘﺤﻠﻴﻞ
واﻟﻔﺤﺺ واﻟﺘﻨﻔﻴﺬ .ﻛﻤﺎ أﻧﻪ ﻣﻦ أﺟﻞ ﺑﺮﳎﺔ اﻷﻧﻈﻤﺔ اﳌﺪﳎﺔ ﻋﻤﻮﻣﺎً ،وﺗﻘﻨﻴﺔ اﻟـ FPGAﻋﻠﻰ ﳓﻮ ﺧﺎص ،ﻓﺈﻧﻪ ﻣﻦ اﻟﻀﺮوري ﺟﺪاً وﺟﻮد ﲢﻮل
أو اﻧﺘﻘﺎل ﺟﺬري ﰲ اﳌﻨﻬﺠﻴﺔ اﻟﱪﳎﻴﺔ اﳌﺘﺒﻌﺔ ﻣﻦ ﺧﻼل ﻟﻐﺎت اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ وذﻟﻚ ﻧﻈﺮاً ﻻرﺗﻜﺎزﻫﺎ ﻋﻠﻰ ﻣﻨﻬﺠﻴﺔ ﺗﺪﻓﻖ اﻟﺒﻴﺎﻧﺎت
) .(Dataflowﻟﻘﺪ أﺛﺒﺘﺖ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ) (LabVIEWﻓﻌﺎﻟﻴﺘﻬﺎ ﻋﻠﻰ ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﻨﺼﻴﺔ ،ﻛﻤﺎ أ�ﺎ أﺳﺮع ﲞﻤﺲ إﱃ ﻋﺸﺮ
ﻣﺮات ﻣﻦ اﻟﻠﻐﺎت اﻟﻨﺼﻴﺔ ﰲ ﺗﻄﻮﻳﺮ اﻟﺘﻄﺒﻴﻘﺎت .ﻋﻼوةً ﻋﻠﻰ ذﻟﻚ ﻓﺈن ﻟﻐﺎت اﻟﱪﳎﺔ اﻟﺮﺳﻮﻣﻴﺔ ﺗﻌﺰز اﻹﻧﺘﺎﺟﻴﺔ ﻟﺪى اﻟﺒﺎﺣﺜﲔ وﻣﻄﻮري
اﻟﺘﻄﺒﻴﻘﺎت ﺑﻐﺾ اﻟﻨﻈﺮ ﻋﻦ ﻣﺴﺘﻮى ﱪﻬﺗﻢ اﻟﱪﳎﻴﺔ ،وذﻟﻚ ﻷن اﻟﻠﻐﺎت اﻟﺮﺳﻮﻣﻴﺔ ﺗﻌﻄﻲ ﺗﻨﻈﻴﻤﺎً ﺑﺪﻫﻴﺎً ،وﲡﻌﻞ اﳌﻌﻠﻮﻣﺎت واﺿﺤﺔ وﻣﺮﺋﻴﺔ،
وﻫﻮ اﻟﺴﺒﺐ اﻟﺬي ﳚﻌﻠﻬﺎ ﳏﻂ اﻫﺘﻤﺎم آﻻف اﳉﺎﻣﻌﺎت.
اﻟﺪراﺳﺔ اﻟﺒﺤﺜﻴﺔ ﻓﻲ اﻟﻔﺼﻞ اﻟﺮاﺑﻊ أﻛﺪت ﻋﻠﻰ أن ﺗﺼﻤﻴﻢ اﳌﻨﺎﻫﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ ﳚﺐ أن ﻳﺮﺗﺒﻂ ﲟﻔﺎﻫﻴﻢ وﻣﺒﺎدئ ﻫﻨﺪﺳﻴﺔ )وﲢﺪﻳﺪاً ﲟﺒﺎدئ ﻣﻦ
ﻫﻨﺪﺳﺔ أﻧﻈﻤﺔ اﻟﺘﺤﻜﻢ( ،ﻛﻤﺎ أﻛﺪت ﻋﻠﻰ أﳘﻴﺔ دور اﳌﺨﺎﺑﺮ ﰲ اﻟﻌﻠﻮم واﳍﻨﺪﺳﺔ ،ﺣﻴﺚ ﺗﻌﺘﱪ اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ )(Hands-on Labs
اﻟﻨﻮع اﻷﻛﺜﺮ ﺷﻴﻮﻋﺎً ،وﻟﻜﻦ اﻟﺘﻄﻮرات اﳊﺪﻳﺜﺔ ﰲ ﺗﻜﻨﻮﻟﻮﺟﻴﺎ اﳌﻌﻠﻮﻣﺎت واﻻﺗﺼﺎﻻت أدت إﱃ ﻇﻬﻮر ﻧﻮﻋﲔ ﺟﺪﻳﺪﻳﻦ ﻣﻦ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي
ﳘﺎ :اﳌﺨﺎﺑﺮ اﻻﻓﱰاﺿﻴﺔ ) ،(Virtual Labsواﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ ) ،(Remote Labوﻗﺪ ﰎ اﺳﺘﻌﺮاض اﻟﺪراﺳﺎت اﻟﱵ ﻗﺎﻣﺖ ﻋﻠﻰ ﳐﺘﻠﻒ
أﻧﻮاع اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي واﻟﱵ أﻋﻄﺖ اﻟﺘﻔﺎﺻﻴﻞ ﻋﻦ ﻣﺰاﻳﺎ وﻋﻴﻮب ﻛﻞ ﻧﻮع ﻣﻦ ﺗﻠﻚ اﻷﻧﻮاع .ﺗﺒﲔ أﻳﻀﺎً أن ﻫﻨﺎك ﻧﻘﺼﺎً ﰲ اﻟﺪراﺳﺎت اﻟﺘﺠﺮﻳﺒﻴﺔ
ﺣﻮل أﲝﺎث اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،وﻛﺬﻟﻚ ﻓﺈن اﻟﺪراﺳﺎت أﻇﻬﺮت ﺗﻮاﻓﻘﺎً ﻋﺎﻣﺎً ﻋﻠﻰ ﺧﻼﺻﺔ واﺣﺪة وﻫﻲ اﳊﺎﺟﺔ إﱃ اﺳﺘﺨﺪام اﻟﻨﻤﻮذج اﳌﺨﺘﻠﻂ
ﻟﻠﻤﺨﺎﺑﺮ .ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﺣﻘﻴﻘﺔ أن اﳌﺨﺎﺑﺮ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻻ زاﻟﺖ ﺗﻠﻌﺐ دوراً ﳏﻮرﻳﺎً ﰲ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،إﻻ أن اﳉﻤﻊ ﺑﻴﻨﻬﺎ وﺑﲔ اﻷﻧﻮاع اﻷﺧﺮى
وﲢﻘﻴﻖ ﳕﻮذج ﻣﺘﻜﺎﻣﻞ وﺗﻄﺒﻴﻘﻪ ﳝﻜﻦ أن ﻳﻌﻄﻲ ﻧﺘﺎﺋﺞ ﺗﻌﻠﻴﻤﻴﺔ أﻓﻀﻞ.
اﻟﺪراﺳﺔ اﻟﺒﺤﺜﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻓﻲ اﻟﻔﺼﻞ اﻟﺨﺎﻣﺲ ﻗﺪﻣﺖ ﲝﺜﺎً ﻣﻔﺼﻼً ﻋﻦ دراﺳﺔ ﺗﻌﻠﻴﻤﻴﺔ ﺗﺮﺑﻮﻳﺔ ﺗﺘﻌﻠﻖ ﺑﺎﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،اﺳﺘﺨﺪم ﻓﻴﻬﺎ
ﻣﻨﻬﺠﻴﺎت وﻧﻈﺮﻳﺎت ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ ﰲ ﳕﺬﺟﺔ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ،وﻫﻮ ﳝﺜﻞ أول ﳕﻮذج ﺗﺮﺑﻮي ﺗﻄﺒﻴﻘﻲ ﻳﻌﺘﻤﺪ ﺑﺸﻜﻞ ﻛﺎﻣﻞ ﻋﻠﻰ ﻧﻈﺮﻳﺔ
Kolbﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ﺟﻨﺒﺎً إﱃ ﺟﻨﺐ ﻣﻊ اﻟﺘﺤﻠﻴﻞ اﻟﺘﺠﺮﻳﱯ .اﻟﺪراﺳﺔ اﻧﻄﻠﻘﺖ ﻣﻦ ﺗﺼﻤﻴﻢ ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻟﱪﳎﺔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة،
ﺣﻴﺚ ﺗﻀﻤﻦ ﺗﺼﻤﻴﻢ اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ اﻷوﱄ ﺗﺼﻤﻴﻤﺎً ﻟﻠﻮﺣﺔ ﺗﻄﻮﻳﺮ ﺗﻔﺎﻋﻠﻴﺔ ﻣﻦ ﺧﻼل إﺟﺮاء دراﺳﺔ ﻣﻘﺎرﻧﺔ ﺷﺎﻣﻠﺔ ﻟﻠﻮﺣﺎت اﻟﺘﻄﻮﻳﺮ اﳌﺘﻮﻓﺮة
ﺎرﻳﺎً ،وﻣﻦ ﻫﺬﻩ اﻟﺪراﺳﺔ ﰎ ﺑﻨﺎء ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ وﻓﻖ ﻣﻌﺎﻳﲑ ﻬﺗﺪف إﱃ زﻳﺎدة ﺗﻔﺎﻋﻞ اﻟﻄﺎﻟﺐ وﻓﺎﺋﺪﺗﻪ ﻣﻦ اﻟﺘﺠﺎرب ﰲ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ .ﻛﻤﺎ
ﺗﻀﻤﻨﺖ اﻟﺪراﺳﺔ ﺑﻨﺎء دﻟﻴﻞ ﺷﺎﻣﻞ ﻟﻠﺘﺠﺎرب ﻳﺴﺘﻨﺪ إﱃ ﻣﻨﻬﺠﻴﺔ اﻟﺘﻌﻠﻢ اﻟﺬاﰐ ) (Self-Learningوﻣﻨﻬﺠﻴﺎت اﻟﺘﻔﺎﻋﻞ ﺑﲔ اﳌﺴﺘﺨﺪم
واﳊﺎﺳﺐ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 376
A1 اﳌﻠﺤﻖ اﻷول| Appendix 1
اﻟﺪراﺳﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﺗﻀﻤﻨﺖ أﻳﻀﺎً وﺿﻊ ﳕﻮذﺟﲔ :اﻷول ﻳﻌﺎﰿ ﳕﻂ اﳌﺨﺘﱪ ،واﻟﺜﺎﱐ ﻳﻌﺎﰿ ﻣﻨﻬﺠﻴﺔ ﻋﻤﻞ اﳌﺨﺘﱪ ،وﻗﺪ ﰎ ﺗﻄﺒﻴﻖ اﻟﻨﻤﻮذﺟﲔ ﰲ
اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ﳌﻘﺮر اﻟﺘﺼﻤﻴﻢ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ﻋﻠﻰ ﻣﺪى ﻓﺼﻞ ﻛﺎﻣﻞ ﺑﺪف إﻇﻬﺎر اﳌﺴﺘﻠﺰﻣﺎت اﻟﻀﺮورﻳﺔ ﻟﺪﻳﻨﺎﻣﻴﻜﻴﺔ اﻟﺘﻌﻠﻢ .اﻟﻨﻤﻮذج
ﻃﺒﻖ ﻋﻠﻰ ﻃﻼب اﻟﺴﻨﺔ اﻟﺮاﺑﻌﺔ ﻗﺴﻢ ﻫﻨﺪﺳﺔ اﻟﺘﺤﻜﻢ اﻵﱄ واﻷﲤﺘﺔ ،وﻋﻘﺪ ﰲ ﻛﻠﻴﺔ اﳍﻨﺪﺳﺔ اﻟﻜﻬﺮﺑﺎﺋﻴﺔ اﻹﻟﻜﱰوﻧﻴﺔ ﲜﺎﻣﻌﺔ ﺣﻠﺐ ﰲ ﳐﱪ
اﻟﺘﺤﻜﻢ اﻵﱄ .إن اﻟﻨﻤﻮذج اﳌﺼﻤﻢ ﻳﺘﻀﻤﻦ ﻧﺸﺎﻃﺎت إﺿﺎﻓﻴﺔ ﻣﻊ اﻟﺘﺠﺎرب اﻟﻌﻤﻠﻴﺔ ﻛﺎﻻﺧﺘﺒﺎرات اﻟﱵ ﺗﺴﺒﻖ وﺗﻠﻲ اﳉﻠﺴﺔ اﳌﺨﱪﻳﺔ وﻛﺬﻟﻚ
ﺟﻠﺴﺎت اﶈﺎﻛﺎة ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ،وﻫﺬﻩ اﻟﻨﺸﺎﻃﺎت ﻣﺮﺗﺒﻄﺔ ﺑﺪورة Kolbاﻟﱵ ﲢﻘﻖ اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ.
ﻟﻘﺪ اﻓﱰﺿﻨﺎ ﺟﺪﻻً ﰲ اﻟﺪراﺳﺔ أن ﺿﻌﻒ اﻟﻨﺘﺎﺋﺞ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰲ اﳌﺨﺎﺑﺮ ﻳﻌﻮد إﱃ ﻧﻘﺺ ﺗﻔﻌﻴﻞ اﻟﺒﻌﺪ اﻟﺘﺤﺼﻴﻠﻲ ﻣﻦ ﻧﻈﺮﻳﺔ Kolbﰲ اﻟﺘﻌﻠﻢ
اﻟﺘﺠﺮﻳﱯ ،ﺣﻴﺚ أن اﻟﻨﻤﻮذج اﻟﺘﺠﺮﻳﱯ وﻧﺘﺎﺋﺞ ﲢﻠﻴﻞ اﻟﺒﻴﺎﻧﺎت اﳋﺎﺻﺔ ﲝﺼﻴﻠﺔ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي أﻛﺪت اﻟﻔﺮﺿﻴﺔ اﳌﻄﺮوﺣﺔ اﻷﻣﺮ اﻟﺬي أﻋﻄﻰ
ﺗﻔﺴﲑاً ﺗﺮﺑﻮﻳﺎً ﻟﺘﻠﻚ اﻟﻨﺘﺎﺋﺞ.
ﻧﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻼت اﻻﺣﺼﺎﺋﻴﺔ أﻇﻬﺮت ﺧﺼﺎﺋﺺ اﻟﻘﻮة ﻟﻠﻨﻤﻮذج ﻣﺘﻤﺜﻼً ﺑﺎﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻣﻘﺎﺑﻞ اﻟﻨﻤﺎذج اﻟﻜﻼﺳﻴﻜﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﳌﺘﻤﺜﻠﺔ
ﺑﺎﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ،وﻗﺪ ﻇﻬﺮ أﺛﺮﻩ واﺿﺤﺎً ﻣﻦ ﺧﻼل اﻟﺘﺤﺴﻦ اﻟﻜﺒﲑ ﰲ اﶈﺼﻠﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻤﺠﻤﻮﻋﺔ اﻻﺧﺘﺒﺎرﻳﺔ ﻣﻘﺎرﻧﺔ اﺠﻤﻟﻤﻮﻋﺔ اﻟﻘﻴﺎﺳﻴﺔ.
ﻧﺘﺎﺋﺞ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﰎ ﻗﻴﺎﺳﻬﺎ ﻣﻦ ﺧﻼل اﺧﺘﺒﺎرات ﳐﱪﻳﺔ أﺟﺮﻳﺖ ﻗﺒﻞ وﺑﻌﺪ اﳉﻠﺴﺎت اﳌﺨﱪﻳﺔ ،إﺿﺎﻓﺔ إﱃ ﻗﻴﺎﺳﺎت ﻧﻮﻋﻴﺔ ﰎ ﲢﺼﻴﻠﻬﺎ ﻣﻦ
ﺧﻼل ﻣﺮاﻗﺒﺔ أداء اﻟﻄﻼب أﺛﻨﺎء اﳌﺨﺘﱪ .أﻇﻬﺮت اﻟﻨﺘﺎﺋﺞ أﻳﻀﺎً أن اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻣﺴﺘﻘﺮ وﺛﺎﺑﺖ ،وﳝﻠﻚ ﻣﻨﻬﺠﻴﺔ ﻟﺮﻓﺾ
اﻟﻀﺠﻴﺞ اﳌﺘﺄﺻﻞ )ﻣﺜﻞ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن( ،وﻫﻮ ﻳﺴﺎﻋﺪ ﻋﻠﻰ ﲢﻔﻴﺰ اﻟﻄﻼب ذوي ﻣﻬﺎرات اﻟﺘﻌﻠﻢ اﳌﻨﺨﻔﻀﺔ ورﻓﻊ ﻣﺴﺘﻮاﻫﻢ .ﻛﻤﺎ أﻇﻬﺮ
اﻟﺘﺤﻠﻴﻞ اﻟﺘﺠﺮﻳﱯ ﻟﻠﻘﻴﺎﺳﺎت اﻟﻜﻤﻴﺔ ﺑﺄﻧﻪ ﻋﻠﻰ اﻟﺮﻏﻢ ﻣﻦ ﺳﻠﻮك ﻗﺮﻳﺐ ﻧﺴﺒﻴﺎً اء ﻛﻼ اﺠﻤﻟﻤﻮﻋﺘﲔ )اﻟﻘﻴﺎﺳﻴﺔ واﻻﺧﺘﺒﺎرﻳﺔ( ﰲ ﻣﺘﺎﺑﻌﺔ اﻟﺪﻟﻴﻞ
اﻟﺘﻮﺿﻴﺤﻲ ﻟﻠﺘﺠﺎرب ﺧﻼل ﺟﻠﺴﺎت اﳌﺨﺘﱪ ،ﺗﻔﺎوت ﺟﻮﻫﺮي ﻛﺒﲑ ﰲ اﻟﻔﻬﻢ اﻟﺘﺼﻮري .ﻋﻼوة ﻋﻠﻰ ذﻟﻚ ﻓﺈﻧﻪ ﻇﻬﺮ ﺟﻠﻴﺎً ﰲ ﻃﻼب ﻤﻟﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ ﺣﺎﻓﺰ ﺟﻮﻫﺮي ﳓﻮ ﺣﻞ ﻣﺸﺎﻛﻞ ﻣﻌﻘﺪة إﺿﺎﻓﻴﺔ وﱂ ﻳﻈﻬﺮ ﰲ ﻃﻼب ﻤﻟﻤﻮﻋﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ .ﺑﻨﺎءً ﻋﻠﻰ اﻟﻨﺘﺎﺋﺞ ،ﰎ ﺗﺼﻤﻴﻢ ﳕﻮذج
ﺗﻌﻠﻴﻤﻲ ﺑﻨﺎﺋﻲ ﺷﺎﻣﻞ ﻣﺮﺗﺒﻂ ﺑﺪورة ﻛﻮﻟﺐ ﰲ اﻟﺘﻌﻠﻢ اﻟﺘﺠﺮﻳﱯ ،ﻛﻤﺎ ﰎ ﺷﺮح أﺟﺰاءﻩ وﻋﻨﺎﺻﺮﻩ.
اﻟﺪراﺳﺔ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻓﻲ اﻟﻔﺼﻞ اﻟﺴﺎدس ﻗﺪﻣﺖ ﳕﻮذﺟﺎً ﻣﻔﺼﻼً ﻋﻦ اﻟﺪراﺳﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻟﻠﺒﺤﺚ اﺳﺘﻨﺎداً إﱃ اﳍﻴﻜﻠﻴﺔ اﻟﺒﻨﺎﺋﻴﺔ ﻟﻠﺘﺠﺎرب
اﻟﻌﻤﻠﻴﺔ اﻟﱵ ﺗﺴﺘﻨﺪ إﱃ ﻣﻮﺿﻮﻋﺎت اﻟﻔﺼﻮل اﻟﺴﺎﺑﻘﺔ وﺧﺼﻮﺻﺎً ﳕﻮذج اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي اﳍﺠﲔ اﻟﺬي ﻳﻀﻢ اﻷﺻﻨﺎف اﻟﺜﻼﺛﺔ ﻟﻠﺘﻌﻠﻴﻢ اﳌﺨﱪي
وﳕﻮذج اﻟﺘﻌﻠﻴﻢ اﻟﺒﻨﺎﺋﻲ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ واﻟﺬي ﺗﻮﻟﺪ ﻋﻨﻬﻤﺎ اﻟﻨﻤﻮذج اﻟﺸﻤﻮﱄ اﳌﺼﻤﻢ ﰲ اﻟﻔﺼﻞ اﳋﺎﻣﺲ ،ﺣﻴﺚ ﰎ وﺿﻊ دﻟﻴﻞ اﻟﺘﺠﺎرب
ﲝﻴﺚ ﻳﺴﺘﻄﻴﻊ اﻟﻄﺎﻟﺐ ﺗﻨﻔﻴﺬ اﻟﺘﺠﺮﺑﺔ ﺑﺸﻜﻞ ذاﰐ دون اﳊﺎﺟﺔ إﱃ وﺟﻮد ﻣﻮﺟﻪ ﻟﻪ ﰲ ﻛﻞ ﻣﺮﺣﻠﺔ ،وﰎ ﺗﻘﺴﻴﻢ ﻛﻞ ﲡﺮﺑﺔ إﱃ ﺛﻼث ﻣﺮاﺣﻞ:
ﻣﺮﺣﻠﺔ ﺗﺘﻢ ﺑﺸﻜﻞ أوﱄ وﻓﻘﺎً ﻟﻨﻤﻮذج اﳌﺨﺘﱪ اﻟﺘﺤﻀﲑي ،وﻣﺮﺣﻠﺔ رﺋﻴﺴﻴﺔ ﺗﺘﺒﻊ ﳕﻮذج اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ،واﳌﺮﺣﻠﺔ اﻷﺧﲑة داﻋﻤﺔ وﺗﺴﺘﻨﺪ إﱃ
ﳕﻮذج اﳌﺨﱪ ﻋﻦ ﺑﻌﺪ.
اﻟﺪراﺳﺔ ﺗﻀﻤﻨﺖ ﲝﺚ ﺷﺎﻣﻞ ﻋﻦ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﻷﻧﺴﺐ ﻟﺒﻨﺎء ﻫﺬا اﻟﻨﻈﺎم اﻟﺘﻌﻠﻴﻤﻲ ،إذ أن اﺧﺘﻴﺎر ﻋﻨﺎﺻﺮ وأدوات أي ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻳﻌﺘﱪ
ﻣﻦ اﻷﻣﻮر اﳍﺎﻣﺔ ﺟﺪاً وﳚﺐ أن ﻳﺘﻢ ﺑﻌﻨﺎﻳﺔ ﻓﺎﺋﻘﺔ ،إذ أن اﻷدوات واﻟﻌﻨﺎﺻﺮ ﳚﺐ أن ﺗﻜﻮن ذات ﺻﻠﺔ ﻣﺒﺎﺷﺮة ﺑﺎﻷدوات اﳌﺴﺘﺨﺪﻣﺔ ﰲ اﻟﻮاﻗﻊ
)اﻟﺼﻨﺎﻋﺔ( .اﻟﺪراﺳﺔ ﻗﺪﻣﺖ أﻳﻀﺎً ﺗﺼﻤﻴﻤﺎً ﻟﻠﻮﺣﺔ ﺗﻮﺳﻌﺔ إﺿﺎﻓﻴﺔ ﺗﺮﺑﻂ إﱃ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،وﳏﻴﻄﻴﺎت أﺧﺮى ﰎ ﺗﺼﻤﻴﻤﻬﺎ ﺑﺪف اﺳﺘﺜﻤﺎر
اﻟﻨﻈﺎم ﰲ ﺑﻨﺎء ﺗﻄﺒﻴﻘﺎت ﻋﻤﻠﻴﺔ أﻛﺜﺮ ﴰﻮﻟﻴﺔ .ﻛﻤﺎ ﰎ ﺑﻨﺎء اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﺘﺠﺎرب واﻟﺘﻄﺒﻴﻘﺎت اﻟﱪﳎﻴﺔ – ﺑﺎﺳﺘﺨﺪام ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ اﳌﻌﺘﻤﺪة ﰲ
377 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
اﻟﺪراﺳﺔ واﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ اﻟﺮﺳﻮﻣﻴﺔ LabVIEWﻣﺘﻀﻤﻨﺔً اﳌﻜﺘﺒﺎت اﳋﺎﺻﺔ ﺑﱪﳎﺔ ﺗﻘﻨﻴﺔ اﻟـ – FPGAاﻟﱵ ﻏﻄﺖ ﻣﻌﻈﻢ اﶈﻴﻄﻴﺎت واﻟﺘﻄﺒﻴﻘﺎت
اﳌﺪﳎﺔ ﻋﻠﻰ ﻟﻮﺣﺔ اﻟﺘﻄﻮﻳﺮ ،Spartan-3Eإﺿﺎﻓﺔً إﱃ ﳎﻤﻮﻋﺔ إﺿﺎﻓﻴﺔ ﻣﻦ اﻟﺘﺠﺎرب ﺗﺘﻌﻠﻖ ﺑﺎﻟﻮﺣﺪات اﶈﻴﻄﻴﺔ اﻹﺿﺎﻓﻴﺔ اﻟﱵ ﰎ ﺗﺼﻤﻴﻤﻬﺎ.
اﻟﺒﺤﺚ أﻛﺪ ﻋﻠﻰ أﻧﻪ ﻣﻦ اﳌﻬﻢ ﺟﺪاً ﺗﻘﺪﱘ اﻻﻋﺘﺒﺎرات واﳌﺒﺎدئ اﻷﺳﺎﺳﻴﺔ ﻟﻠﺘﺠﺮﺑﺔ ﺑﺸﻜﻞ ﻣﺴﺒﻖ ﺿﻤﻦ اﳌﺨﺘﱪ اﻟﺘﻤﻬﻴﺪي ،ﰒ ﺗﻄﺒﻴﻖ ﻫﺬﻩ
اﻻﻋﺘﺒﺎرات ﻟﺒﻨﺎء اﻟﺘﺠﺮﺑﺔ ﺑﺎﺳﺘﺨﺪام اﳊﺎﺳﺐ ،ﰒ ﻻﺣﻘﺎً ﺗﺸﻐﻴﻞ وﳏﺎﻛﺎة اﻟﺘﻄﺒﻴﻖ ﰲ ﺑﻴﺌﺔ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ اﳊﻘﻴﻘﻲ .ﻛﻤﺎ أﻛﺪ اﻟﺒﺤﺚ ﻋﻠﻰ أن
اﻟﻮﻇﺎﺋﻒ واﻻﺧﺘﺒﺎرات واﳌﺸﺎرﻳﻊ ﺗﻌﺘﱪ ﻋﺎﻣﻼً ﻣﻬﻤﺎً ﰲ ﺗﻌﺰﻳﺰ ﻓﻬﻢ اﻟﻄﻼب وﺗﻌﻤﻴﻖ ﲡﺮﺑﺘﻬﻢ اﻟﻌﻤﻠﻴﺔ ،وﻣﻦ اﳌﻬﻢ ﺟﺪاً ﺗﻮﺟﻴﻪ اﻟﻄﻼب ﳓﻮ
اﻟﺘﻌﻠﻢ اﻟﺘﻌﺎوﱐ اﻟﺘﺸﺎرﻛﻲ ﻣﻦ ﺧﻼل إدارة اﳌﻨﺎﻗﺸﺎت اﳉﻤﺎﻋﻴﺔ ﺣﻮل ﻣﻮﺿﻮﻋﺎت اﻟﺘﺠﺎرب .إن اﻟﺘﺠﺎرب واﻟﺘﻄﺒﻴﻘﺎت واﻟﻮﻇﺎﺋﻒ واﳌﺸﺎرﻳﻊ
ﳌﺴﻨﺪة إﱃ اﻟﻄﻼب ﳚﺐ أن ﺗﻜﻮن ذات ارﺗﺒﺎط ﻣﺒﺎﺷﺮ ﺑﺎﻟﺘﻄﺒﻴﻘﺎت اﻟﻌﻤﻠﻴﺔ اﻟﻮاﻗﻌﻴﺔ وﻬﺗﺪف ﺑﺎﻟﻨﺘﻴﺠﺔ إﱃ ﻣﺸﺮوع ﺗﻄﺒﻴﻖ واﻗﻌﻲ ﺣﻘﻴﻘﻲ ﳝﻜﻦ
أن ﻳﻜﻮن ﺣﻼً ﻣﺮﺣﻠﻴﺎً أو ﻣﺴﺘﻘﺒﻠﻴﺎً ﳌﺸﻜﻠﺔ ﰲ اﻟﺼﻨﺎﻋﺔ.
أﺧﲑاً ﰎ ﺗﺼﻤﻴﻢ ﳐﱪ ﻋﻦ ﺑﻌﺪ ﺣﻴﺚ ﻳﻌﺘﱪ اﳌﺨﺘﱪ ﻋﻦ ﺑﻌﺪ ﺣﻼً ﻣﺜﺎﻟﻴﺎً ﻳﻔﺴﺢ ﻟﻠﻄﺎﻟﺐ اﻟﻔﺮﺻﺔ ﺑﺎﻟﺘﻮاﺻﻞ ﺑﺸﻜﻞ أﻛﱪ ﻣﻊ اﻟﺘﺠﺮﺑﺔ ،وذﻟﻚ ﻷن
اﻟﻮﻗﺖ اﳌﺘﺎح ﰲ ﺟﻠﺴﺔ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ﻻ ﻳﻜﻔﻲ ﻟﺘﻌﻤﻴﻖ اﳌﻔﻬﻮم اﻟﺘﻄﺒﻴﻘﻲ.
ﺑﻨﺎءً ﻋﻠﻰ اﻟﺪراﺳﺔ اﻟﺒﺤﺜﻴﺔ ﻓﺈﻧﻨﺎ ﻧﺆﻛﺪ ﻋﻠﻰ ﺿﺮورة وأﳘﻴﺔ إﻋﺎدة اﻟﻨﻈﺮ ﰲ ﺗﻌﺪﻳﻞ اﻟﻨﻤﺎذج اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﻜﻼﺳﻴﻜﻴﺔ اﳌﻬﻴﻤﻨﺔ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ
اﻟﻜﻠﻴﺎت اﳍﻨﺪﺳﻴﺔ إﱃ ﻓﻜﺮة أﻗﺮب إﱃ اﻟﻨﻤﺎذج اﻟﺒﻨﺎﺋﻴﺔ ﻟﱵ ﻬﺗﺪف إﱃ ﺟﻌﻞ اﻟﺘﻌﻠﻢ ﻣﺘﻌﺔ ﰲ أﻋﲔ اﻟﻄﻼب.
اﻟﻨﻤﺎذج اﻟﺮﻳﺎﺿﻴﺔ أﻛﺜﺮ دﻗﺔ ﻣﻦ اﻟﻨﻤﺎذج اﻟﻮﺻﻔﻴﺔ اﻟﺘﺼﻮرﻳﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈﻧﻪ ﻣﻦ ﺧﻼل اﺳﺘﺨﺪام ﻣﻨﻬﺠﻴﺎت ﻫﻨﺪﺳﺔ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﰲ
ﳕﺬﺟﺔ اﻟﻌﻤﻠﻴﺎت اﻟﺘﻌﻠﻴﻤﻴﺔ ،ﻓﺈن ذﻟﻚ ﳝﻜﻦ أن ﻳﺆدي إﱃ اﻗﱰاح ﺗﻘﻨﻴﺎت ﲢﻜﻢ ﻋﻠﻰ ﳓﻮ ﻓﻌﺎل ﻟﺘﻮﺟﻴﻪ ﻋﻤﻠﻴﺔ اﻟﺘﺤﺼﻴﻞ اﻟﻌﻠﻤﻲ ﳓﻮ
ﲢﻘﻴﻖ اﻷﻫﺪاف اﳌﺮﺟﻮة.
اﻟﻨﺘﺎﺋﺞ اﻟﺘﺠﺮﻳﺒﻴﺔ أﻇﻬﺮت دﻟﻴﻼً واﺿﺤﺎً ﻋﻠﻰ ﺗﻔﻮق ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ اﻟﻘﺎﺋﻢ ﻋﻠﻰ ﺣﻞ اﳌﺸﻜﻼت واﳌﺸﺎرﻳﻊ
)اﻟﻄﺎﻟﺐ ﳏﻮر اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ -وﻋﻤﻠﻴﺔ ﺑﻨﺎء اﳌﻌﺮﻓﺔ ﻫﻲ ﺑﺸﻜﻞ أﺳﺎﺳﻲ ﻣﺴﺆوﻟﻴﺔ اﻟﻄﺎﻟﺐ( ﻋﻠﻰ اﻟﻨﻤﻮذج اﻟﺘﻌﻠﻴﻤﻲ اﻟﺘﻘﻠﻴﺪي ﰲ
اﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ )اﳌﻌﻠﻢ ﻫﻮ ﳏﻮر اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ -ﳝﺜﻞ ﳕﻮذﺟﺎً ﺳﻠﺒﻴﺎً ﻟﻨﻘﻞ اﳌﻌﺮﻓﺔ ﻣﻦ اﳌﺮﺳﻞ )اﳌﻌﻠﻢ( إﱃ اﳌﺴﺘﻘﺒﻞ )اﻟﻄﺎﻟﺐ(.
ﻧﺘﺎﺋﺞ اﻟﺘﺤﻠﻴﻼت اﻻﺣﺼﺎﺋﻴﺔ أﻇﻬﺮت ﺧﺼﺎﺋﺺ اﻟﻘﻮة واﻻﺳﺘﻘﺮار ﻟﻨﻤﻮذج اﻟﺘﻐﺬﻳﺔ اﻟﻌﻜﺴﻴﺔ اﻟﺒﻨﺎﺋﻲ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﻣﻘﺎﺑﻞ اﻟﻨﻤﺎذج
اﻟﻜﻼﺳﻴﻜﻴﺔ اﻟﺘﻘﻠﻴﺪﻳﺔ اﳌﺘﻤﺜﻠﺔ ﺑﺎﳊﻠﻘﺔ اﳌﻔﺘﻮﺣﺔ ،وﻗﺪ ﻇﻬﺮ أﺛﺮﻩ واﺿﺤﺎً ﻣﻦ ﺧﻼل اﻟﺘﺤﺴﻦ اﻟﻜﺒﲑ ﰲ اﶈﺼﻠﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻟﻠﻤﺠﻤﻮﻋﺔ
اﻻﺧﺘﺒﺎرﻳﺔ ﻣﻘﺎرﻧﺔ ﻣﻊ اﻟﻘﻴﺎﺳﻴﺔ.
ﳕﻮذج اﻟﺘﻌﻠﻢ اﻟﺒﻨﺎﺋﻲ ﰲ اﳊﻠﻘﺔ اﳌﻐﻠﻘﺔ ﳝﻠﻚ ﻣﻨﻬﺠﻴﺔ ﻟﺮﻓﺾ اﻟﻀﺠﻴﺞ اﳌﺘﺄﺻﻞ )ﻣﺜﻞ ﻣﻌﺎﻣﻞ اﻟﻨﺴﻴﺎن( ،وﻫﻮ ﻳﺴﺎﻋﺪ ﻋﻠﻰ ﲢﻔﻴﺰ
اﻟﻄﻼب ذوي ﻣﻬﺎرات اﻟﺘﻌﻠﻢ اﳌﻨﺨﻔﻀﺔ ورﻓﻊ ﻣﺴﺘﻮاﻫﻢ.
ﻟﻠﻤﺨﺘﱪ اﻻﻓﱰاﺿﻲ دور ﻫﺎم ﺟﺪاً ﰲ ﲢﻀﲑ اﻟﻄﻼب ﳉﻠﺴﺔ اﳌﺨﺘﱪ اﻟﺘﻄﺒﻴﻘﻲ ،وﻫﻮ ﻳﻌﺰز ﻣﻦ ﺣﺼﻴﻠﺔ اﻟﺘﻌﻠﻢ وﻳﻌﻤﻖ اﻷﺛﺮ اﻹدراﻛﻲ
ﳌﻀﻤﻮن اﻟﺘﺠﺮﺑﺔ ﻋﻠﻰ اﳌﺴﺘﻮى اﻟﻨﻈﺮي واﻟﺘﻄﺒﻴﻘﻲ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 378
A1 اﳌﻠﺤﻖ اﻷول| Appendix 1
ﺑﻨﺎءً ﻋﻠﻴﻪ ،ﻧﺆﻛﺪ ﻋﻠﻰ ﺿﺮورة وأﳘﻴﺔ إﻋﺎدة اﻟﻨﻈﺮ ﰲ ﺗﻌﺪﻳﻞ اﻟﻨﻤﺎذج اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﻜﻼﺳﻴﻜﻴﺔ اﳌﻬﻴﻤﻨﺔ ﰲ اﻟﻌﺪﻳﺪ ﻣﻦ اﻟﻜﻠﻴﺎت اﳍﻨﺪﺳﻴﺔ
ﻣﻨﻄﻘﺘﻨﺎ ،إﱃ ﻓﻜﺮة أﻗﺮب إﱃ اﻟﻨﻤﺎذج اﻟﺒﻨﺎﺋﻴﺔ اﻟﱵ ﻬﺗﺪف إﱃ ﺟﻌﻞ اﻟﺘﻌﻠﻢ ﻣﺘﻌﺔ ﰲ أﻋﲔ اﻟﻄﻼب.
إن ﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻢ وﻋﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻢ ﺗﻌﺘﱪان ﻋﻤﻠﻴﺎت دﻳﻨﺎﻣﻴﻜﻴﺔ ﻻ ﺧﻄﻴﺔ ،وﺑﺎﻟﺘﺎﱄ ﻓﺈن وﺻﻒ ﻣﻌﺎدﻻت ﻧﻈﺎم ﻣﺸﺎﺑﻪ ﻳﻌﺘﱪ أﻣﺮاً ﻣﻌﻘﺪاً
وﺧﺼﻮﺻﺎً إذا ﻛﺎن اﻟﻨﻈﺎم ﳛﺘﻮي ﻋﻠﻰ اﻟﻌﺪﻳﺪ ﻣﻦ اﳊﺎﻻت اﻟﱵ ﻻ ﺗﻨﺘﻬﻲ وﺑﺎراﻣﱰات اﻟﻨﻈﺎم ﻏﲑ ﻣﻌﺮوﻓﺔ وﻏﲑ ﺛﺎﺑﺘﺔ .ﰲ ﻣﺜﻞ ﻫﺬﻩ
اﻷﻧﻈﻤﺔ ﻳﻌﺘﱪ اﻟﺘﺤﻜﻢ اﻟﻐﺎﻣﺾ ) (Fuzzy Logic Controlاﳊﻞ اﻷﻣﺜﻠﻲ ،وذﻟﻚ ﻷن اﻻﻋﺘﺒﺎر اﻷﺳﺎﺳﻲ ﰲ اﻟﺘﺤﻜﻢ اﻟﻐﺎﻣﺾ ﻫﻮ
ﻣﻌﺮف ﻟﻠﻨﻈﺎم.
وﺻﻒ ﻣﺪاﺧﻞ وﳐﺎرج اﻟﻨﻈﺎم ﺑﻐﺾ اﻟﻨﻈﺮ ﻋﻦ ﳕﻮذج اﳊﺎﻟﺔ اﻟﻐﲑ ّ
رﲟﺎ ﻳﻄﺮح ﻣﻮﺿﻮع ﳕﺬﺟﺔ اﻟﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ ﻣﻦ ﺧﻼل ﻧﻈﺮﻳﺎت اﻟﺘﺤﻜﻢ اﻷﻣﺜﻠﻲ واﻟﺘﺤﻜﻢ اﳌﺘﻘﺪم ،ﰲ اﳊﻘﻴﻘﺔ إن اﺳﺘﺨﺪام ﻧﻈﺮﻳﺎت
اﻟﺘﺤﻜﻢ اﻷﻣﺜﻠﻲ ﻟﻮﺻﻒ ﺳﻠﻮك ﻧﻈﺎم ﺑﺎراﻣﱰاﺗﻪ ﻏﲑ ﻣﻌﺮوﻓﺔ ﻳﻌﺘﱪ أﻣﺮاً ﰲ ﻏﺎﻳﺔ اﻟﺘﻌﻘﻴﺪ ،وﺧﺼﻮﺻﺎً أن ﻧﻈﺮﻳﺎت اﻟﺘﺤﻜﻢ اﻷﻣﺜﻠﻲ ﺗﺘﻄﻠﺐ
اﻟﺘﺤﺪﻳﺪ اﻟﺪﻗﻴﻖ ﻟﺒﺎراﻣﱰات وﻋﻨﺎﺻﺮ اﻟﻨﻈﺎم ،وﻫﺬا ﻏﲑ ﳑﻜﻦ ﺑﺎﻟﻨﺴﺒﺔ ﻟﻠﻌﻤﻠﻴﺔ اﻟﺘﻌﻠﻴﻤﻴﺔ -ﻻ ﺷﻚ أﻧﻪ ﻣﻦ اﳌﻤﻜﻦ إﳚﺎد ﳕﻮذج ﺗﻘﺮﻳﱯ
ﻟﻨﻤﻮذج اﻟﻨﻈﺎم ﻣﻦ ﺧﻼل اﺳﺘﺨﺪام ﻣﻨﻬﺠﻴﺎت ﲢﺪﻳﺪ ﻫﻮﻳﺔ اﻟﻨﻈﻢ ) ،(System Identificationإﻻ أن اﺳﺘﺨﺪام اﻟﺘﺤﻜﻢ اﻟﻐﺎﻣﺾ
ﺳﻴﻜﻮن أﻓﻀﻞ ﺑﻜﺜﲑ.
ﻣﻦ ﺟﺎﻧﺐ آﺧﺮ ﻳﻌﺎﱐ ﻣﻌﻈﻢ اﻟﻄﻼب ﰲ ﺟﺎﻣﻌﺎت اﻟﻮﻃﻦ اﻟﻌﺮﰊ ﻣﻦ ﺿﻌﻒ ﰲ ﻣﻨﻬﺠﻴﺎت اﻟﺘﻄﻮﻳﺮ اﻟﺬاﰐ واﻟﺘﻌﻠﻢ ﻣﺪى اﳊﻴﺎة ،وﺑﺎﻟﺘﺎﱄ
ﻓﺈﻧﻪ ﻻﺑﺪ ﻣﻦ وﺟﻮد أﲝﺎث ﺗﻄﻮﻳﺮ وﺗﻘﻴﻴﻢ ﳌﻨﻬﺠﻴﺎت ﺣﺪﻳﺜﺔ ﺗﺴﺎﻫﻢ ﰲ ﺗﻌﺰﻳﺰ اﻟﺘﻌﻠﻢ ذاﰐ اﻟﺘﻨﻈﻴﻢ ﰲ اﻟﺘﻌﻠﻴﻢ اﳍﻨﺪﺳﻲ ﺑﺎﻻﺳﺘﻌﺎﻧﺔ
ﺑﺎﻟﺘﻜﻨﻮﻟﻮﺟﻴﺎ اﻟﺘﻌﻠﻴﻤﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ.
اﶈﻮر اﻷﺧﲑ ﻫﻮ أﳘﻴﺔ اﳌﺨﺎﺑﺮ ﻋﻦ ﺑﻌﺪ ﰲ ﺗﻌﺰﻳﺰ اﻟﺘﻌﻠﻴﻢ اﳌﺨﱪي ،ﺣﻴﺚ أﻧﻪ ﻣﻦ اﳌﻬﻢ رﺑﻂ ﳐﺘﱪات اﻟﻘﻄﺮ ﻋﻦ ﻃﺮﻳﻖ ﺷﺒﻜﺔ اﻷﻧﱰﻧﺖ
ﲝﻴﺚ ﻳﺘﻢ اﺳﺘﺜﻤﺎرﻫﺎ ﻣﻦ ﻗﺒﻞ اﳉﺎﻣﻌﺎت واﻟﻄﻼب.
379 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 380
A2 اﳌﻠﺤﻖ اﻟﺜﺎﱐ| Appendix 2
@Ô‹‡»€a@äã‘æbi@ıáj€a@›j”@ÙÏnèæa@áÌázn€@È‘Ój�m@#@Ô€Îc@äbjnÇa
اﳍﺪف ﻣﻦ ﻫﺬا اﻻﺧﺘﺒﺎر اﻷوﱄ ﻫﻮ ﻣﻌﺮﻓﺔ ﻣﺴﺘﻮى اﻟﻄﻼب ﰲ ﺑﺮﳎﺔ وﺗﺼﻤﻴﻢ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﺑﺎﺳﺘﺨﺪام اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ،واﻟﺬي ﺑﺪورﻩ
ﻳﺴﺎﻋﺪ ﺑﺸﻜﻞ ﺟﻮﻫﺮي ﰲ اﻟﻨﻘﺎط اﻟﺘﺎﻟﻴﺔ:
ﲢﺪﻳﺪ ﻣﺪى اﻟﻔﺎﺋﺪة اﶈﺼﻠﺔ ﻣﻦ ﻫﺬا اﳌﻘﺮر ﳑﺎ ﻳﺴﺎﻋﺪ ﰲ ﺗﻄﻮﻳﺮ اﳌﻨﻬﺠﻴﺔ اﻟﻌﻠﻤﻴﺔ واﻟﻌﻤﻠﻴﺔ اﻟﺘﻄﺒﻴﻘﻴﺔ ﻟﻠﻤﻘﺮر.
ﲢﺪﻳﺪ اﻻﳓﺮاف اﳌﻌﻴﺎري واﻟﻘﻴﻤﺔ اﳌﺘﻮﺳﻄﺔ وﻣﻌﺮﻓﺔ اﻟﺘﻔﺎوت ﰲ اﳌﺴﺘﻮﻳﺎت ﺑﲔ اﻟﻄﻼب واﻟﺬي ﻳﻨﺘﺞ ﻋﻨﻪ ﺗﻮﺟﻴﻪ زاﺋﺪ ﻟﻠﻄﻼب ﰲ
اﳌﺴﺘﻮﻳﺎت اﻟﺪﻧﻴﺎ.
ﲢﺪﻳﺪ اﳉﺪوى اﻟﺘﻄﺒﻴﻘﻴﺔ ﻟﻨﻈﺮﻳﺔ اﻟﺘﻌﻠﻴﻢ اﻟﺘﻔﺎﻋﻠﻲ.
اﻟﺴﺆال اﻷول :ﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ اﻟﺒﲎ اﻟﺘﺼﻤﻴﻤﻴﺔ اﻷﺳﺎﺳﻴﺔ اﻟﺘﺎﻟﻴﺔ RISC, CISC, MISC :وأﻳﻬﺎ ﺗﻌﺘﻤﺪ ﻋﺎﺋﻠﺔ ﻣﺘﺤﻜﻤﺎت AVR؟
اﻟﺴﺆال اﻟﺜﺎﻧﻲ :ﻗﺎرن ﺑﺎﺧﺘﺼﺎر ﺑﲔ ﺑﻨﻴﺔ Harvardوﺑﻨﻴﺔ Von-Neumannوأﻳﻬﻤﺎ ﺗﻌﺘﻤﺪ ﻋﺎﺋﻠﺔ ﻣﺘﺤﻜﻤﺎت AVR؟
381 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
اﻟﺴﺆال اﻟﺮاﺑﻊ :ﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة ) (MCUsواﳌﻌﺎﳉﺎت اﳌﺼﻐﺮة ) (MPUsﻣﻦ ﺣﻴﺚ :اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ -اﻷداء
واﻟﺴﺮﻋﺔ -اﺳﺘﻬﻼك اﻟﻄﺎﻗﺔ -اﻟﺘﻜﻠﻔﺔ وﺗﻌﻘﻴﺪات اﻟﻨﻈﺎم .وﰲ ﺣﺎل ﻃﻠﺐ ﺗﺼﻤﻴﻢ ﻧﻈﺎم إﻟﻜﱰوﱐ ﻣﱪﻣﺞ ﻟﺘﻨﻈﻴﻢ درﺟﺔ ﺣﺮارة ﻓﺮن ﻛﻬﺮﺑﺎﺋﻲ،
ﻓﻬﻞ ﺗﻘﱰح اﺳﺘﺨﺪام ﻣﻌﺎﰿ ﻣﺼﻐﺮ ) (MCUأم ﺗﻘﱰح اﺳﺘﺨﺪام ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ) (MPUوﳌﺎذا؟ أرﺳﻢ ﳐﻄﻄﺎً ﺻﻨﺪوﻗﺎً ﻣﺒﺴﻄﺎً ﻟﻠﻨﻈﺎم
اﳌﺬﻛﻮر.
اﻟﺴﺆال اﻟﺨﺎﻣﺲ :ﻣﺎ ﻫﻲ اﻟﻄﺮﻳﻘﺔ أو اﳍﻴﻜﻠﺔ اﻷﻣﺜﻠﻴﺔ ﻟﺘﻨﻈﻴﻢ ﻛﺘﺎﺑﺔ اﻟﱪﻧﺎﻣﺞ ) (Code Organizationﰲ ﻧﻈﻢ اﻟﺘﺤﻜﻢ ﺑﺎﺳﺘﺨﺪام
اﳌﺘﺤﻜﻤﺎت اﳌﺼﻐﺮة؟
اﻟﺴﺆال اﻟﺴﺎﺑﻊ :ﻋﻠﻰ اﻟﺸﻜﻞ اﳌﺒﲔ دارة ﻣﻔﺘﺎح إﻟﻜﱰوﱐ ﺑﺎﺳﺘﺨﺪام ﺗﺮاﻧﺰﺳﺘﻮر اﺳﺘﻄﺎﻋﻲ .P-MOSFETواﳌﻄﻠﻮب:
اﻟﺴﺆال اﻟﺜﺎﻣﻦ :ﻋﻠﻰ اﻟﺸﻜﻞ اﳌﺒﲔ ﺟﺎﻧﺒﺎً دارة اﻟﻘﻴﺎدة ﶈﺮك ﺗﻴﺎر ﻣﺴﺘﻤﺮ ﺑﺎﺳﺘﺨﺪام ﺗﺮاﻧﺰﺳﺘﻮر اﺳﺘﻄﺎﻋﻲ MOSFETﻣﻦ اﻟﻨﻮع N-
.Channelواﳌﻄﻠﻮب:
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 382
A2 اﳌﻠﺤﻖ اﻟﺜﺎﱐ| Appendix 2
Dim W As
Config Timer1 $baud = 2400 Config Int1 = Falling Config Adc
Integer
Reset var Int1_isr:
Config
Incr count $crystal = 2000000 "$regfile="m8def.dat Set Int_occur
Portc=Input
NOP Return
اﻟﺴﺆال اﻟﻌﺎﺷﺮ :ﻣﺪرج أدﻧﺎﻩ ﺑﺮﻧﺎﻣﺞ ﺗﻌﺮﻳﻒ وﺗﺸﻐﻴﻞ ﺷﺎﺷﺔ إﻇﻬﺎر ﻛﺮﻳﺴﺘﺎﻟﻴﺔ ) ،(LCDواﳌﻄﻠﻮب:
.1ﺗﻮﺻﻴﻞ أﻗﻄﺎب ﺷﺎﺷﺔ اﻹﻇﻬﺎر ﻣﻊ دارة اﳌﺘﺤﻜﻢ اﳌﺒﲔ ﰲ اﻟﺸﻜﻞ ﺑﻨﺎءً ﻋﻠﻰ اﻟﺘﻌﺮﻳﻒ ﰲ اﻟﱪﻧﺎﻣﺞ اﻟﺘﺎﱄ .ﳝﻜﻦ اﻻﺳﺘﻌﺎﻧﺔ ﺑﺎﻟﻮﺛﻴﻘﺔ اﻟﻔﻨﻴﺔ
اﳌﺮﻓﻘﺔ ﻟﺸﺎﺷﺔ اﻹﻇﻬﺎر اﻟﻜﺮﻳﺴﺘﺎﻟﻴﺔ.
.2ﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ ﳕﻂ اﻟﻌﻤﻞ 4-bitو ﳕﻂ اﻟﻌﻤﻞ 8-bitﰲ ﺷﺎﺷﺔ اﻹﻇﻬﺎر LCDوﳌﺎذا وﺟﺪ ﻫﺬا اﻟﻨﻤﻂ 4-bit؟
Config Lcdpin = Pin , Db4 = Portd.0 , Db5 = Portd.1 , Db6 = Portd.2 , Db7 = Portd.3 , E = Portd.4 , Rs = Portd.5
اﻟﺴﺆال اﻟﺤﺎدي ﻋﺸﺮ :ﻣﺪرج أدﻧﺎﻩ ﺑﺮﻧﺎﻣﺞ ﺗﻌﺮﻳﻒ وﺗﺸﻐﻴﻞ ﺷﺎﺷﺔ إﻇﻬﺎر ﻧﻘﻄﻴﺔ ) ،(Graphic-LCDواﳌﻄﻠﻮب ﺗﻮﺻﻴﻞ أﻗﻄﺎب ﺷﺎﺷﺔ
اﻹﻇﻬﺎر ﻣﻊ دارة اﳌﺘﺤﻜﻢ اﳌﺒﲔ ﰲ اﻟﺸﻜﻞ ﺑﻨﺎءً ﻋﻠﻰ اﻟﺘﻌﺮﻳﻒ ﰲ اﻟﱪﻧﺎﻣﺞ .ﳝﻜﻦ اﻻﺳﺘﻌﺎﻧﺔ ﺑﺎﻟﻮﺛﻴﻘﺔ اﻟﻔﻨﻴﺔ اﳌﺮﻓﻘﺔ ﻟﺸﺎﺷﺔ اﻹﻇﻬﺎر اﻟﻨﻘﻄﻴﺔ.
اﻟﺴﺆال اﻟﺜﺎﻧﻲ ﻋﺸﺮ :أدﻧﺎﻩ ﺑﺮﻧﺎﻣﺞ وﺗﺸﻐﻴﻞ ﺷﺎﺷﺔ إﻇﻬﺎر ﻛﺮﻳﺴﺘﺎﻟﻴﺔ ) (LCDﻣﻊ ﻟﻮﺣﺔ ﻣﻔﺎﺗﺢ ﺳﺖ ﻋﺸﺮﻳﺔ ،واﳌﻄﻠﻮب:
.1ﺗﻮﺻﻴﻞ أﻗﻄﺎب ﺷﺎﺷﺔ اﻹﻇﻬﺎر وأﻗﻄﺎب ﻟﻮﺣﺔ اﳌﻔﺎﺗﻴﺢ ﻣﻊ دارة اﳌﺘﺤﻜﻢ اﳌﺒﲔ ﰲ اﻟﺸﻚ اﺳﺘﻨﺎداً إﱃ اﻟﱪﻧﺎﻣﺞ اﻟﺘﺎﱄ.
383 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
Config Lcdpin = Pin , Db4 = Portd.0 , Db5 = Portd.1 , Db6 = Portd.2 , Db7 = Portd.3 , E = Portd.4 , Rs = Portd.5
Config Kbd = Portb , Delay = 500
إﲤﺎم ﺗﻮﺻﻴﻞ اﻟﺪارة: واﳌﻄﻠﻮب، ﻋﻠﻰ اﻟﺸﻜﻞ اﻟﺘﺎﱄ اﻟﻌﻨﺎﺻﺮ اﳌﻄﻠﻮﺑﺔ ﻟﺪارة ﲢﻜﻢ ﲟﺤﺮك ﺧﻄﻮي ﺛﻨﺎﺋﻲ اﻟﻘﻄﺒﻴﺔ:اﻟﺴﺆال اﻟﺜﺎﻟﺚ ﻋﺸﺮ
ﻓﻤﺎ ﻫﻲ ﻃﺮﻳﻘﺔ اﻟﺘﺤﻜﻢ اﳌﱪﳎﺔ ﰲ، ﺑﻨﺎءً ﻋﻠﻰ ﻣﺎ ذﻛﺮﻧﺎﻩ ﰲ اﻟﻔﺼﻞ ﻋﻦ ﻃﺮق اﻟﺘﺤﻜﻢ ﺑﺎﶈﺮﻛﺎت اﳋﻄﻮﻳﺔ.اﺳﺘﻨﺎداً إﱃ اﻟﱪﻧﺎﻣﺞ اﳌﺪرج أدﻧﺎﻩ
اﻟﱪﻧﺎﻣﺞ اﳌﺪرج؟
$regfile = "2313def.dat"
$crystal = 4000000
Config Portb.0 = Output
Config Portb.1 = Output
Config Portb.2 = Output
Config Portb.4 = Output
Do
Set Portd.0 : Reset Portd.1 : Reset Portd.2 : Reset Portd.4 : Waitms 100
Reset Portd.0 : Set Portd.1 : Reset Portd.2 : Reset Portd.4 : Waitms 100
Reset Portd.0 : Reset Portd.1 : Set Portd.2 : Reset Portd.4 : Waitms 100
Reset Portd.0 : Reset Portd.1 : Reset Portd.2 : Set Portd.4 : Waitms 100
Loop
ﻣﺎ ﻫﻮATmega8 ﻊ ﻗﻄﺐ ﻣﺒﺪل ﺗﺸﺎﻬﺑﻲ رﻗﻤﻲ ﻟﻠﻤﻌﺎﰿLM35 اﻟﺴﺆال اﻟﺮاﺑﻊ ﻋﺸﺮ إذا وﺻﻞ ﺣﺴﺎس ﺣﺮارة ﺗﺸﺎﻬﺑﻲ ﻣﻦ اﻟﻨﻮع
: وﺑﻔﺮض أن ﻣﻮاﺻﻔﺎت ﻫﺬا اﳊﺴﺎس ﻫﻲ ﻋﻠﻰ اﻟﺸﻜﻞ اﻟﺘﺎﱄ،ﻣﻮﺿﺢ ﰲ اﻟﺸﻜﻞ أدﻧﺎﻩ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 384
A2 اﳌﻠﺤﻖ اﻟﺜﺎﱐ| Appendix 2
.1وﺟﺪ ﻗﻴﻤﺔ ﺧﺮج اﳌﺒﺪل اﻟﺘﺸﺎﻬﺑﻲ اﻟﺮﻗﻤﻲ ﻋﻨﺪ درﺟﺔ ﺣﺮارة ℃75؟
.2ﻣﺎ ﻫﻮ اﳉﻬﺪ اﳌﺮﺟﻌﻲ ﻟﻠﻤﺒﺪل اﻟﱵ ﺗﻘﱰح اﺳﺘﺨﺪاﻣﻪ وﳌﺎذا؟
.3اﻧﻄﻼﻗﺎً ﳑﺎ ﺳﺒﻖ ،ﻣﺎﻫﻲ اﳌﻌﺎدﻟﺔ اﻟﱵ ﺗﻌﻄﻲ درﺟﺔ اﳊﺮارة اﳊﻘﻴﻘﺔ؟
"$regfile = "m8def.dat
$crystal = 1000000
Config Timer0 = Timer , Prescale = 1 :
Timer0 = 255 : On Timer0 Timer1_isr : Enable Timer0 : Enable Interrupts
Config Portb.0 = Output : Led Alias Portb.0
Do
If Flag = 1 Then : Reset Flag : Toggle Led : End If
Loop
Timer0_isr:
Set Flag : Timer0 = 255
Return
اﻟﺴﺆال اﻟﺨﺎﻣﺲ ﻋﺸﺮ :اﻟﱪﻧﺎﻣﺞ اﻟﺘﺎﱄ ﻳﻘﻮم ﺑﺘﻮﻟﻴﺪ ﻣﻘﺎﻃﻌﺔ ﻣﺆﻗﺖ ﻛﻞ زﻣﻦ ،Tاﳌﻄﻠﻮب:
.1ﺣﺴﺎب ﻗﻴﻤﺔ !T
.2ﻋﺪل ﻗﻴﻤﺔ اﻟﺸﺤﻦ ﻟﻠﻤﺆﻗﺖ ﻣﻦ أﺟﻞ ﺗﻮﻟﻴﺪ ﻣﻘﺎﻃﻌﺔ ﻣﺆﻗﺖ ﻛﻞ 1ﺛﺎﻧﻴﺔ.
.3ﻣﺎﻫﻲ وﻇﻴﻔﺔ ﻫﺬا اﻟﱪﻧﺎﻣﺞ ﻋﻤﻠﻴﺎً وﺑﺎﺧﺘﺼﺎر ﺷﺪﻳﺪ؟
.4ﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ ﻣﻘﺎﻃﻌﺔ ﻃﻔﺤﺎن اﳌﺆﻗﺖ وﻣﻘﺎﻃﻌﺔ ﻧﻈﲑ اﳌﻘﺎرﻧﺔ ﻟﻠﻤﺆﻗﺖ وأي ﻣﻨﻬﻤﺎ ﻳﻌﺘﻤﺪ اﻟﱪﻧﺎﻣﺞ ﺟﺎﻧﺒﺎً؟
.5ﻣﺎﻫﻲ أﻧﻈﻤﺔ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ RTSوأﻳﻦ ﺗﺴﺘﺨﺪم؟
.6ﻣﺎﻫﻮ أﻛﱪ زﻣﻦ ﳝﻜﻦ ﺗﻮﻟﻴﺪﻩ ﺑﻮاﺳﻄﺔ (8-bit) Timer0وﻛﺬﻟﻚ (16-bit) Timer1ﻋﻠﻤﺎً أن ﺗﺮدد اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ ﻫﻮ
.16MHZ
.7ﰲ ﺣﺎل ﻃﻠﺐ ﺗﻮﻟﻴﺪ زﻣﻦ ﻛﺒﲑ ﻧﺴﺒﻴﺎً ) 60دﻗﻴﻘﺔ( وﻫﻮ ﺧﺎرج ﻧﻄﺎق اﳌﺆﻗﺖ ،ﻓﻤﺎ ﻫﻲ ﻓﻜﺮة اﳊﻞ ﺑﺎﺧﺘﺼﺎر ﺷﺪﻳﺪ؟
اﻟﺴﺆال اﻟﺴﺎدس ﻋﺸﺮ :ﻋﻠﻰ اﻟﺸﻜﻞ اﻟﺘﺎﱄ ﳐﻄﻂ وﺑﺮﻧﺎﻣﺞ ﺳﺎﻋﺔ إﻟﻜﱰوﻧﻴﺔ ،واﳌﻄﻠﻮب :ﺷﺮح اﻟﱪﻧﺎﻣﺞ ﺳﻄﺮاً ﺳﻄﺮاً وﺑﺎﺧﺘﺼﺎر! ﻣﺎﻫﻲ
وﻇﻴﻔﺔ اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ (32768HZ) X1ﰲ اﳌﺨﻄﻂ؟
385 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
"$regfile = "m8def.dat
$crystal = 4000000
$baud = 9600
'----------------------
Config Lcdpin = Pin , Db4 = Portb.0 , Db5 = Portb.1 , Db6 = Portb.2 , Db7 = Portb.3 , E = Portb.4 ,
Rs = Portb.5
Config Lcd = 20 * 4
Do
Print Time$ : Print Date$
Lcd Time$ : Locate 2 , 1 : Lcd Date$ : Wait 1 : Cls
Loop
اﻟﺴﺆال اﻟﺴﺎﺑﻊ ﻋﺸﺮ :ﻳﺮاد ﺗﺼﻤﻴﻢ ﻟﻨﻈﺎم ﳛﻮي ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ﻳﺘﻢ رﺑﻄﻪ ﻋﻦ ﻃﺮﻳﻖ ﻧﺎﻓﺬة اﻻﺗﺼﺎﻻت اﻟﺘﺴﻠﺴﻠﻴﺔ ﻟﻠﻤﻌﺎﰿ UARTﻣﻊ
اﳊﺎﺳﺐ ﻋﻦ ﻃﺮﻳﻖ ﻧﺎﻓﺬة اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻲ ،COMواﳌﻄﻠﻮب:
.1ﻫﻞ ﻧﺴﺘﻄﻴﻊ وﺻﻞ اﻟﻨﺎﻓﺬة UARTﻟﻠﻤﺘﺤﻜﻢ ﻣﺒﺎﺷﺮة ﻣﻊ اﳌﻨﻔﺬ COMاﳊﺎﺳﺐ وﳌﺎذا؟
.2ﻣﺎ ﻫﻲ اﳌﺴﺘﻮﻳﺎت اﳌﻨﻄﻘﻴﺔ اﻟﱵ ﲢﺪد ” “1,0ﻟﻠﱪوﺗﻮﻛﻮل RS232؟
.3ﻛﻲ ﻧﺴﺘﻄﻴﻊ رﺑﻂ اﳌﺘﺤﻜﻢ ﻣﻊ اﳊﺎﺳﺐ؟ ﻣﺎﻫﻮ اﳍﺪف ﻣﻦ رﺑﻂ اﳌﺘﺤﻜﻢ ﻣﻊ اﻟﻨﺎﻓﺬة اﻟﺘﺴﻠﺴﻠﻴﺔ ﻟﻠﺤﺎﺳﺐ؟
.4ﻣﺎ ﻫﻮ اﻟﺸﻲء اﻷﺳﺎﺳﻲ اﳌﻄﻠﻮب ﺗﻌﺮﻳﻔﻪ ﺑﺮﳎﻴﺎً ﻟﻴﺘﻢ ﻧﻘﻞ اﻟﺒﻴﺎﻧﺎت ﺑﺸﻜﻞ ﻣﺘﻮاﻗﺖ وﺳﻠﻴﻢ ﺑﲔ اﻟﻄﺮﻓﲔ؟
.5أرﺳﻢ ﳐﻄﻄﺎً ﺻﻨﺪوﻗﻴﺎً ﻣﺒﺴﻄﺎً ﻟﻠﻨﻈﺎم.
اﻟﺴﺆال اﻟﺜﺎﻣﻦ ﻋﺸﺮ :ﺑﻨﺎءً ﻋﻠﻰ اﳌﺨﻄﻂ اﻟﻨﻈﺮي ﻟﻠﺪارة أدﻧﺎﻩ وﺑﺎﻻﺳﺘﻌﺎﻧﺔ ﺑﺎﻟﺘﻌﻠﻴﻤﺎت اﳌﺪرﺟﺔ:
.1ﻗﻢ ﺑﻜﺘﺎﺑﺔ ﺑﺮﻧﺎﻣﺞ ﻋﺪاد ﻹﻇﻬﺎر اﻷرﻗﺎم ﻣﻦ ﺻﻔﺮ إﱃ ﺗﺴﻌﺔ ﻋﻠﻰ ﺷﺎﺷﺔ اﻹﻇﻬﺎر اﻟﺴﺒﺎﻋﻴﺔ.
.2أﺣﺴﺐ ﻗﻴﻤﺔ اﳌﻘﺎوﻣﺔ R2ﻋﻠﻤﺎً أن ﺗﻴﺎر اﻟﻘﻄﻌﺔ اﻟﻀﻮﺋﻴﺔ اﻟﻮاﺣﺪة 10mAوﺟﻬﺪ ﻋﻤﻠﻬﺎ .2V
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 386
A2 اﳌﻠﺤﻖ اﻟﺜﺎﱐ| Appendix 2
Dim I As Byte
For I = 0 To 9
Next I
)Portb = Lookup(i , Seg
Config Portb = Output
Seg:
Data &H40,&H79,&H24,&H30,&H19,&H12, &H02,&H78,&H00
"$regfile = "m8def.dat
$crystal = 4000000
اﻟﺴﺆال اﻟﺘﺎﺳﻊ ﻋﺸﺮ :إذا ﻃﻠﺐ ﻣﻨﻚ ﺗﺼﻤﻴﻢ ﻧﻈﺎم إﻟﻜﱰوﱐ ﳌﺮاﻗﺒﺔ ﺣﺎﻟﺔ اﻟﻄﻘﺲ ﻣﻦ ﺧﻼل اﳊﺴﺎﺳﺎت اﻟﺘﺎﻟﻴﺔ :ﺣﺴﺎس ﺣﺮارة ذو ﺧﺮج
رﻗﻤﻲ ) ،SPIﺣﺴﺎس رﻃﻮﺑﺔ ذو ﺧﺮج ﺗﺸﺎﻬﺑﻲ ،ﺴﺎس ﺿﻐﻂ ﺟﻮي ذو ﺧﺮج ﺗﺸﺎﻬﺑﻲ ،ﺴﺎس ﺳﺮﻋﺔ اﻟﺮﻳﺎح ذو ﺧﺮج ﺗﺸﺎﻬﺑﻲ ،ﺣﺴﺎس
ﻣﺴﺘﻮى اﳌﻴﺎﻩ ذو ﺧﺮج رﻗﻤﻲ.
.1إذا ﻛﺎن اﳌﻄﻠﻮب ﻗﺮاءة ﺣﺎﻟﺔ ﻫﺬﻩ اﳊﺴﺎﺳﺎت وﺗﺴﺠﻴﻞ اﻟﻘﻴﻢ وﻣﻌﺎﳉﺔ اﻟﻨﺘﺎﺋﺞ ﺧﻼل ﻓﻮاﺻﻞ زﻣﻨﻴﺔ ﻗﺪرﻫﺎ 5دﻗﺎﺋﻖ ،ﻫﻞ ﺗﻘﱰح اﺳﺘﺨﺪام
ﻣﻌﺎﰿ ﻣﺼﻐﺮ أو ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ﻟﺘﻨﻔﻴﺬ ﻫﺬا اﻟﻨﻈﺎم وﳌﺎذا؟
.2ﺑﻔﺮض أن ﺣﺴﺎس اﳊﺮارة رﻗﻤﻲ ﺗﺴﻠﺴﻠﻲ )ﺑﺮوﺗﻮﻛﻮل (SPIوﺣﺴﺎس ﻣﺴﺘﻮى اﳌﻴﺎﻩ رﻗﻤﻲ ﲞﺮج ﺗﻔﺮﻋﻲ ،6-bitsوﺑﺎﻗﻲ اﳊﺴﺎﺳﺎت
ﲞﺮج ﺸﺎﻬﺑﻲ ،ﻛﻢ ﻋﺪد أﻗﻄﺎب اﳌﻌﺎﰿ اﻟﱵ ﲢﺘﺎﺟﻬﺎ ﻟﻮﺻﻞ ﲨﻴﻊ اﳊﺴﺎﺳﺎت وﻣﺎ ﻫﻲ وﻇﺎﺋﻒ اﻷﻗﻄﺎب؟
.3ﻣﺎ ﻫﻮ ﺗﺼﻮرك ﻟﻠﻤﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ اﻟﻌﺎم ﳍﺬا اﻟﻨﻈﺎم؟
.4إذا ﰎ ﺗﻌﺪﻳﻞ اﳌﺸﺮوع ﻦ أﺟﻞ ﺗﺼﻤﻴﻢ ﻧﻈﺎم ﻗﻴﺎس ﺑﺎﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﻋﻠﻤﺎً أﻧﻪ ﰎ إﺿﺎﻓﺔ ﺣﺴﺎس ﻟﻘﻴﺎس إﺷﺎرات ﺗﺸﺎﻬﺑﻴﺔ ﻳﺒﻠﻎ ﺗﺮددﻫﺎ
،100MHZﻓﻤﺎ ﻫﻮ اﻗﱰاﺣﻚ وﳌﺎذا؟
اﻟﺴﺆال اﻟﻌﺸﺮون :ﻳﺮاد ﺗﺼﻤﻴﻢ ﻧﻈﺎم ﲢﻜﻢ ﺑﺎﺳﺘﺨﺪام اﻷﺷﻌﺔ ﲢﺖ اﳊﻤﺮاء .واﳌﻄﻠﻮب:
.1ﻣﺎ ﻫﻲ ﺑﻨﻴﺔ اﻟﱪوﺗﻮﻛﻮل RC5 Codeوﻣﺎ ﻫﻲ اﳌﻘﺎﻃﻌﺔ اﻟﱵ ﻳﺴﺘﺨﺪﻣﻬﺎ ﰲ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ Bascom-AVR؟
.2ﻣﺎ ﻫﻲ ﺗﻌﻠﻴﻤﺔ اﻹرﺳﺎل واﻻﺳﺘﻘﺒﺎل ﻟﻠﱪوﺗﻮﻛﻮل RC5ﰲ اﻟﺒﻴﺌﺔ اﻟﱪﳎﻴﺔ Bascom-AVR؟
.3ﻣﺎ ﻫﻮ زﻣﻦ ﻋﻄﺎﻟﺔ اﻹرﺳﺎل ﺑﲔ أﻣﺮﻳﻦ ﻣﺘﺘﺎﻟﻴﲔ؟
.4ﻣﺎ ﻫﻮ ﻋﺪد أواﻣﺮ اﻟﺘﺤﻜﻢ اﻷﻋﻈﻤﻲ اﻟﱵ ﳝﻜﻦ إرﺳﺎﳍﺎ ﺑﺎﺳﺘﺨﺪام اﻟﱪوﺗﻮﻛﻮل RC5؟
387 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 388
A3 اﳌﻠﺤﻖ اﻟﺜﺎﻟﺚ| Appendix 3
@’y˝€a@äbjnǸaÎ@áÓˇn€a@äbjnǸa@xàbπ
اﻟﺴﺆال اﻷول ) :(120Secﻋﻠﻰ ﻣﺎذا ﺗﻌﺘﻤﺪ ﻋﻤﻠﻴﺔ ﺗﺸﻐﻴﻞ ﻟﻮﺣﺔ اﻹﻇﻬﺎر ذات اﻟﺴﺒﻊ ﻗﻄﻊ؟
اﻟﺴﺆال اﻟﺜﺎﱐ ) :(120Secأرﺳﻢ ﳐﻄﻂ اﻟﺒﻨﻴﺔ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻮﺣﺔ إﻇﻬﺎر ذات ﺳﺒﻊ ﻗﻄﻊ ذات ﻣﻬﺒﻂ ﻣﺸﱰك.
اﻟﺴﺆال اﻟﺜﺎﻟﺚ ) :(300Secأوﺟﺪ ﺟﺪول ﺗﺸﻔﲑ ﻟﻮﺣﺔ إﻇﻬﺎر ﺳﺒﺎﻋﻴﺔ اﻟﻘﻄﻊ ذات ﻣﺼﻌﺪ ﻣﺸﱰك.
اﻟﺴﺆال اﻟﺮاﺑﻊ ) :(180Secﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ ﻟﻠﻤﻌﺎﰿ وﻫﺰاز اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ وﻣﺎ ﻫﻲ ﻗﻴﻤﺔ ﻛﻞ ﻣﻨﻬﻤﺎ وﻣﺎ ﻫﻲ اﻟﻮﺣﺪة
اﳌﺴﺌﻮﻟﺔ ﻋﻦ ﺗﻮﻟﻴﺪ اﻟﺰﻣﻦ اﳊﻘﻴﻘﻲ ﰲ اﳌﻌﺎﰿ؟
اﻟﺴﺆال اﳋﺎﻣﺲ ) :(180Secﻣﺎ ﻫﻮ ﻋﺪد اﻷﻗﻄﺎب اﻟﱵ ﳛﺘﺎﺟﻬﺎ ﺗﻮﺻﻴﻞ ﺷﺒﻜﺔ ﻻدر ﺑﺪﻗﺔ 32-bitوﻣﺎ ﻫﻮ اﻗﱰاﺣﻚ ﻟﺘﻮﺻﻴﻠﻬﺎ ﻣﻊ ﻣﺘﺤﻜﻢ
ﻣﺼﻐﺮ ) ATmega8دون أن ﻳﺘﻢ اﺳﺘﺒﺪاﻟﻪ( ﻋﻠﻤﺎً أن ﻫﺬا اﳌﺘﺤﻜﻢ ﳝﻠﻚ ﻓﻘﻂ 22-I/Os؟
اﻟﺴﺆال اﻟﺴﺎدس ) (180Secﻣﺎ ﻫﻲ أﳕﺎط ﻋﻤﻞ وﺣﺪة اﳌﻘﺎرﻧﺔ اﻟﺘﺸﺎﻬﺑﻴﺔ ﰲ اﳌﺘﺤﻜﻢ ATmega8؟
389 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
اﻟﺴﺆال اﻷول ) :(600Secأﻛﺘﺐ ﺑﺮﻧﺎﻣﺞ ﺗﺸﻐﻴﻞ ﻟﻮﺣﺔ إﻇﻬﺎر ﻣﻔﺮدة ﺑﺄﻗﺼﺮ ﻃﺮﻳﻘﺔ وأﻗﻞ ﻋﺪد ﳑﻜﻦ ﻣﻦ اﻟﺘﻌﻠﻴﻤﺎت ﻣﻊ اﻟﺮﺳﻢ ،واﻗﱰح ﻣﺎ
ﺗﺮاﻩ ﻣﻨﺎﺳﺒﺎً .
اﻟﺴﺆال اﻟﺜﺎﱐ ) :(180Secﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ ﲝﻴﺚ ﻳﺘﻢ رﺑﻂ ﻛﻞ ﺗﻌﻠﻴﻤﺔ ﻣﻊ وﻇﻴﻔﺘﻬﺎ
ﺑﺘﻮزﻳﻊ اﻷرﻗﺎم ﻟﻠﺘﻌﻠﻴﻤﺎت ﻋﻠﻰ اﻟﻌﻤﻮد اﻟﺜﺎﱐ.
اﻟﺴﺆال اﻟﺮاﺑﻊ ) :(600Secﻗﻢ ﺑﺘﺼﻤﻴﻢ ﺷﺒﻜﺔ ﻻدر ﺑﺪﻗﺔ 10-bitواﺣﺴﺐ ﳎﺎل اﻟﻘﻴﻢ اﻟﺮﻗﻤﻴﺔ وأوﺟﺪ ﺟﻬﺪ اﳋﻄﻮة وﺟﻬﺪ ﺧﺮج اﻟﺸﺒﻜﺔ.
وﻣﺎ ﻫﻲ اﻟﻐﺎﻳﺔ ﻣﻦ دارة اﻟﻌﺰل وﻣﺎ ﻫﻲ اﳌﺸﺎﻛﻞ اﻟﱵ ﺳﺘﻈﻬﺮ ﻧﺘﻴﺠﺔ اﺳﺘﺨﺪام اﻟﻌﺎزل؟
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 390
A3 اﳌﻠﺤﻖ اﻟﺜﺎﻟﺚ| Appendix 3
اﻟﺴﺆال اﳋﺎﻣﺲ ) :(480Secﻗﻢ ﺑﺘﻮﺻﻴﻒ ﻋﻤﻞ اﻟﱪﻧﺎﻣﺞ اﻟﺘﺎﱄ ﻣﻊ ﲢﺪﻳﺪ ﳕﻂ ﻋﻤﻞ اﳌﻘﺎرن ورﺳﻢ ﳐﻄﻂ ﺧﻮارزﻣﻲ.
"$regfile = "m128def.dat
$crystal = 8000000
'-----------------------
Config Aci = On , Compare = Off , Trigger = Rising
On Aci Isr_aci
Enable Aci
Start Ac
Enable Interrupts
'-----------------------
Dim I As Byte
'-----------------------
Do
nop
Loop
End
'-----------------------
Isr_aci:
I = I + 1
Print "change: " ; I
Waitms 100
Return
اﻟﺴﺆال اﻷول ) :180Secﻣﺎ ﻫﻲ دﻗﺔ اﳌﺒﺪﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ ﻟﻠﻌﺎﺋﻠﺔ AVRﻛﻢ ﻋﺪد اﳌﺒﺪﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ ﰲ اﳌﺘﺤﻜﻢ
ATmega128ﻣﺎ ﻫﻲ أﳕﺎط ﻋﻤﻞ اﳌﺒﺪﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ ﻟﻠﻌﺎﺋﻠﺔ AVR؟
اﻟﺴﺆال اﻟﺜﺎﱐ ) :(240Secﻣﺎﻫﻲ وﻇﻴﻔﺔ اﳌﻘﺴﻢ اﻟﱰددي ﻟﻠﻤﺒﺪل )(Prescaler؟ ﻣﺎ ﻫﻲ وﻇﻴﻔﺔ اﳉﻬﺪ اﳌﺮﺟﻌﻲ وﻣﺎ ﻫﻲ أﻧﻮاﻋﻪ اﳌﺘﻮﻓﺮة ﰲ
ﻣﺘﺤﻜﻤﺎت اﻟﻌﺎﺋﻠﺔ AVR؟
اﻟﺴﺆال اﻟﺜﺎﻟﺚ ) :300Secاﺷﺮح ﻃﺮﻳﻘﺔ ﺗﻔﻌﻴﻞ ﳕﻂ ﲣﻔﻴﺾ ﺿﺠﻴﺞ اﳌﺒﺪل؟ ﻛﻴﻒ ﻳﺘﻢ ﻋﺰل ﺟﻬﺪ اﻟﺘﻐﺬﻳﺔ اﻟﺘﺸﺎﻬﺑﻲ ﻋﻦ ﺟﻬﺪ اﻟﺘﻐﺬﻳﺔ
اﻟﺮﻗﻤﻲ وﳌﺎذا؟
اﻟﺴﺆال اﻟﺮاﺑﻊ ) :(180Secأﻛﺘﺐ ﻋﻼﻗﺔ ﺣﺴﺎب ﻗﻴﻤﺔ ﻣﺴﺠﻞ اﳌﺒﺪل؟ أﻛﺘﺐ ﻋﻼﻗﺔ اﳉﻬﺪ ﻋﻠﻰ دﺧﻞ اﳌﺒﺪل؟
اﻟﺴﺆال اﳋﺎﻣﺲ ) :180Secﺑﻔﺮض أن ﺟﻬﺪ ﺧﺮج ﺣﺴﺎس ﺗﺸﺎﻬﺑﻲ ﻣﻮﺻﻞ إﱃ ﻗﻄﺐ ﻣﺒﺪل ADCﻟﻠﻤﺘﺤﻜﻢ ATmega128ﻳﱰاوح
ﻣﻦ ،0~1Vﻣﺎ ﻫﻮ اﻗﱰاﺣﻚ ﻟﺪارة ﺟﻬﺪ ﻣﺮﺟﻌﻲ ﺧﺎرﺟﻲ؟
اﻟﺴﺆال اﻷول ) :(300Secﻳﺮاد ﺗﻮﺻﻴﻞ ﲦﺎﻧﻴﺔ ﻣﻔﺎﺗﻴﺢ ﻣﻊ ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ ﻳﺘﻮﻓﺮ ﻓﻴﻪ ﻗﻄﺐ واﺣﺪ ﻓﻘﻂ ،ﺑﺎﻟﺼﺪﻓﺔ وﺟﺪ أن اﻟﻮﻇﻴﻔﺔ اﻟﺜﺎوﻳﺔ
ﳍﺬا اﻟﻘﻄﺐ ﻫﻲ ،ADC7ﻣﺎ ﻫﻮ اﻗﱰاﺣﻚ ﳊﻞ ﻫﺬﻩ اﳌﺸﻜﻠﺔ وﺿﺢ ﺑﺎﻟﺮﺳﻢ؟
391 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
Stop Adc
)var = Getadc(channel
اﻟﺴﺆال اﻟﺜﺎﻟﺚ ) :300Secﻣﺎ ﻫﻲ اﻻﻋﺘﺒﺎرات اﻟﻌﻤﻠﻴﺔ ﻟﺘﺨﻔﻴﺾ ﺿﺠﻴﺞ اﳌﺒﺪﻻت اﻟﺘﺸﺎﻬﺑﻴﺔ اﻟﺮﻗﻤﻴﺔ واﺳﺘﻘﺮار ﻋﻤﻠﻬﺎ ﰲ ﻣﺘﺤﻜﻤﺎت
AVR؟
اﻟﺴﺆال اﻟﺮاﺑﻊ ) :900Secﺑﻔﺮض أﻧﻪ ﻃﻠﺐ ﻣﻨﻚ ﺗﺼﻤﻴﻢ ﻣﻨﻈﻮﻣﺔ ﻗﻴﺎس رﻗﻤﻴﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﳎﻤﻮﻋﺔ ﺣﺴﺎﺳﺎت ﺗﺸﺎﻬﺑﻴﺔ ﻟﻴﺘﻢ اﺳﺘﺨﺪاﻣﻬﺎ ﰲ
ﳏﻄﺔ أرﺻﺎد ﺟﻮﻳﺔ ،اﻗﱰح ﻣﺎ ﺗﺸﺎء ﻣﻦ اﳊﺴﺎﺳﺎت وﻗﻢ ﺑﺮﺳﻢ اﳌﺨﻄﻂ اﻟﺼﻨﺪوﻗﻲ ﻟﻠﻨﻈﺎم وﺣﺪد ﻋﺪد أﻗﻄﺎب اﳌﺒﺪﻻت وﳕﻂ ﻋﻤﻠﻬﺎ وارﺳﻢ
اﳌﺨﻄﻂ اﳋﻮارزﻣﻲ.
اﻟﺴﺆال اﳋﺎﻣﺲ ) :(300Secﻣﺎ ﻫﻲ وﻇﻴﻔﺔ اﻟﺪارة اﻟﺘﺎﻟﻴﺔ وﻣﺎ ﻫﻮ اﻗﱰاﺣﻚ ﳊﻞ ﺗﻘﲏ أﻓﻀﻞ )دﻗﺔ أﻋﻠﻰ(؟
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 392
A4 اﳌﻠﺤﻖ اﻟﺮاﺑﻊ| Appendix 4
@Ú‘‹ÃæaÎ@ÚyÏn–æa@Ú‘‹®a@xàχ‰€@äbjnǸa@xàbπ
اﻟﺴﺆال اﻟﺜﺎﱐ :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ ﲝﻴﺚ ﻳﺘﻢ اﻟﺮﺑﻂ ﺑﲔ ﻛﻞ ﻋﺎﺋﻠﺔ ﻣﻦ ﻋﺎﺋﻠﺔ اﳌﻌﺎﳉﺎت
وﺳﺮﻋﺘﻬﺎ اﻷﻋﻈﻤﻴﺔ.
اﻟﻌﻤﻮد اﻷول اﻟﻌﻤﻮد اﻟﺜﺎﱐ
PIC 16MHZ/1Cycle = 16MIPS
8051 20MHZ/4Cycle = 5MIPS
AVR 24MHZ/12Cycle = 2MIPS
اﻟﺴﺆال اﻟﺜﺎﻟﺚ :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ واﻟﺜﺎﻟﺚ ﲝﻴﺚ ﻳﺘﻢ رﺑﻂ اﻟﺒﻨﻴﺔ اﻟﺘﺼﻤﻴﻤﻴﺔ ﻟﻠﻤﻌﺎﳉﺎت
ﻋﺪد ﺗﻌﻠﻴﻤﺎﻬﺗﺎ وﻓﻘﺎً ﻟﺘﻘﻨﻴﺔ اﻟﺘﺼﻤﻴﻢ اﻟﱵ ﻳﻌﺘﻤﺪﻫﺎ ﻛﻞ ﻣﻌﺎﰿ.
اﻟﺴﺆال اﻟﺮاﺑﻊ :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ واﻟﺜﺎﻟﺚ ﲝﻴﺚ ﻳﺘﻢ رﺑﻂ ﻛﻞ ﺗﻌﻠﻴﻤﺔ ﻣﻊ وﻇﻴﻔﺘﻬﺎ ﺑﺘﻮزﻳﻊ
اﻷرﻗﺎم ﻟﻠﺘﻌﻠﻴﻤﺎت ﻋﻠﻰ اﻟﻌﻤﻮد اﻟﺜﺎﱐ.
393 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
Config Pinc.5 = Input .15ﺗﻔﻌﻴﻞ ﻣﻘﺎوﻣﺔ اﻟﺮﻓﻊ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻘﻄﺐ رﻗﻢ 5ﻣﻦ اﻟﺒﻮاﺑﺔ C
Leds Alias Portd 5ﻣﻦ اﻟﺒﻮاﺑﺔ C .16إﻟﻐﺎء ﺗﻔﻌﻴﻞ ﻣﻘﺎوﻣﺔ اﻟﺮﻓﻊ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﻘﻄﺐ رﻗﻢ
Leds Alias Portd.5 .17ﺗﻔﻌﻴﻞ ﺑﻌﺾ ﻣﻘﺎوﻣﺎت اﻟﺮﻓﻊ اﻟﺪاﺧﻠﻴﺔ ﻟﻠﺒﻮاﺑﺔ C
اﻟﺴﺆال اﳋﺎﻣﺲ :ﻗﻢ ﺑﱰﻗﻴﻢ اﳌﺮاﺣﻞ ﰲ اﳉﺪول ﲝﻴﺚ ﲢﻘﻖ اﻟﱰﺗﻴﺐ اﻷﻣﺜﻠﻲ ﳍﻴﻜﻠﻴﺔ ﻛﺘﺎﺑﺔ ﻛﻮد ﺑﺮﳎﻲ ﻗﺎﺑﻞ ﻟﻠﺘﻄﻮﻳﺮ واﻟﺘﻨﻘﻴﺢ.
رﻗﻢ اﳌﺮﺣﻠﺔ
اﳌﺘﺤﻮﻻت )(Variables
ﻛﺘﻠﺔ اﻟﱪاﻣﺞ اﻟﻔﺮﻋﻴﺔ )(Sub-Routines
ﻛﺘﻠﺔ اﻟﱪﻧﺎﻣﺞ اﻟﺮﺋﻴﺴﻲ )(Main Program
اﻟﺘﻮﺟﻴﻬﺎت )(Directives
اﻟﺘﻬﻴﺌﺔ )(Configurations
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 394
A4 اﳌﻠﺤﻖ اﻟﺮاﺑﻊ| Appendix 4
اﻟﺴﺆال اﻟﺴﺎدس :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ ﲝﻴﺚ ﻳﺘﻢ اﻟﺮﺑﻂ ﺑﲔ ﻣﻌﻴﺎرﻳﺔ اﻟﺘﺼﻤﻴﻢ وﳐﻄﻄﻬﺎ
اﻟﺼﻨﺪوﻗﻲ.
ﻣﻌﻴﺎرﻳﺔ
Von-Neumann
ﻣﻌﻴﺎرﻳﺔ
Harvard
اﻟﺴﺆال اﻷول :ﻣﺎ ﻫﻲ ﻗﻴﻢ اﳌﺘﺤﻮل " "Varاﻟﱵ ﺳﺘﻌﻴﺪﻫﺎ اﻟﺘﻌﻠﻴﻤﺔ ")( "Getkbdﻣﻦ أﺟﻞ ﻛﻞ ﻣﻔﺘﺎح؟
= VAR_S1 = VAR_S9
= VAR_S2 = *VAR_S
= VAR_S3 = VAR_S0
= VAR_S4 = VAR_S#
= VAR_S5 = VAR_A
= VAR_S6 = VAR_B
= VAR_S7 = VAR_C
= VAR_S8 = VAR_D
اﻟﺴﺆال اﻟﺜﺎﱐ:
.1ﻣﺎ ﻫﻲ اﻟﻐﺎﻳﺔ ﻣﻦ اﺳﺘﺨﺪام ﻣﻘﺎوﻣﺎت اﻟﺴﺤﺐ اﳋﺎرﺟﻴﺔ )Pull-
(Downوﻣﱴ ﳓﺘﺎﺟﻬﺎ؟
.2أﻻ ﳝﻜﻦ اﻻﺳﺘﻌﺎﺿﺔ ﻋﻨﻬﺎ ﲟﻘﺎوﻣﺔ اﻟﺴﺤﺐ اﻟﺪاﺧﻠﻴﺔ ﰲ ﺑﻨﻴﺔ
ﺑﻮاﺑﺔ اﳌﻌﺎﰿ؟
.3ﻣﱴ ﻧﺴﺘﺨﺪم ﻣﻘﺎوﻣﺔ ﺳﺤﺐ )(Pull-Down Resistor
وﻣﱴ ﻧﺴﺘﺨﺪم ﻣﻘﺎوﻣﺔ رﻓﻊ )(Pull-Up Resistor؟
395 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
اﻟﺴﺆال اﻟﺜﺎﻟﺚ :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ واﻟﺜﺎﻟﺚ ﲝﻴﺚ ﻳﺘﻢ رﺑﻂ ﻛﻞ ﺗﻌﻠﻴﻤﺔ ﻣﻊ وﻇﻴﻔﺘﻬﺎ ﺑﺘﻮزﻳﻊ
اﻷرﻗﺎم ﻟﻠﺘﻌﻠﻴﻤﺎت ﻋﻠﻰ اﻟﻌﻤﻮد اﻟﺜﺎﱐ.
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 396
A4 اﳌﻠﺤﻖ اﻟﺮاﺑﻊ| Appendix 4
اﻟﺴﺆال اﻟﺮاﺑﻊ :إذا ﻛﺎن ﻟﺪﻳﻨﺎ ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ " "ATmega128وﺷﺎﺷﺔ إﻇﻬﺎر ﻛﺮﻳﺴﺘﺎﻟﻴﺔ " "LCD 20x4وﻟﻮﺣﺔ ﻣﻔﺎﺗﻴﺢ ﺳﺖ ﻋﺸﺮﻳﺔ
ﻣﻀﺎﻓﺎً إﻟﻴﻬﺎ ﺳﻄﺮﻳﻦ إﺿﺎﻓﻴﲔ ،ﻓﻘﻢ ﺑﻮﺻﻞ ﺷﺎﺷﺔ اﻹﻇﻬﺎر وﻟﻮﺣﺔ اﳌﻔﺎﺗﻴﺢ ﻣﻊ اﳌﻌﺎﰿ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺗﻌﺮﻳﻔﺎت اﻟﺘﻌﻠﻴﻤﺎت اﳌﺪرﺟﺔ.
Config Lcdpin = Pin,
Db4 = Portf.4, Db5 = Portf.5,
Db6 = Portf.6, Db7 = Portf.7,
E = Portf.2, Rs = Portf.3
)(Var = Getkbd
اﻟﺴﺆال اﻷول :إذا ﻛﺎن ﻟﺪﻳﻨﺎ ﻣﺘﺤﻜﻢ ﻣﺼﻐﺮ " "ATmega128وﺷﺎﺷﺔ إﻇﻬﺎر رﺳﻮﻣﻴﺔ " ،"GLCD 128x64ﻓﻘﻢ ﺑﻮﺻﻞ ﺷﺎﺷﺔ
اﻹﻇﻬﺎر ﻣﻊ اﳌﻌﺎﰿ ﺑﺎﻻﻋﺘﻤﺎد ﻋﻠﻰ ﺗﻌﺮﻳﻔﺎت اﻟﺘﻌﻠﻴﻤﺎت اﳌﺪرﺟﺔ.
397 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
اﻟﺴﺆال اﻟﺜﺎﱐ :ﻣﺎ ﻫﻮ اﻟﻔﺮق ﺑﲔ ﺷﺎﺷﺔ اﻹﻇﻬﺎر اﻟﻜﺮﻳﺴﺘﺎﻟﻴﺔ وﺷﺎﺷﺔ اﻹﻇﻬﺎر اﻟﺮﺳﻮﻣﻴﺔ؟ وﻫﻞ ﳝﻜﻦ اﺳﺘﺨﺪام ﳕﻂ 4-bitﻟﺮﺑﻂ أﻗﻄﺎب اﻟـ
Data-busﻟﺸﺎﺷﺔ اﻹﻇﻬﺎر اﻟﺮﺳﻮﻣﻴﺔ؟
اﻟﺴﺆال اﻟﺜﺎﻟﺚ :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ ﲝﻴﺚ ﻳﺘﻢ رﺑﻂ ﻛﻞ ﺗﻌﻠﻴﻤﺔ ﻣﻊ وﻇﻴﻔﺘﻬﺎ ﺑﺘﻮزﻳﻊ اﻷرﻗﺎم
ﻟﻠﺘﻌﻠﻴﻤﺎت ﻋﻠﻰ اﻟﻌﻤﻮد اﻟﺜﺎﱐ.
اﻟﺴﺆال اﻷول :ﻣﺎ ﻫﻲ ﻣﺮاﺣﻞ ﺧﻮارزﻣﻴﺔ ﻣﺴﺢ ﺛﻼث ﻟﻮﺣﺎت إﻇﻬﺎر ذات ﺳﺒﻊ ﻗﻄﻊ؟
اﻟﺴﺆال اﻟﺜﺎﱐ :ﻣﺎ ﻫﻮ دﻟﻴﻞ ) (Indexاﻟﻌﻨﺼﺮ اﻷول ) (var1ﰲ اﻟﺘﻌﻠﻴﻤﺔ.[Data var1 , var2 , var3] :
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 398
A4 اﳌﻠﺤﻖ اﻟﺮاﺑﻊ| Appendix 4
اﻟﺴﺆال اﻟﺜﺎﻟﺚ :اﳌﻄﻠﻮب إﳚﺎد ﺟﺪول اﻟﺘﺸﻔﲑ ﻣﻦ أﺟﻞ ﺗﺸﻐﻴﻞ ﻟﻮﺣﺔ إﻇﻬﺎر ﺳﺒﺎﻋﻴﺔ ذات ﻣﻬﺒﻂ ﻣﺸﱰك ﻟﻸرﻗﺎم اﳌﺪرﺟﺔ.
اﻟﻘﻴﻤﺔ ﻋﻠﻰ اﻟﺒﻮاﺑﺔ PortC اﻟﺮﻗﻢ اﻟﻤﻄﻠﻮب
7-H 6-G 5-F 4-E 3-D 2-C 1-B 0-A إﻇﻬﺎرﻩ
0
1
2
3
4
5
6
7
8
9
اﻟﺴﺆال اﻟﺮاﺑﻊ :ﻗﻢ ﺑﺮﺑﻂ اﻟﻌﻨﺎﺻﺮ ﻣﻦ اﻟﻌﻤﻮد اﻷول ﻣﻊ ﻣﺎ ﻳﻘﺎﺑﻠﻬﺎ ﻣﻦ اﻟﻌﻤﻮد اﻟﺜﺎﱐ ﲝﻴﺚ ﻳﺘﻢ رﺑﻂ ﻛﻞ ﺗﻌﻠﻴﻤﺔ ﻣﻊ وﻇﻴﻔﺘﻬﺎ ﺑﺘﻮزﻳﻊ اﻷرﻗﺎم
ﻟﻠﺘﻌﻠﻴﻤﺎت ﻋﻠﻰ اﻟﻌﻤﻮد اﻟﺜﺎﱐ.
اﻟﻌﻤﻮد اﻷول )ﺷﻜﻞ اﻟﺘﻌﻠﻴﻤﺔ( No. اﻟﻌﻤﻮد اﻟﺜﺎﱐ )وﻇﻴﻔﺔ اﻟﺘﻌﻠﻴﻤﺔ(
]Shift var , Right/Left [,shift .1ﺗﻌﺮﻳﻒ اﻟﻘﻄﺐ اﳌﻮﺻﻮل ﻣﻊ ﺧﺮج ﻣﺴﺘﻘﺒﻞ IR
]Rotate var , Right/Left [,rotate .2ﻓﺤﺺ اﻟﻌﻨﻮان واﻷﻣﺮ ﻣﻦ اﳉﻬﺎز اﳌﺮﺳﻞ
)var = Getrc(pinx , y RC5 .3ﺗﻌﻠﻴﻤﺔ إرﺳﺎل وﻓﻖ اﻟﱪوﺗﻮﻛﻮل
Data var1 , … , varn .4ﲣﺰﻳﻦ ﺑﻴﺎﻧﺎت ﰲ ذاﻛﺮة اﻟﱪﻧﺎﻣﺞ ﻋﻨﺪ ﻻﻓﺘﺔ ﻣﻌﻴﻨﺔ
label .5ﺟﻠﺐ ﻗﻴﻤﺔ ﻣﻦ LUTﳐﺰن ﰲ Dataﻋﻨﺪ اﻟﻼﻓﺘﺔ
)Var=Lookdown(value,Label,Entries
وﻟﻪ اﻟﺪﻟﻴﻞ .Index
.6ﻗﻴﺎس زﻣﻦ ﺗﻔﺮﻳﻎ اﳌﻜﺜﻒ واﻟﻨﺎﺗﺞ ﻫﻮ ﺛﺎﺑﺖ زﻣﲏ ﺗﺘﻌﻠﻖ ﻗﻴﻤﺘﻪ
Config Rc5 = Pinb.7
ﺑﻘﻴﻤﺔ اﳌﻘﺎوﻣﺔ واﳌﻜﺜﻒ ﻣﻌﺎً!
.7إزاﺣﺔ ﺑﺖ ﻣﻦ ﻣﺘﺤﻮل إﱃ اﻟﻴﻤﲔ أو اﻟﻴﺴﺎر وﻋﺪد ﺧﺎﻧﺎت
)Getrc5(address , Command
اﻹزاﺣﺔ ﳏﺪدة
.8ﺗﺪوﻳﺮ ﺑﺖ ﻣﻦ ﻣﺘﺤﻮل إﱃ اﻟﻴﻤﲔ أو اﻟﻴﺴﺎر وﻋﺪد ﺧﺎﻧﺎت
)Var = Lookupstr(Index , Label
اﻟﺪوران ﳏﺪدة
.9اﻟﺒﺤﺚ ﻋﻦ دﻟﻴﻞ ) (Indexﻗﻴﻤﺔ ﻣﻌﻴﻨﺔ ) (valueﳐﺰن ﰲ
Restore Label
Dataﻋﻨﺪ اﻟﻼﻓﺘﺔ labelوﻋﺪد ﳏﺪد ﻣﻦ اﻟﻌﻨﺎﺻﺮ.
.10ﺗﻘﻮم ﺑﻮﺿﻊ ﻣﺆﺷﺮ )ﻋﺪاد( ﺑﻴﺎﻧﺎت إﱃ أول ﻋﻨﺼﺮ ﻣﻮﺟﻮد ﰲ
Read Var LUTﳐﺰن ﰲ Dataﻋﻨﺪ اﻟﻼﻓﺘﺔ labelﻣﻦ أﺟﻞ ﻗﺮاءة
اﻟﺒﻴﺎﻧﺎت ﺑﺎﺳﺘﺨﺪام اﻟﺘﻌﻠﻴﻤﺔ Read Var
label .11ﺟﻠﺐ ﳏﺮف ﻣﻦ LUTﳐﺰن ﰲ Dataﻋﻨﺪ اﻟﻼﻓﺘﺔ
)var = Lookup(Index , label
وﻟﻪ اﻟﺪﻟﻴﻞ .Index
Rc5send Togbit , Address , .12ﻗﺮاءة اﻟﻘﻴﻢ اﳌﻮﺟﻮدة ﰲ LUTﳐﺰن ﰲ Dataاﻹﺷﺎرة إﻟﻴﻬﺎ
Command ﺑﺎﺳﺘﺨﺪام اﻟﺘﻌﻠﻴﻤﺔ Restoreوإﺳﻨﺎدﻫﻢ إﱃ اﳌﺘﺤﻮل .Var
399 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
؟Toggle bit وﻛﻢ ﻋﺪد اﻟﺒﺘﺎت وﻣﺎﻫﻲ وﻇﻴﻔﺔRC5 ﻣﺎ ﻫﻲ ﺑﻨﻴﺔ اﻟﱪوﺗﻮﻛﻮل:اﻟﺴﺆال اﳋﺎﻣﺲ
ﻣﺎ ﻫﻮ ﳕﻂ اﻟﺘﺤﻜﻢ. ﻗﻢ ﺑﺘﻮﺻﻴﻞ اﳌﺘﺤﻜﻢ ﻣﻊ ﻟﻮﺣﺎت اﻹﻇﻬﺎر وﻣﻠﺤﻘﺎﻬﺗﺎ،اﻟﺴﺆال اﻟﺴﺎدس اﻧﻄﻼﻗﺎً ﻣﻦ اﻟﱪﻧﺎﻣﺞ اﳉﺰﺋﻲ اﳌﺪرج أدﻧﺎﻩ
اﳌﺴﺘﺨﺪم ﺑﻠﻮﺣﺎت اﻹﻇﻬﺎر ﰲ اﳌﺜﺎل أدﻧﺎﻩ؟
Config PORTB = Output : Segments Alias PORTB
Config PORTD.6 = Output : Ctr1 Alias PORTD.6
Config PORTD.7 = Output : Ctr2 Alias PORTD.7
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 400
A5 اﳌﻠﺤﻖ اﳋﺎﻣﺲ| Appendix 5
@Ú‘‹Ã€aÎ@ÚyÏn–æa@Ú‹‘z‹€@Ôuàχ‰€@=Ìãvn€a@·‹»n‹€@ã◊ân€a@›flb«@ëbÓ”@äbjnÇa
اﻟﺮﺟﺎء اﻹﺟﺎﺑﺔ ﻋﻠﻰ اﻷﺳﺌﻠﺔ اﻟﺘﺎﻟﻴﺔ ﺧﻼل زﻣﻦ ﻗﺪرﻩ 15دﻗﻴﻘﺔ ﻓﻘﻂ!
اﻟﺴﺆال اﻟﺜﺎﱐ ) :(60Secﻣﺎ ﻫﻮ ﻋﺪد دورات اﻵﻟﺔ اﻟﻼزﻣﺔ ﻟﺘﻨﻔﻴﺬ ﺗﻌﻠﻴﻤﺔ واﺣﺪة ﳌﻌﺎﳉﺎت AVRو ﻣﺎ ﻫﻮ ﻋﺪد اﻟﺘﻌﻠﻴﻤﺎت اﻟﱵ ﻳﺴﺘﻄﻴﻊ
ﻣﻌﺎﰿ AVRﺗﻨﻔﻴﺬﻫﺎ ﺧﻼل ﺛﺎﻧﻴﺔ واﺣﺪة إذا ﻛﺎن ﺗﺮدد اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ اﳌﻮﺻﻮل ﻣﻊ اﳌﻌﺎﰿ ﻫﻮ 10MHz؟
اﻟﺴﺆال اﻟﺜﺎﻟﺚ ) :(60Secﻗﻢ ﺑﱰﻗﻴﻢ اﳌﺮاﺣﻞ ﰲ اﳉﺪول ﲝﻴﺚ ﲢﻘﻖ اﻟﱰﺗﻴﺐ اﻷﻣﺜﻠﻲ ﳍﻴﻜﻠﻴﺔ ﻛﺘﺎﺑﺔ ﻛﻮد ﺑﺮﳎﻲ.
رﻗﻢ اﳌﺮﺣﻠﺔ
اﳌﺘﺤﻮﻻت )(Variables
ﻛﺘﻠﺔ اﻟﱪاﻣﺞ اﻟﻔﺮﻋﻴﺔ )(Sub-Routines
ﻛﺘﻠﺔ اﻟﱪﻧﺎﻣﺞ اﻟﺮﺋﻴﺴﻲ )(Main Program
اﻟﺘﻮﺟﻴﻬﺎت )(Directives
اﻟﺘﻬﻴﺌﺔ )(Configurations
اﻟﺴﺆال اﳋﺎﻣﺲ ) ::(10Secﻣﺎ ﻫﻮ دﻟﻴﻞ ) (Indexاﻟﻌﻨﺼﺮ اﻷول ) (var1ﰲ اﻟﺘﻌﻠﻴﻤﺔ.[Data var1 , var2 , var3] :
401 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
اﺳﻢ اﳌﻠﺤﻖ | Appendix Name
$crystal = 1000000
Portc.5 = 1
Bitwait x , Set/reset
)(Var = Getkbd
)Var=Lookdown(value,Label,Entries
Restore Label
Read Var
(Downوﻣﱴ ﳓﺘﺎﺟﻬﺎ؟
.5أﻻ ﳝﻜﻦ اﻻﺳﺘﻌﺎﺿﺔ ﻋﻨﻬﺎ ﲟﻘﺎوﻣﺔ اﻟﺴﺤﺐ اﻟﺪاﺧﻠﻴﺔ ﰲ ﺑﻨﻴﺔ
ﺑﻮاﺑﺔ اﳌﻌﺎﰿ؟
.6ﻣﱴ ﻧﺴﺘﺨﺪم ﻣﻘﺎوﻣﺔ ﺳﺤﺐ )(Pull-Down Resistor
وﻣﱴ ﻧﺴﺘﺨﺪم ﻣﻘﺎوﻣﺔ رﻓﻊ )(Pull-Up Resistor؟
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 402
A5 اﳌﻠﺤﻖ اﳋﺎﻣﺲ| Appendix 5
2
3
اﻟﺴﺆال اﻟﻌﺎﺷﺮ ) :(60Secإذا ﻃﻠﺐ ﻣﻨﻚ ﺗﻮﺻﻴﻞ ﺳﺘﺔ ﻣﻔﺎﺗﻴﺢ ﳊﻈﻴﺔ إﱃ ﻗﻄﺐ وﺣﻴﺪ ﻣﻦ ﻣﻌﺎﰿ ،AVRﻓﻤﺎ ﻫﻮ اﳊﻞ اﻟﺬي ﺗﻘﱰﺣﻪ
ﺑﺎﺧﺘﺼﺎر.
اﻟﺴﺆال اﳊﺎدي ﻋﺸﺮ )10Secإذا ﻃﻠﺐ ﻣﻨﻚ ﻗﻴﺎس ﺟﻬﺪ ﺗﺸﺎﻬﺑﻲ ﻳﺘﻐﲑ ﰲ اﺠﻤﻟﺎل ،0~10Vﻓﻤﺎ ﻫﻮ اﳉﻬﺪ اﳌﺮﺟﻌﻲ اﻟﺬي ﺗﻘﱰﺣﻪ وﻣﺎ
ﻫﻲ ﻗﻴﻤﺔ اﳉﻬﺪ اﳌﺮﺟﻌﻲ اﻟﺪاﺧﻠﻲ ﻟﻠﻤﻌﺎﰿ ATmega128؟
اﻟﺴﺆال اﻟﺜﺎﱐ ﻋﺸﺮ ) :(120Secﺿﻊ إﺷﺎرة ﺻﺢ أو ﺧﻄﺄ ﲜﺎﻧﺐ اﻟﻌﺒﺎرات اﻟﺘﺎﻟﻴﺔ:
Jﺗﻌﺘﱪ ﻣﻘﺎﻃﻌﺔ ﻃﻔﺤﺎن اﳌﺆﻗﺖ ﻣﻦ اﳌﻘﺎﻃﻌﺎت اﳋﺎرﺟﻴﺔ وﻣﻘﺎﻃﻌﺔ ﻧﻈﲑ ﻃﻔﺤﺎن اﳌﺆﻗﺖ ﻣﻦ اﳌﻘﺎﻃﻌﺎت اﻟﺪاﺧﻠﻴﺔ!
Jﻋﻤﻠﻴﺔ ﺗﺼﻔﲑ اﳌﻌﺎﰿ ﻟﻴﺴﺖ ﻣﻘﺎﻃﻌﺔ!
Jﻋﻨﺪ ﺣﺪوث ﻣﻘﺎﻃﻌﺔ واﻟﻘﻔﺰ إﱃ ﺑﺮﻧﺎﻣﺞ ﺧﺪﻣﺔ اﳌﻘﺎﻃﻌﺔ ﻓﺈن أي ﻣﻘﺎﻃﻌﺔ ﺧﻼل ذﻟﻚ ﻟﻦ ﻳﺘﻢ اﻻﺳﺘﺠﺎﺑﺔ ﳍﺎ!
Jﻣﺪﺧﻞ اﻟـ CLKﻟﻠﻌﺪاد 0ﻫﻮ ﺗﺮدد اﳍﺰاز اﻟﻜﺮﻳﺴﺘﺎﱄ ﻧﻔﺴﻪ ،وﻟﻠﻤﺆﻗﺖ ﻫﻮ اﻟﻨﺒﻀﺎت اﻟﻮاردة ﻋﻠﻰ اﻟﻘﻄﺐ !T0
Jﳕﻂ ﺣﺎدﺛﺔ اﳌﺴﻚ ﻟﻠﻤﺆﻗﺖ 1ﻣﺮﺗﺒﻂ ﻣﺒﺎﺷﺮة ﺑﺎﳊﺎﻟﺔ ﻋﻠﻰ اﻟﻘﻄﺐ !T1
Jاﻟﺘﻴﺎر اﻷﻋﻈﻤﻲ اﻟﺬي ﳝﻜﻦ اﺳﺘﺠﺮارﻩ ﻣﻦ ﻗﻄﺐ وﺣﻴﺪ ﳌﻌﺎﰿ ﻣﺼﻐﺮ ﻫﻮ !100mA
Jﺳﺮﻋﺔ ﻧﻘﻞ اﻟﺒﻴﺎﻧﺎت ﰲ اﻻﺗﺼﺎﻻت اﻟﻐﲑ ﻣﺘﻮاﻗﺘﺔ ﺗﻌﺘﻤﺪ ﻋﻠﻰ ﻗﻴﻤﺔ اﻟﱰدد ﻋﻠﻰ اﻟﻘﻄﺐ !Sck
Jﻳﻌﺘﱪ ﺑﺮوﺗﻮﻛﻮل اﻻﺗﺼﺎل اﻟﺘﺴﻠﺴﻠﻲ RS232واﻟﱪوﺗﻮﻛﻮل RS485ذوا ﻣﻨﺎﻋﺔ ﻋﺎﻟﻴﺔ ﺿﺪ اﻟﻀﺠﻴﺞ!
Jﻳﻌﺘﱪ اﻟﱪوﺗﻮﻛﻮل RS232ﺛﻨﺎﺋﻲ اﻻﲡﺎﻩ!
Jﺣﺎﺟﺔ ﻟﻮﺻﻞ ﻗﻄﺐ اﻟﺘﻐﺬﻳﺔ اﻟﺘﺸﺎﻬﺑﻲ AVCCاﻷرﺿﻲ اﻟﺘﺸﺎﻬﺑﻲ AGNDإذا ﱂ ﻳﺘﻢ اﺳﺘﺨﺪام اﳌﺒﺪل!
403 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 404
A6 اﳌﻠﺤﻖ اﻟﺴﺎدس| Appendix 6
@Ú‘‹Ã€aÎ@ÚyÏn–æa@Ú‹‘z‹€@xàχ‰€@äbjnǸa@xàbπ
405 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 406
A6 اﳌﻠﺤﻖ اﻟﺴﺎدس| Appendix 6
@
@
@
@
@
@
@
@
407 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 408
A7 اﳌﻠﺤﻖ اﻟﺴﺎﺑﻊ| Appendix 7
@@ÚÓœbö�a@ÚÓ�Ó0a@paáyÏ€aÎ@Ú»éÏn€a@ÚyÏ‹€@ÚÓ‡Ó‡ón€a@pb��Éæa
409 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
:(Relays Unit Schematic Diagram) Relays اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ ﻟﻮﺣﺪة اﳌﺨﺎرج اﻻﺳﺘﻄﺎﻋﻴﺔ
:(Quad-7Segment Unit Schematic Diagram) اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ ﻟﻮﺣﺪة ﻟﻮﺣﺔ اﻹﻇﻬﺎر اﻟﺮﺑﺎﻋﻴﺔ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 410
A7 اﳌﻠﺤﻖ اﻟﺴﺎﺑﻊ| Appendix 7
اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ ﻟﻮﺣﺪة ﳊﺴﺎﺳﺎت اﻟﺘﺸﺎﻬﺑﻴﺔ ):(Analog Sensors Unit Schematic Diagram
411 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم
Appendix Name | اﺳﻢ اﳌﻠﺤﻖ
:(Power Drive Unit Schematic Diagram) اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ ﻟﻮﺣﺪة اﻟﻘﻴﺎدة اﻻﺳﺘﻄﺎﻋﻴﺔ
:(Measuer & Control Unit Schematic Diagram) اﳌﺨﻄﻂ اﻟﺘﺼﻤﻴﻤﻲ ﻟﻮﺣﺪة اﻟﻘﻴﺎس واﻟﺘﺤﻜﻢ
Innovating a Complete ES-FPGA Educational Paradigm for Teaching Undergraduates Next Generation Programming Languages 412
A7 اﳌﻠﺤﻖ اﻟﺴﺎﺑﻊ| Appendix 7
@
413 ﺑﻨﺎء ﻧﻈﺎم ﺗﻌﻠﻴﻤﻲ ﻣﺘﻜﺎﻣﻞ ﻟﻄﻼب اﳌﺮﺣﻠﺔ اﳉﺎﻣﻌﻴﺔ ﰲ ﳎﺎل ﺑﺮﳎﺔ ﻣﺼﻔﻮﻓﺔ اﻟﺒﻮاﺑﺎت اﳌﻨﻄﻘﻴﺔ اﻟﻘﺎﺑﻠﺔ ﻟﻠﱪﳎﺔ ﺣﻘﻠﻴﺎً ﺑﺎﺳﺘﺨﺪام ﻟﻐﺎت اﳉﻴﻞ اﻟﻘﺎدم