You are on page 1of 1

2 bit full adder

Component Full_Adder Port ( A, B, Cin : in std_logic; S, Cout : out std_logic ); End component; Signal Temp : std_logic; begin FA1: Full_Adder port map ( A => A0, B => B0, Cin => Cin, S => S0, Cout => Cout ); FA2: Full_Adder port map ( A => A1, B => B1, Cin => Temp, S => S1, Cout => Cout ); End arch;

You might also like