You are on page 1of 3

DIGITAL ELECTRONICS LAB ASSIGNMENT (PC EE492)

NAME : SANJOY PATHAK (EE1 Y-74),SEM 4


OBJECTIVE: TO UNDERSTAND THE FUNCTIONALLITY OF D FLIP-FLOP.
TRUTH TABLE: (D FLIP-FLOP)

SIMULATION:
WHEN STARTING CLOCK PULSE BY CONNECTING VCC1 AND VCC2:
WHEN (D=1,CLK=1):

WHEN (D=0,CLK=1):
DISCUSSION: IN SIMULATION VCC1,VCC2 SHOULD BE ENABLED BEFORE
PERFORMING EXPERIMENT . CLOCK PULSE IS SET TO 1 FOR GETTING
PRECISE AND SIMPLE GRAPH OTHERWISE GRAPH WILL BE LONG.

You might also like