Professional Documents
Culture Documents
Practical #3
Practical #3
ПРАКТИЧНА РОБОТА №3
з курсу: «Проєктування К (Ц) С на одному кристалі (СоК - SoC)»
з теми: «Синтез мультиплексорів, демультиплексорів, дешифраторів та
шифраторів схем за допомогою мови опису апаратури VHDL»
Прийняла:
Професор, каф. ТПС
Мірошник М. А.
Виконала:
студентка групи КI-51
Волинець К.А.
Харків 2024
Мета роботи: вивчення мультиплексорів, шифраторів,
дешифраторів, шифраторів. Синтез схем за допомогою мови
опису апаратури VHDL.
Варіант 2
Рис. 1. Варіант
D1 D2 Q0 Q1 Q2
0 0 1 0 0
0 1 0 1 0
1 0 0 0 1
Табл. 1. Таблиця істинності
МДНФ:
Q 0=V D 1 D 2 ; Q1=V D1 D 2; Q 2=V D 1 D 2
Рис. 2.Умовне позначення дешифратора 2 в 3
library ieee;
use ieee.std_logic_1164.all;
entity test is
port (
D1, D2, V: in std_logic;
Q0,Q1,Q2: out std_logic
);
end test;
Спеціалізовані мікросхеми:
Мікроконтролери:
8-розрядні
16-розрядні
32-розрядні
Цифрові сигнальні процесори (ЦСП)
Графічні процесори (ГП)
3. На якому етапі проектування відбувається перетворення
опису пристрою, що є схемою з елементів цільової
мікросхеми, в двійковий файл для програмування
мікросхеми?
Етапи проектування:
Xilinx ISE
Intel Quartus
Mentor Graphics Questa
Двійковий файл: