You are on page 1of 432

Б.П. Коман, М.Я.

Мисько

ОСНОВИ
КОМП'ЮТЕРНОЇ
ЕЛЕКТРОНІКИ
Міністерство освіти і науки України
Львівський національний університет імені Івана Франка

БП. КОМАН, М.ЯМИСЬКО

ОСНОВИ
КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ
Підручник

Львів-2019
YAK 621.382:004.9](076)
K63

Рецензенти:
дер техн. наук, проф 1. Г. Цмоць
(Національний Університет "Львівська політехніка");

дер техн. наук, проф. /.7. Когут


( Прикарпатський національний університет імені Василя Стефаника")
дер техн. наук, доц. В.В. Мартицюк
(Хмельницький національний університет)

Рекомендовано
9о друку Вченою радою Львівського національного університету імені Івана Франка.
(Протокол Х» 47/3 від 28 березня 2018 р.)

Коман Б. П.
K63 Основи комп'ютерної електроніки / Б. П. Коман, М.Я.Мисько - Львів: ЛНУ
імені Івана Франка, 2019. - 430 с.
ISBN 978-617-10-0463-4.
Викладені інформаційні засади комп'ютерної електроніки, основи її елементної бази
та цифрових пристроїв. Розглянуті питання функціонування АЦП-, ЦАП-функціональних
вузлів, блоків пам'яті, джерел живлення та мікропроцесорів сучасних комп'ютерів.
Запропонований цикл лабораторних робіт
Для студентів спеціальностей: 122 "Компютерні науки та інформаційні технології",
126 | "інформаційні системи та технології". Може бути корисним для студентів
комп'ютерних та інженерно-техпічних (спеціальностей (університетів, аспірантів та
наукових співробітників.

УДК 621.382:004.91(076)
9 Коман Б. П., Мисько М.Я. 2019
9 Львівський національний університет
імені Івана Франка, 2019
ISBN 978-617-10-0463-4
ЗМІСТ

Передмова..

Розділ 1. ІНФОРМАЦІЙНІ ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ


1.1. Електроніка та інформація .... — п
1.1.1. Комп'ютерна електроніка. Покоління розвитку комп'ютери
електроніки... з п
112, Розвиток мікроелектроніки та її вплив на становлення елементної
бази комп'ютерної електроніки... 17
.2. Інформація. Кількість інформації 23
Сигнали. Форми подання інформа 27
Аналогова та цифрова форми подання інформації 29
Принципи організації та структура комп'ютера. 34
tn

Подання інформаціїу комп'ютерних системах 46


1.6.1. Арифметичні основи. 46
1.6.2. Логічні основи комп'ютерної ектроніки 53
Контрольні запитання до розділу 1. 64
Розділ 2. ОСНОВИ ЕЛЕКТРОНІКИ.
ГОЛОВНІ ФУНКЦІОНАЛЬНІ ЕЛЕМЕНТИ:
ПРИНЦИПИ РОБОТИ ТА СХЕМНЕ ВИКОРИСТАННЯ
Діоди на основі напівпровідникових бар'єрних структур. 66
1 1. Випрямляючі діоди... 66
1 2. Стабілітрони... 70
»ююююююююююю

1 3. Діоди Шоткі. 73
1 Фотодіоди.. 74
ре

1 5. Активні координатно-чутливі фотодіоди. 75


Біполярна електроніка... : 77
5
1. Біполярні транзистори: модель та фізичні основи роботи. 77
- Коефіцієнти транзистор: 81
Схеми під'єднання транзисторів. Механізми підсилення сиги: 83
4 . Моделювання транзистора. Модель Еберса-Мог 86
- Статичні характеристики. 88
- Поняття робочої точки та навантажувальна характеристика
Підсилювальний каскад на біполярному транзисторі.. 93
7. Диференціальні параметри транзистора. Системи /- та у-параметрів 97
Транзистори Дарлінгтона. 99
є

2. Ключ на біполярному транзисторі... з 101


22.10. Використання біполярної технології для побудови температурних
сенсорів. Комірка Bpoxa (Brokaw Cell). 105
Генератори стабільного струму. 107
2. Схеми зсуву потенціалу... 109
22.13, Підсилювальні каскади з динамічним навантаженням. ll
112
113
2.2.16. Диференціальні каскади. 15
2.3. Польова електроніка... 115
2.3.1. Польові транзистори: управління струмом та класифікація. 115
2.3.2. Булова, фізичні основи роботи та характеристики польового
транзистора з керованим р-п-переходом 116
2.3.3. Диференціальні параметрі 120
2.3.4. Польові транзистори з ізольованим затвором... 122
2.3.5. Параметри МДН-транзистора. Схеми під" єднання 129
2.3.6. Польовий транзистор HIOTKi........ зве 131
2.3.7. Деякі схеми практичного використання польових транзисторів. 132
2.3.8. Система позначень польових транзисторів.. 135
2.3.9. Напівпровідникові прилади з зарядовим зв'язком... 137
2.4. Основи напівпровідникової мікроелектроніки. Структура інтегральних
мікросхем... 140
2.4.1. Типи їн гральних мікросхем.. 140
2.4.2. Структура активних елементів напівпровідникових інтегральних
мікросхе 143
Контрольні запитання до розділу 150

Розділ 3. АНАЛОГОВА ЕЛЕКТРОНІКА


3.1, Аналогові інтегральні мікросхеми: застосування та класифікація 151
3.2. Різновиди АТМС за характером перетворень. 151
3.3. Операційні підсилювачі... 154
3.4, Аналогові компаратори напруг. 162
3.5. Аналогові перемножувачі напруг. 165
3.6. Комутатори аналогових сигналів. 170
Контрольні запитання до розділу 3. 177

Розділ 4. ЦИФРОВА ЕЛЕКТРОНІКА


4.1. Цифрові логічні елементи ІМС... 178
4,2. Схемотехніка логічних елементів інтегральних мікросхем. 184
4.2.1. Транзисторна логіка з безпосередніми зв'язками... 184
4.2.2. Діодно-транзисторна логіка. 184
4.2.3. Транзисторно-транзситорна логіка... 185
4.2.4. Емітерно-зв'язана логіка. а 188
4.2.5. Логічні елементи з інжекційним живленням. 190
4.2.6. Логічні елементи на МДН-транзисторах. 191
4.3. Функціональні логічні вузли. 193
1. Дешифратори... 194
2. Шифратори.. a8 197
Мультиплексори і демультиплексори.. 199
- Тригери. 204
- Лічильники. 210
Регістри. 216
Схемні реалізаці основних функцій шифраторів на базі RS-
та /К-тригерів.. 217
4.3.8. Суматори.... 222
3.9. Деякі логічні елементи для реє складних функці 224
Зміст

4.3.10. Програмовані логічні інтегральні схеми


44. Напівпровідникова пам'ять...
4.4.1. Основні відомості. Принцип побудови запам'ятовуючих пристроїв.
442. Основні параметри ЗП...
4.4.3. Класифікація напівпровідникових ЗП
4.4.4. Типи запам'ятовуючих елементів
4.4.5. Способи організації накопичувачі
44.6. Структурні схеми ЗП...

ж 5355
a4 ARE
4.4.7. Постійні запам'ятовуючі пристрої .

о юю
4.4.8. Динамічні ЗП підвищеної швидкодії.
Контрольні запитання до розділу 4...
Розділ 5. АНАЛОГОВО-ЦИФРОВІ ТА ЦИФРО-АНАЛОГОВІ ПЕРЕТВОРЮВАЧІ
5.4. Аналогово-пифрові перетворювачі 259
5.2. Цифро-аналогові перетворювачі 265
Контрольні запитання до розділу 268
Розділ 6. МІКРОПРОЦЕСОРИ
6.1. Означення, функції та головні параметри... 269
6.2, Фізична і функціональна структура мікропроцесора. 274
6.2.1. Операці а ча тина. 275
6.2.2. Інтерфейсна частина мікропроцесора 279
6.3. Процесор 18056. 4 281
6.4. Мікропроцесорна система. 285
6.5. Мікроконтролери... 288
Контрольні запитання до розділу б. 290
Розділ 7. ДЖЕРЕЛА ВТОРИННОГО ЕЛЕКТРОЖИВЛЕННЯ
ЕЛЕКТРОННИХ ПРИСТРОЇВ
7.1. Основи функціонування та схемна реалізація головних пристроїв
джерел вторинного електроживлення... 291
714. Структурна схема та основні характеристики 291
7.1.2. Випрямлячі джерел електроживлення. 295
7.1.3. Імпульсні джерела електроживлення... 303
7.2. Імпульсне джерело електроживлення конструктиву АТХ. 306
Контрольні запитання до розділу 7. 329
Розділ 8. ЛАБОРАТОРНИЙ ПРАКТИКУМ
Лабораторна робота М? 1. Дослідження режимів роботи діодів, стабілі-
тронів, біполярних і польових транзисторів...
Лабораторна робота Мо 2. Дослідження транзисторного підсилювача
низької частоти.
Лабораторна робота М? 3. Вивчення ключових режимів роботи біполярних
транзисторів та ознайомлення з принципами
роботи логічних інверторів
Лабораторна робота М». 4. Дослідження операційних пі ідсилювачів і гене-
раторів на їх основі... за 346
«Лабораторна робота М» 5. Схемотехніка базових логічних елементів... 352.
Лабораторна робота Ме б. Електронні пристрої на логічних елементах. 356
6 Зміст

Табораторна робота Ло 7. Дослідження тригерів. tiene


Лабораторна робота М» 8. Вивчення функціональних можливостей
аналогових і цифрових мікросхем. Визначення
основних параметрів інтегральних схе» 365
Лабораторна робота М» 9. Вивчення функціональних можливостей
аналого-цифрових і цифро-аналогових
перетворювачі
Лабораторна робота М» 10. Вивчення арифметично-логічних пристроїв
Лабораторна робота М 11. Дослідження режимів роботи регістрів пам'яті та
регістрів зсуву. 381
Лабораторна робота Мо 12. Вивчення роботи лічильників... 383
Лабораторна робота М.13. | Дослідження роботи оперативного
запам'ятовуючого пристрою.. 387
Лабораторна робота Хо14. | Дослідження режимів роботи регістрів і
лічильників на базі лабораторного стен,
Лабораторна робота М15. Дослідження режимів роботи оперативного
запам'ятовуючого пристрою на базі
лабораторного стенду. 403
Лабораторна робота Мо16. Дослідження блоку живлення персонального
комп'ютера конструктиву АТХ. 407
Лабораторна робота М 17. Дослідження функціональних можливостей
мікроконтролерів.. М 409
Лабораторна робота Мо 18. Дослідження функціонування | та практичне
програмування програмованого постійного за-
пам'ятовуючого | пристрою |на базі мікро-
схеми 5 5223.. 422
Список літератури... 427
Предметний показчик. 429
ПЕРЕДМОВА

Комп'ютерна електроніка - галузь електроніки, що динамічно розвивається


ї призначена для створення на її базі високопродуктивних систем оброблення
інформації. Основні етапи її розвитку безпосередньо пов'язані з розвитком
електроніки. Особливістю є використання само! сучасної елементної бази, TO-
му її успіхи, зокрема параметри комп'ютерних систем визначаються головно
рівнем технологі мікроелектроніки.
Основи функціонування Ta практичного використання базових елементів
сучасної мікроелектроніки є необхідною умовою розуміння роботи пристроїв, а
також створення на їхній основі функціональних вузлів комп'ютерних систем.
Для сучасної електроніки характерним є альтернативний відхід від тради-
ційної аналогової та всезростаюче використання цифрової схемотехніки. Тому
засвоєння базової частини комп'ютерної електроніки потребує певного рівня
компетентності у спеціальних розділах математики, зокрема арифметичних ос-
нов подання інформації та дискретної математики.
Обидві проблеми і визначили структуру та наповненя підручника, який чи-
тач тримає в руках та має перспективу і бажання покритикувати.
Отже, автори, враховуючи викладені аргументи, а головно не завжди
достатній рівень компетентності студента у базових талузях електроніки, струк-
турно побудували навчальний матеріал послідовно від інформаційних засад
електроніки, основ електроніки (принципів роботи та схемного використання
головних функціональних елементів) до цифрових вузлів, блоків та пристроїв
комп'ютера з детальним (іноді занадто) аналізом роботи. Кожний розділ
завершується контрольними запитаннями, використовуючи які студент може
самостійно протестувати свій рівень засвоюваності матеріалу.
Насамкінець, високий рівень фаховості з цієї дисципліни може бути забез-
печений лише з виконанням лабораторного практикуму, що має сучасне напов-
неня та узгоджений зі змістом підручника. Зважаючи на сказане. автори достат-
ньо велику увагу приділили цьому аспекту. Однак, при створенні будь-якого
лабораторного практикуму, крім ідеологічного наповнення, першочерговим є
вирішення проблеми забезпеченості матеріально-технічною базою м уутнього
практикуму. Не уникнули такої ситуації й автори цього лабораторного практи-
му.
Широко використовують сьогодні у навчальному процесі різноманітні ме-
тоди моделювання електронних схем, а також симуляційні лабораторії за зраз-
ком популярної навіть серед професіоналів програми Мийзіт, Цей програм-
ний комплекс при наявній середнього рівня комп'ютерній базі дає змогу моде-
лювати практично ввесь спектр сучасної аналогової та цифрової схемотехніки.
8 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Безумовно, в умовах дефіциту матеріальної бази та кваліфікованого інженерно-


допоміжного персоналу такий підхід у вузах є досить спокусливим і відносно
простим виходом зі ситуації. Однак, на глибоке переконання авторів, вивчення
комп'ютерної електроніки категорично не може обмежуватися таким підходом.
Повноцінне засвоєння навчального матеріалу може бути забезпечене лише при
умові практичної участі студента у виконанні схемних рішень на основі реальної
елементної бази та використанні інформаційно-вимірювальної техніки. Автори
вважають, що вміле поєднання обидвох підходів при вивченні комп'ютерної
електроніки дасть можливість сформувати у студентів надійний базис, що може
бути ефективно використаний у майбутній практичній діяльності. Саме з такої
ідеології і був створений пропонований лабораторний практикум. Досвід його
використання свідчить, що, залежно від рівня підготовки студентів та об'єму
навч: ного часу, з усього переліку лабораторних робіт можна вибрати окремі
роботи або їхні фрагменти для забезпечення певного рівня компетенції сту-
дентів у комп'ютерній електроніці.
Лабораторні роботи (розд. 8) виконують з використанням симуляційної
електронної лабораторії Миїіпа 10(12) і вищих версій, а також оригінальних
лабораторних стендів (макетів), розроблених та апробованих авторами у
лабораторії комп'ютерної електроніки факультету електроніки та комп'ютерних
технологій ЛНУ ім. І.Франка. Використовуючи належну схемотехнічну дета-
лізацію лабораторних макетів та стендів, автори практикуму переконані, що
основний блок запропонованих лабораторних робіт може бути достатньо легко
відтворений у довільній вузівській лабораторії профільного типу.
Запропонований підручник призначений для студентів, котрі навчаються за
спеціальностями | 122 "Компютерні науки та інформаційні технології",
126 "Інформаційні системи та технології "та "Мікросистемна техніка". Він ук-
ладений на основі багаторічного досвіду читання лекцій для студентів факульте-
Ty електроніки та комп'ютерних технологій Львівського національного
університету імені Івана Франка одним їз авторів. У ньому відображені резуль-
тати методологічних досліджень з оптимізації навчального процесу при
викладанні дисциплін "Комп'ютерна схемотехніка", "Аналогова та цифрова
схемотехніка".
Автори висловлюють глибоку вдячність рецензентам за слушні зауваження
та рекомендації, що дало змогу покращити зміст підручника.

м. Львів, 2017-18 рр. Автори


ПЕРЕЛІК ВЖИВАНИХ СКОРОЧЕНЬ

АІМС - аналогова інтегральна мікросхема


АЛП - арифметико-логічний пристрій
АМ - амплітудна модуляція
АФІ - амплітудно-фазовий перетворювач
АЦІ - аналого-цифровий перетворювач
АШ -- адресна шина
БЕТ - багатоемітерний транзистор
БЗП- буферний запам'ятовуючий пристрій
БІ - біполярний транзистор
BAX-~ вольт-амперна характеристика
ВЧ, НВЧ - високочастотний, надвисокочастотний
ГТІ - генератор тактових імпульсів
ДТЛ - діодно-транзисторна логіка
ДШ
- діод Шоткі
ЕЗЛ - емітерно-зв'язана логіка
ЗЕ - запам'ятовуючий елемент
ЗЗП - зовнішній запам'ятовуючий пристрій
ЗК - запам'ятовуюча комірка
ДВЕЖ - джерело вторинного електроживлення
ІМС- інтегральна мікросхема
ІЧ - інфрачервоний
к.к.д. - коефіцієнт корисної дії
КС - кремнієвий стабілітрон
КМОН - транзистор - комплементарний метал-окисел-напівпровідник транзистор
КН - компаратор напруги
ЛЕ - логічний елемент
ЛІЗМОН - лавинно-інжекційний метал-окисел-напівпровідник (транзистор)
МДН, МОН - метал-діелектрик-напівпровідник, метал-окисел-напівпровідник-
структури
МІС, СІС, ВІС, НВІС.УВІС - мала, серелня, велика, налвелика, ультра-велика
інтегральна схема
НОЗІ - надоперативний запам'ятовуючий пристрій
ОЗП - оперативний запам'ятовуючий пристрій
ОП - операційний підсилювач
ПЗЗ - прилад зі зарядовим зв'язком
ПЗП - постійний запам'ятовуючий пристрі
ПЛІС - програмовані логічні інтегральні схеми
ПМЛ - програмована матрична логіка
ПТІЗ - польовий транзистор з ізольованим затвором
ПТ - польовий транзистор
ПТШ - польовий транзистор зі затвором Шоткі
РПЗП - репрограмований постійний запам'ятовуючий пристрій
РІШ - розрядна шина
10 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

СБ, СЕ, СК - спільна база, спільний емітер, спільний колектор


ТКС - температурний коефіцієнт напруги стабілізації
ТЛБЗ - транзисторна логіка з безпосередніми зв'язками
ТТЛ - транзисторно-транзисторна логіка
ТТЛ - транзисторно-транзисторна логіка Шоткі
УФ - ультрафіолетовий
ФД - фотодіод
ЦАП - цифроаналоговий перетворювач
ЦІМС - цифрова інтегральна мікросхема
Розділ 1. ІНФОРМАЦІЙНІ ОСНОВИ
КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

1.1. Електроніка та інформація

1.1.1. Комп'ютерна електроніка.


Покоління розвитку комп'ютерної електроніки
Комп'ютерна електроніка, основана на головних досягненнях електроніки, €

домінуючим засобом створення високопродуктивних комп'ютерних систем. То-


му вся історія розвитку та становлення комп'ютерної електроніки нерозривно
пов'язана з наукою "електроніка".
У сучасному трактуванні електроніка - це наука про формування та
управління потоками електронів у пристроях приймання, передавання, оброб-
тення та збереження інформації. Прослідковуючи історію її розвитку,
необхідно звернути увагу на те, що ця наука насамперед забезпечує
інформаційні потреби людського суспільства. Розвиток продуктивних сил і ви-
робничих відношень тісно пов'язані з розвитком засобів інформатики. Зокрема,
історія розвитку засобів інформаційного спілкування між людьми складається з
декількох етапів, які в хронологічному порядку можна описати так: І) жест і
іміка; 2) звукова мова; 3) писемність; 4) книгодрукування; 5) електроніка. На
сьогодні | всі ці засоби опрацювання інформації інтенсивно використовує
людське суспільство. Важливим є те, що перехід до кожного нового способу пе-
редавання інформації розширював можливості інформаційного спілкування між
людьми, що закономірно приводило до стрімкого збільшення продуктивних сил
суспільства.
Звукова мова дає можливість виражати різні побажання, думки, ідеї, переда-
вати життєвий та виробничий досвід. Вона забезпечує передавання інформації
на малі відстані, але цей спосіб має дуже обмежені можливості збереження та
передавання інформації у часі. Принцип письмового вираження усної мови став
містком для поширення ідей та досвіду через час і простір. Друк надав переваги
писемної мови (знання в області науки, техніки, музики, мистецтва) набуттям
зсликої кількості людей різних епох та географій. Електроніка розширила
можливості обміну інформацією між людьми не менше, ніж друк, водночас
стрімко збільшивши швидкість, об'єми передавання інформації на величезні
вілстані, співмірні з розмірами сонячної системи.
Комп'ютерна електроніка формує електронну базу функціональних
пристроїв, розв'язує схемотехнічні завдання з конструювання функціональних
12 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

вузлів та організовує взаємодію між ними через програмне забезпечення. У


зв'язку з цим розглянемо хронологічну послідовність розвитку комп'ютерних
засобів оброблення інформації з використанням компонентів (вузлів) певних
типів.
Період запровадження механічних обчислювальних машин (1642-1939 рр.)
вважають нульовим поколінням розвитку комп'ютерної електроніки. Його за-
сновником був Блез Паскаль (1642 р), котрий винайшов механічний пристрії Я
ручним приводом, що давав змогу здійснювати операції додавання і віднімання.
Тридцять років по тому німецький математик Лейбніц побудував другу
механічну машину, яка здійснювала, ще й окрім того, операції множення та
ділення. Лише через 150 років Ч. Бебідж розробив аналітичну машину, що
містила пам'ять, вичислювальний пристрій, пристрій вводу-виводу на основі
механічних вузлів. Вважають, що це перша спроба створити цифровий
комп'ютер. Створена машина потребувала програмування на мові Ассемблер.
Перші програми були створені Адою Августою Ловлейст, котру через це вва-
жають першою програмісткою в світі. В її честь названа сучасна мова програму-
вання Ada.
Оскільки на рубежі 19-20 ст. було винайдено радіо (безпровідне передаван-
ня сигна; в на відстань), то основні засади тогочасної електроніки були
використані для створення вичислювальних пристро Це була ера пасивних
елементів: провідників, котушок індуктивностей, магнітів, реле, резисторів,
конденсаторів. Тому логічним завершенням цього покоління стало розроблення
класу автома тичних лічильних машин з використанням електромагнітних реле.

Час виконання команд у них становив 6 сек.


Перше покоління (1939-1955 рр.) комп'ютерної електроніки харак-
теризується запровадженням ваку мної електроніки. Зазначимо, що ця галузь у
своєму розвитку від 20-х рр. минулого століття до початку 50-хроків досягла
значних успіхів: були створені вакуумні прилади з теоретично передбачуваними
параметрами, низьким рівнем шумів та високими коефіцієнтами підсилення.
Стимулом для впровадження цих компонентів у вичислюва ьні засоби стала
Друга світова війна, оскільки для нових методів ведення війни та новітньої
зброї потрібно було оперативно обробляти інформацію (наприклад, біжучі ко-
ординати). Зокрема, насамперед для британських збройних сил виникла потреба
швидкого розшифрування колованих сигналів з німецьких підводних човнів,
генерованих приладом типу ЕМІСМА. Результатом стало створення британцями
електронного комп'ютера (дешифратора) СОГО5505 - машини Тьюринга
(1943 р.). Одночасно у США Моушлі зі своїм студентом Екертоном створює
ENIAC (Electronic Numerical Integrator and Computer) - електронний цифровий
інтегратор і калькулятор, що містив 18000 ламп, 1500 реле, 20 регістрів,
Розділ 1. Інформаційні основи комп'ютерної електроніки 13

6000 багатоканальних перемикачів, важив 30 тонн і споживав 140 кВт


електроенергії.
Найбільш важливим результатом у дослідженнях цього покоління стала
сконструйована знаменитим математиком Джон-фон-Нейманом машина [AS
(Immediate Adress Зіогаєс - пам'ять з прямою адресацією). Нейман усвідомив,
що програма має бути закладена до пам'яті комп'ютера у цифровій формі разом
з даними. Крім того, десяткова система в машині ЕМІАС, де кожний розряд ре-
презентований десятьма електронними лампами, має бути замінена бінарною
арифметикою. Таким чином запропонована фон-Нейманівська обчислювальна
машина ск адалася з п'яти основних частин: пам'яті; арифметико-логічного
пристрою (АЛП); пристрою управління та пристрою вводу-виволу. Пам'ять
містила 4 096 слів, кожне слово містило 40 бітів. Всередині АЛП розміщувався
особливий внутрішній регістр у 40 бітів (акумулятор). мпова команда додавала
слово з пам'яті до акумулятора. Однак ця машина не виконувала арифметичні
операції з плаваючою крапкою.
Для цього поко. ння характерне також впровадження комп'ютерної пам'яті
на магнітних сердечниках, розробленої в Масачусетському технологічному
інституті (США), та серійним випуском у 1953 році комп'ютера ІВМ-701, який
зберігав лідерство впродов: десяти років.
Для другого покоління (1955-1965 рр.) характерним є широкомасштабне
впровадження транзисторів (ера напівпровідникових приладів), здатних викону-
вати всі функції електронної лампи. Ці прилади, як виявилося, мають малі габа-
рити, більш високу надійність та більший термін служби, їх можна експлуату-
вати у більш жорстких умовах,вони економні у споживанні.
3 використанням транзистора, як елемента з ключовими властивостями,

можливою стала реалізація багатьох функціональних вузлів комп'ютера та ство-


реня елементів пам'яті. Перший комп'ютер на транзисторах (ТХ-0) був створе-
ний у лабораторії Масачусетського технологічного інституту (МТІ) (США) та
містив слова зі 16 бітів. Компанія ІВМ побудувала транзисторну версію ІВМ-
709-7090, а пізніше ІВМ-7094. Час циклу становив 2 мке, а пам'ять складалася з
32K по 16 бітів. Комп'ютери цієї серії широко використовували для наукових
розрахунків у 60-х роках минулого століття.
У 1964 році компанія СОС випустила комп'ютер 6600, який працював майже
на порядок швидше, ніж ІВМ-7094. Він користувався великою популярністю,
оскільки міг виконувати відносно складні розрахунки. Секрет високої швидкості
полягав у тому, що всередині центрального процесора знаходилася машина з
високим cTerieHem / паралелізму. Вона містила декілька функціональних
пристроїв для додавання, множення та ділення з можливістю одночасної роботи.
Для комп'ютера цього класу було необхідне високоякісне програмне забезпе-
чення. Розробник - Сеймур Крей, легендарна особа, котрий став відомий у світі
конспектами
14 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

як розробник найбільших суперкомп'ютерів, серед яких: СОС-6600, СОС-7600,


Cray-1, Cray-2 Ta інші.
У 60-тих роках, на початках 70-x відбувалися | нові якісні зміни в
напівпровідниковій інтегральній електроніці, генерування нових ідей, створення
нових напівпровідникових приладів та пристроїв.Особливо важливим було
стрімке зростання темпів упровадження нових винаходів та ідей, різке скоро-
чення термінів від наукових відкриттів до їхнього освоєння у виробництві.
Для прикладу, реалізація принципу, на якому основана фотографія потребу-
вала з більше ста років (1727-1839 рр.), телефон більше піввіку (1820-
1839 рр.), радіо - лише 25 років, електронне телебачення - 14 років (1922-
1936 рр.), електронно-обчислювальна машина - 5 років (1948-1953 рр.), транзи-
стор - 5 років (1948-1953 рр.), інтегральні мікросхеми (ІМС) - 3 роки (1959-
1962pp.).
Характеризуючи бурхливі успіхи другого покоління комп'ютерної елект-
роніки, зазначимо, що реальність створення складних електронних пристроїв та
систем, які містять тисячі елементів, спричинила певні протиріччя у розвитку
електроніки, насамперед у технології та експлуатації електронних виробів. Зок-
рема, розроблювані в кінці 1950-х років, комп'ютери містили в середньому
близько 100 000 діодів та 25 000 транзисторів. Тому за такої кількості виводів
гостро виникла проблема надійності міжз'єднань.
Розв'язання проблеми міжз'єднань привело до створення ІМС. В електроніці
виник новий етап - мікроелектроніка. що дало можливість розв'язати завдання
комплексної мікромініатюризації. Результатом стало зменшення габаритів апара-
тури, маси, енергоспоживання, матеріалоємності, вартості, збільшення числа
виконуваних функцій. Отже ІМС стали елементною базою для створення висо-
копродуктивних енергоефективних комп'ютерних систем.
Тому третє покоління (1965-1980 рр.) комп'ютерної електроніки
грунтується на основі ВІС ІМС. Успіхи цього покоління нерозривно пов'язані з
американським інженером дослідником Робертом Нойсом, котрого сьогодні
вважають автором головних винаходів віку інформаційних технологій. Саме
го відкриття зробили комп'ютери більш дешевими і продуктивнішими.
У 1956-57 poxax P. Hoiic mpanonas B Shockley Semiconductor Laboratory nix
керівництвом винахідника транзистора Вільяма Шоклі, а потім разом з сімома
колегами заснував одну з перших фірм з виробництва кремнієвих напів-
провідників -- Баїгспії4 Зетісопдисіог. У липні 1959 року Нойс подав заявку на
патент Ме2981877 "Напівпровідниковий пристрій і струмопровідна структура"
-- тип інтегральної мікросхеми. За цей винахід (зроблений одночасно з Джеком
Кілбі), що по-справжньому перетворив світ, Нойс отримав відзнаки від трьох
президентів Сполучених Штатів та велику кількість всіляких нагород.
Розділ 1. Інформаційні основи комп'ютерної електроніки 15

У 1968 році Нойс і його давній колега Гордон Мур заспували корпорацію
Ше! (іпміевтатед сіесігопісз). Через два роки вони створили першу інтегральну
мікросхему оперативної пам'яті (ОВАМ), доступну комерційно.
Розроблення першого МП- це спроба створити універсальну логічну ВІС
налаштовану на виконання конкретної функції засобами програмування після її
виготовлення. На таку ІМС спочатку планували лише управляючі функції, однак
потім МП почали використовуватися як елементну базу цифрових вичислю-
вальних машин (ЦВМ) четвертого та наступних поколінь. Впровадження МП
викликало необхідність розроблення спектра універсальних логічних ВІС, що
обслуговують МП: контролери переривань і прямого доступу в пам'ять (ПДП),
шинні формувачі, порти вводу/виводу та ін.
Перший МП був розроблений фірмою Іпіеї та промислово вироблений у
1971 році на основі р- МОП-технології (14004). В 1972. 73 роках тією ж фірмою
були продуковані моделі 14040 та 18008. Ці МП відносять до до т.зв. першого
покоління; вони мали дуже обмежені функціональні можливості і дуже швидко
були витіснені другим поколінням, яке було реалізовано на п- МОН-технології,
що дало змогу підняти тактову частоту приблизно на порядок, щодо МП пер-
шого покоління. Крім того, завдяки впровадженню прогресивних технологій
ІМС виникла змога підвищити ступінь інтеграції транзисторів на кристалі, а от-
же, збільшити складність схеми.
МП другого покоління, найпоширенішим яких був 18080 (1974 р.),
відрізнялися достатньо розвинутою системою команд, наявністю підсистем пе-

реривання, прямого доступу до пам'яті, значною кількістю допоміжних ВІС, що


забезпечують управління пам'яттю, паралельним і послідовним обміном зі
зовнішніми пристроями, реалізацією векторних переривань. Багато ідей, закла-
лених в архітектуру систем на базі 8-розрядного МП 18080, незмінними викори-
стовують і в сучасних потужних МП.
Постійне намагання збільшити швидкодію ЕВМ спонукало розробників МП
застосувати біполярну інтегральну технологію, насамперед транзисторно-
транзисторну логіку (ТТЛ), результатом чого став випуск процесорів третього
оління. Звичайно, їхня архітектура суттєво змінилася. Однак ТТЛ у складі ІС
мали на той час на порядок вищу швидкодію (щодо п-МОН) і, отже, більше
живання потужності. Технологічні можливості не давали змоги використо-
ти активні способи відведення тепла від кристала, тому використовували
ий спосіб збереження працездатності чипа в цих умовах - знижували його
ь інтеграції.
Перший з випущених МП третього покоління - і3000 був дворозрядним!
идно, збереження у цьому випадку традиційної архітектури, характерної
ТП другого покоління, не привело б до збільшення продуктивності системи,
учи на те, що тактова частота зростала майже на порядок. Розв'язок цієї
16 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

проблеми зумовив значні структурні зміни в МП третього покоління порівняно


з другим: МП виготовляли у вигляді секцій з засобами міжроз
рядних зв'язків,
що давало змогу об'єднувати в одну систему довільну кількість
секцій для до-
сягнення заданої розрядності; пристрій управління був винесений
на окремий
кристал; за рахунок резерву зовнішніх виводів передбачені окремі
шини адреси,
введення 1 виведення даних; кристали управління становили собою управляю-

масових
чий автомат 3 програмованою логікою, що давало можливість достатньо легко
реалізовувати практично довільну систему команд на фіксованій
структурі
операційного пристрою.
Отже, розробники систем на базі МП третього покоління отримали
додаткові ступені свободи: можливість вибрати довільну розрядність процесо
ра
та самостійно реалізувати практично довільну систему команд, оптимізовану
для розв'язування задач конкретного класу. Оскільки МП у такій архітектурі
розміщували на декількох кристалах ВІС, то процесори стали
називати багато-

obi
кристальними, на відміну від однокристальних другого поколін
ня.
Характерною ознакою | для третього покоління комп'ютерної
електроніки
було також упровадження мультипрограмування, за якого
у пам'яті можливо
було записати декілька програм: допоки одна програма чекала, поки закінчиться
процес вводу-виводу, інша запускалася до виконання.
Четверте покоління (1980- поч, 90-х рр.). Розвиток комп'ютерної електро-
ніки ознаменувався масштабним упровадженням НВІС-мікросхем. Почала
ся ера
персональних комп'ютерів. Перші ПК- у вигляді комплектів, що включа
ли дру-
ковану плату, МІП Шиеі 8080, 8-дюймовий дисковід. Програмного
забезпечення
не було. Операційні системи у цьому поколінні пройшли шлях Bix
MS-DOS no
М/іпдомуз різних версій.
Продовжилися роботи зі створення багатокристальних МП у
яких було пе-
редбачене збільшення розрядності секцій. Широко поширеними стали
комбіновані технології (наприклад, ГЛ-- ТТЛ). Паралельно
інтенсивно розви-
валася архітектура однокристальних МП. Найбільш характерним
зразком яких
можна вважати сімейство х86 фірми Писі. Розвиток цього напрямку
характеризується стрімким зростанням продуктивності процесорів, зумовлених
збільшенням розрядності, тактової частоти, реалілізацією паралелізму на всіх
рівнях роботи процесора та реалізацією інших характерних рішень, властивих
"великим" ЕВМ.
У 1983 році дохід корпорації Іпіє! вперше склав мільярд доларів. На початку
нашого століття мікропроцесорами "Іпісі"" було обладнано 809 комп'ютерів у
самих різних країнах світу.
Характерною ознакою цього покоління стала поява на ринку ПК фірми
Ар-
ріе, а також використання процесорів різних фірм. Почалося виробни
цтво
"клонів".
Розділ 1. Інформаційні основи комп'ютерної електроніки | 17

В 1990-х роках були розроблені суперскалярні процесори, які значно


підвищили продуктивність комп'ютерів та можливості графічних інтерфейсів.
П'яте покоління (середина 1990-х р. - по сьогодні) є результатом бурхли-
вого розвитку мікроелектроніки, впровадження новітніх | технолої i oy
виробництві, а також використання архітектур нового типу.
Попередні етапи розвитку мікроелектроніки, на основі яких розвивалася
комп'ютерна електроніка, характеризуються збільшенням ступеня інтеграції
ЇМС (кількості транзисторів на чипі та зменшенням розмірів їхніх активних
елементів). Прогнози четвертого покоління передбачали створення ІМС з
кількістю елементів на чипі, рівному 10?, до 2000 року. Однак унаслідок впро-
вадження новітніх літографічних процесів та використання нових матеріалів та-
ка мета була реалізована вже до середини 1990-х років. Вважають, що з цього
4a почалася ера УВІС- ультравеликих ІС з кількістю елементів на кристалі
більше мільйона. Це різко підвищило експлуатаційні параметри всіх мікросхем,
зокрема тактову частоту мікропроцесорів, об'єм електронної | пам'яті та
швидкодію, про що буде сказано далі.
Для п'ятого покоління характерним є впровадження нових архітектур з роз-
паралелюванням обчислень, особливостями набору регістрів, формату команд і
даних (СІЗС, КІЗС, МІЛМ), а також багатопроцесорних систем (8МР) за прин-
ципом взаємодії з пам'яттю.
Зростаючі можливості технології почали з використовувати не тільки для
збільшення продуктивності процесора, але й для розміщення на кристалі поряд з
простим процесором, тих пристроїв, які в традиційній | архітектурі
розміщувалися на платі поряд з МП у вигляді окремих ВІС: тактовий генератор,
ПЗП, ОЗП, порти паралельного і послідовного обміну, контролер переривань,
таймери та ін.

1.1.2. Розвиток мікроелектроніки та її вплив на становлення


елементної бази комп'ютерної електроніки
Інтегральна технологія (ІТ) за перші 20-30 років свого розвитку досягнула
таких темпів росту характеристик якості, яких не знала пі одна область людської
діяльності. Дійсно, розглянемо динаміку змін основних параметрів ІТ за перші
20 років її розвитку (1960-1980 рр.): 1) ступінь інтеграції М зріс на 5-6 порядків;
2) площа транзистора 5 зменшилася на 3 порядки: 3) робоча частота /
збільшилася на 1-3 порядки; 4) фактори добротності: /ХМ збільшилася на 5
7 порядків; Рхі збільшився на 4 порядки, де / - затримка на елементі, Р
потужність, що розсіюється елементом; 5) надійність збільшилася на 4:
5 порядків; 6) продуктивність технології (у транзисторах збільшилася на 4
6 порядків; 7) ціна на транзистор у складі ІС зменшилася на 2-4 порядки.
18 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Американські дослідники підрахували, якщо б авіапромисловість у ті ж роки


мала аналогічні темпи розвитку показників якості (вартість- швидкіс ть
- розхід
палива - вартість - швидкодія - розсіювана потужність), то Боїнг-767 коштував
б всього 5005, земну кулю облітав за 20 хв і витрачав на цей політ 10 л палива.
Успіхи ІТ в області елементної бази дали змогу досягати кристалам все
більш високих рівнів ЕВМ: спочатку - логічні елементи, потім операційні еле-
менти (регістри, лічильники, дешифратори та ін.), операційні пристрої. З цієї
точки зору цікаво поглянути на співвідношення ІС логіки і пам'яті у процесі
еволюції ІС-СІС-ВІС-НВІС. Перші ІС (ступінь інтеграції М -10!) були виключ-
но логічними елементами, При досягненні М близько 10? стали виникати, разом з
операційними елементами, перші елементи пам'яті об'ємом у 16-24- 128 бітів.
З огляду на подальше зростання ступеня інтеграції пам'ять почала швидко
випереджати "логіку", оскільки за всіма параметрами мала перед логічними
схемами переваги. Дійсно структура накопичувача ЗП суттєво регулярна
(повторюваність елементів і зв'язків за двома координатами), зв'язність
(кількість зовнішніх виведень схеми) її зростає пропорційно логарифму об'єму
пам'яті у двічі. Насамкінець, пам'ять потрібна всім і "чим більше, тим краще",
особливо, якщо майже за однакову ціну.
Водночас для ІС-логіки на рівні М- 10? на кристалі можна вже розміщувати
пристрій ЦВМ (наприклад, АЛП, ЦУП), але схеми логіки суттєво нерегулярні,
їхня зв'язність зростає приблизно пропорційно М.
Геометричний скей; інг мікроелектронних структур. Закон Мура. Аналіз
емпіричних тенденцій перших п'яти років розвитку інтегральної ехнології дав
змогу Гордону Муру сформулювати в 1965 р. деяку закономірність, що згодом
була названа законом Мура.
Початкове формулювання закону було таким: кількість транзисторів на
чипі (кристал ІМС) подвоюється кожні 12 місяців. Незважаючи на довільність
формування та обгрунтування, закон Мура має певну фізичну основу. Зокрема,
його фізичний зміст містить ідею можливості розмірного скейлінгу - масштаб-
ного зменшення геометричних розмірів мікроелектронних компонентів зі збе-
реженням електричних і покращенням функціональних характеристик окремих
приладів і всієї схеми загалом.
Отож саме можливість геометричного скейлінгу мікроелектронних структур
стала технологічною й економічною основою, що забезпечила переможний рух
закону Мура впродовж вже більше півстоліття та зумовила зростання ступеня
інтеграції схем мікропроцесорів (лив. рис. 1.1).
Розділ 1. Інформаційні основи комп'ютерної електроніки 19

Pentium 5
10?

10°
є .
F 107 Pentium
8 Pentium 3
2 108 80486 Pentium Pro
Е
з 2
8 10! 80286 80386
e 104 8086
8080
то Ода, 1 1 1 1 1 І 1 1 І
1972 1976 1980 1984 1988 1992 1996 2000 2004 2008 2012
Рік
Рис. 1.1. Залежність ступеня інтеграції чипа від часу

Збільшення ступеня інтеграції чіпа досягається головно за рахунок змен-


шення технологічної норми та, деякою мірою, за рахунок зростання площі чіпа.
Головним параметром технології є мінії лальна технологічна (топологічна,
проектна) норма. Насправді під цим параметром розуміють характеристичний
розмір для цієї технології. Довжина затвора МОН-транзистора у 1,5 - 2 рази
менша технологічної норми (див.: рис. 1.2). Довжина каналу зазвичай ще менша
від довжини затвора.
Зміна технологічної норми відбувається за поколіннями, з приблизно одна-
ковим масштабним множником 1, -» 2 - 0,71.
1,00
0.5 ance
025 нм
0,18 mar | | технологічна норма
_ 013 мкм
02am
і ПУ
З 90 wae
0,10 aE
я 5 45 ae
довжиназатвору | 5бим""
15 um

. дю
от по 3 Ao
1990 1995 2000 2005 2010 2015
рік
Рис. 1.2. Технологічна норма і довжина затвора для технологій різних поколінь
20 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Перехід до чергового покоління рівнозначний зростанню ступеня інтеграції


приблизно у два рази. Очікується, що "кінець ери закону Мура", тобто коли
технологічна норма сучасної кремнієвої технології досягне свого мінімуму - 5-
10 нм, визначатиметься можливостями літографічних процесів і фундаменталь-
ними фізичними обмеженнями (див.: рис. 1.3).
100 мкм

10 мкм
Технологічна норма

1 мкм

100 нм

10нм

1950 1970 1990 2010 2030 2050


Pik

Рис. 1.3. Технологічна норма як функція часу

Усі головні геометричні параметри технології зменшуються разом з


технологічною нормою. Це насамперед довжина, ширина каналу транзистора,
товщина підзатворного окислу. Залежність геометричного розміру від норми має
переважно пропорційний характер.
Однією з найважливіших характеристик мікропроцесора є його швидкодія.
Максимальна швидкодія ІС залежить від системної тактової частоти. В останні
десятиріччя тактова частота мікропроцесорів збільшувалася у середньому у два
рази впродовж року (див.: рис. 1.4)
Тактова частота залежить від швидкості перемикання окремих цифрових
елементів. і насамкінець дуже залежить від геометричного фактора а, отже, від
технологічної норми. Встановлено, що тактова частота процесора приблизно
подвоюється в кожному наступному поколінні.
Геометричний ресурс для дальшого збільшення тактової частоти практично
вичерпаний, оскільки наявне фундаментальне обмеження, зумовлене скінчен-
ністю швидкості поширення електричного сигналу.
Основними проблемами при збільшенні ступеня інтеграції та зменшення
розмірів активних областей приладів є зростання струмів відтоку та проблема
тепловиділення. Ріст ступеня інтеграції та тактової частоти зумовив те, що
потужність теплового потоку від поверхні мікропроцесора сучасного ком-
Розділ 1. Інформаційні основи комп'ютерної електроніки 21

п'ютера загрозливо зростає Наприклад, якщо перший процесор Іпіе! 456 давав
потік тепла 5-8 Вт/см", 10 Intel Pentium 4-30 Вті/см".

100000

10000

1000
частота (МГЦ)
8зо

+ +п +1 4+ 4
970. 1980 1990 2000 2010 2020
Роки
Рис. 1.4. Залежність тактової частоти від року випуску

Фундаментальним параметром, від якого залежить енергоспоживання, є


енергія перемикання C,,U;,. Очевидним шляхом розв'язання проблеми
мінімізації Є; є: а) зниження повної ємності, що досягається за рахунок змен-
шення розмірів елемента; б) зменшення напруги живлення (/,,. У результаті
енергія перемикання неперервно зменшується. Наприклад, якщо в 1995 р.
енергія перемикання в одиницях КТ становила - 5:10), то у 2015 році - значно
менша - -10).
Разом з тим меншення розмірів елементів зумовлює підвищення ступеня
інтеграції, а потужність потоку тепла лише збільшується. Однак температура
мікросхеми під час функціонування має залишатися постійною, тому тепло
потрібно відводити. Це є однією з найбільш важливих технічних проблем
сучасної мікроелектроніки.
У сьогоденні, з метою створення надшвидкісних комп'ютерів людство поча-
ло використовувати кардинально нові принципи оброблення інформації та
новітні матеріали, чим увійшло у шосте покоління розвитку елементної бази
комп'ютерної електроніки. Зазначимо, що у 20 ст. прогрес у підвищенні
продуктивності комп'ютерних систем був досягнутий головно за рахунок удо-
сконалення мікроелектронної бази інформатики, підвищення ступеня інтеграції
та швидкодії інтегральних схем, використання паралельного та аналогового об-

роблення зображень, зі спеціалізацією та нарощуванням кількості паралельно


22 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

працюючих процесорів. Але створення надшвидкісних комп'ютерів може бути


реалізоване лише з використанням нових ідей та принципів, що суттєво
модифікують елементну базу. Зважаючи на сказане, сьогодні сформовані
декілька напрямків, що грунтуються на використанні кардинально нової
елементної бази, збудованої на квантових ефектах у новітніх структурах,
квантовій інформатиці та квантових обчисленнях. Отже, людство увійшло у
шосте покоління розвитку елементної бази комп'ютерної електроніки.
До перспективних напрямків розвитку елементної бази цього покоління
потрібно віднести створення: одноелектронної елементної бази інформатики
(одноелектронний транзистор, одноелектронні логічні схеми, одноелектронні
клітинні автомати, однослектронні елементи і матриці пам'яті); спінтронних
елементів та процесорів на їхній основі; молекулярної, вуглецевої Ta
надпровідної елементних баз.
Надпровідні кубіти на переходах Джозефсона вважають на сьогодні
найбільш вдалою елементною базою для реалізації квантових процесорів. З
2011 року успішно працює обчислювальна система "Р-Маує One” 3 128-
кубітним квантовим процесором "Ваїпісг'.У 2012 році компанія "Д-М/ауе"
успішно випробувала чергову версію надпровідного квантового процесора "Ме-
suvius”, який включає вже 512 кубітів.
Основним недоліком наведеної вище елементної бази є здатність
функціонуват лише при наднизьких температурах (-- 0,1К і нижче), що суттєво
стримує можливість її широкого впровадження.
Отож, є всі підстави вважати, що людство вступило в еру квантових
комп'ютерів, хоч і перебуває лише на самому її початку.
Висновки. Сучасна фізика (особливо квантова) та напівпровідникова
електроніка, продукуючи все нові та нові феномени, створюють сприятливі умо-
ви для розроблення елементів інформаційних систем, які функціонують на кар-
динально нових принципах та можуть мати фантастичні експлуатаційні пара-
метри. З цієї причини у довільний момент часу революційні відкриття у цих га-
лузях науки можуть порушити відносно еволюційний рух у розробленні
функціональних елементів, що склався сьогодні, а як наслідок - докорінно
змінити елементну базу. Свідченням цього є вся історія розвитку комп'ютерної
техніки, а особливо період переходу комп'ютерної техніки на елементну базу
напівпровідникових ІМС. Тому автори не намагатимуться сьогодні передбачати
конкретний тип функціональних елементів інформаційних систем не лише на
майбутні десятиріччя, але й на найближчі десять років. Закон Мура, що на
сьогодні є основним "дороговказом" у напівпровідниковій електроніці і має про-
гноз свого справдження до 2030 року, буде вичерпаний, очевидно, значно
раніше. Наноелектроніку, яка скоріш за все змінить сучасну напівпровідникову
мікроелектроніку, будуть описувати "своїм законом Мура", що матиме, звичай-
Розділ 1. Інформаційні основи комп'ютерної електроніки
23

но, складніший характер. Загалом, не роблячи прогнозів на домінуюче викори-


стання будь-якого перспективного типу функціональних елементів, можна пере-
дбачати широке впровадження квантових алгоритмів обчислень та елементів на
базі напівпровідникових нанорозмірних структур з надвеликою швидкодією та
дуже низьким рівнем енергоспоживання.

1.2. Інформація. Кількість інформації

Прогрес людства неминуче веде до збільшення загального об'єму інфор-


мації, яким воно користується, причому зростання його відбувається значно
швидше, ніж населення земної кулі та його потреби.
Поняття інформаціїє базовим для всіх інформаційних технологій. Будь-яка
діяльність людини по суті становить собою процес збирання й опрацювання
інформації, прийняття на її основі рішень та їхнього виконання. Спі кування,
обмін інформацією властиві всім живим істотам, але особливо людині. З впро-
вадженням сучасних комп'ютерних вичислювальних систем інформація стала
одним з найважливіших ресурсів науково-технічного прогресу. Її акумулювання
та оброблення з певних позицій дають нові відомості, приводить до нового
знання.
Слово інформація походить від латинського informatio, m0 B перекладі озна-
чає відомість, роз'яснення, ознайомлення. В інформатиці використовують таке
визначення: інформація-це відомості, що передаються джерелом отримувачу
(приймачу).
Інформація завжди пов'язана з матеріальним носієм та має деяке представ-
лення. Вона передається від джерела до приймача в матеріально-енергетичній
формі, у формі сигналів, що поширюються у певному середовищі. Інформацію,
яка представлена у певній закінченій (системній) формі та передається з одного
місця в інше, називають повідомленням. Джерело інформації - це суб'єкт або
об'єкт, що породжує інформацію та подає її у вигляді повідомлення, Його пода-
ють як сигнали і дані. Сигнали використовують для передавання інформації у
просторі між джерелом і приймачем, а дані - для збереження. Приймач
інформації - це суб'єкт або об'єкт, що приймає повідомлення. Сукупність
технічних засобів, використовуваних для передавання повідомлень від джерела
до приймача, називають | системою зв'язку. Канал зв'язку - це сукупність
технічних пристроїв або фізичне середовище, що забезпечує передавання
сигналів від джерела до приймача. Кодуючий пристрій призначений для пере-
творення інформації вихідного повідомлення від джерела до вигляду,
необхідного для передавання інформації. Декодуючий пристрій призначений
для перетворення отримуваного повідомлення у вихідний, придатний для його
24 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

наступного оброблення. Узагальнена схема передавання інформації технічною


системою зображена на на рисунку 1.5.

Teperasay et Приймач

Кодуючий Декодуючий
пристрій пристрій

Передавач Передавач

Рис. 1.5. Схематичне зображення процесу передавання інформації

Перше чітке визначення інформації дав американський вчений К. Шеннон y


1948 році на основі ймовірнісно-статистичного підходу. Він визначив як міру
зменшення невизначеності, тобто відбирання необхідних елементів з деякої
ньої сукупності. Тобто у цьому розумінні інформація - це відомості, що
знімають невизначеність, наявну до їхнього отримання. Згідно з визначенням,
основаним на комбінаториці, зробленим англійським нейрофізіологом У. Ешбі
(1956 р.), інформація детермінується не як ліквідація невизначеності, а як зняття
одноманітності, тотожності. Мірою кількості інформації тут служить ступінь
різноманітності елементів системи або відомостей про неї. Одиницею
вимірювання кількості інформації є біт, який відповідає вибору одного з двох
рівноможливих станів або двох рівномозжливих й. мовірностей.
Інформація має властивість адитивност загальна кількість інформації,
необхідної для розв'язання двох задач, рівна сумі окремих інформацій. Тому, як-
що задано число рівноймовірних розв'язків задачі, то інформація пропорційна
натуральному логарифму цього числа.
Щоб оцінити кількість інформації використовують ймовірнісний підхід,
оскільки за Шенноном кожне повідом: пення характеризується ймовірніст тю поя-
ви. Ймовірність певних типів повідомлень встановлюють на основі статистич-
ного аналізу.

Нехай на вхід системи передавання інформації (СПІЇ) від джерела інформації


надходить сукупність повідомлень, вибраних з ансамблю повідомлень (див.:
рис. 1.6
Розділ 1. Інформаційні основи комп'ютерної електроніки 25

Завади

РЕ Ж» Oi у (x 9"
В о У Система хом

3 з| --- інформації J---


Fl x, 2.) ж" У
Рис. 1.6. Модель системи передавання інформації з використанням
ансамблю повідомлень

Під ансамблем повідомлень розуміють множину можливих повідомлень з


відомими їхніми ймовірнісними характеристиками: (Х, р(х)), де Х з |хухоми |
множина можливих повідомлень джерела; / - /,2...т, де т - об'єм алфавіту, р(х)
ймовірності появи повідомлень, причому р(х;)? 0. Оскільки ймовірності
повідомлень становлять собою повну групу подій, то їхня сумарна ймовірність
рівна одиниці:

D(x)

Кожне повідомлення несе в собі певну кількість інформації. Визначимо


кількість інформації, що міститься у повідомленні х;, вибраному з ансамблю
повідомлень джерела |Х, р(х)). Одним з параметрів, що характеризує це
повідомлення, є ймовірність його появи - р(х), тому необхідно припустити, що
кількість інформації /(х,) у повідомленні х; є функцією р(х). Ймовірність появи
двох незалежних повідомлень (х) іх» рівна добутку ймовірностей
ро) з р(хуурбо), а інформація, що міститься в них, має властивість
адитивності:

Гол) По) ). ал)

Тому шдля оцінення кількості інформації за Шенноном запропонована


логарифмічна міра:

I(x,)=log і =-—log p(x;). (1.2)


P(x)
Водночас найбільша кількість інформації містить найменш ймовірні пові-
домлення, а кількість інформації у повідомленні про достовірну подію рівна ну-
лю. Оскільки всі логарифми пропорційні, то вибір основи визначає одиницю
інформації: Іов,х7 Їорьх/Ловуа. Залежно від основи логарифму використовують
такі одиниці інформації: 2 - |біт| (біпагу дідії- двійкова одиниця), використову-
26 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ють в інформаційних процесах ЕВМ та інших пристроях, що функціонують на


основі двійкової системи числення; 10 - |діт| (Іесітаї Чігії - двійкова одиниця),
використовують при аналізі процесів у пристроях, що функціонують на основі
десяткової системи числення. Двійкове слово з восьми символів містить байт
інформації, 1024 байтів утворюють кілобайт (Кбайт), 1024 Кбайтів - мегабайт
(Мбайт) і 1024 Мбайтів-- гігабайт (Гбайт), при цьому 1024 - 2 19.
Біт є не лише одиницею кількості інформації, але й одиницею вимірювання
ступеня невизначеності Тут
. розуміють невизначеність, яка міститься в одному
досліді, що має два рівноймовірні результати.
Як одиниця статистичної міри інформації біт - це кількість інформації, яка
знімає невизначеність щодо реалізації однієї з двох рівноймовірних (ро"-0,5,
P)=0,5) незалежних подій.
Середню кількість інформації для всієї сукупності повідомлень можна отри-
мати шляхом усереднення за всіма незалежними подіями:

109--Урлокр,. (13)
ia
Кількість інформації у повідомленні, що складається з п нерівноймовірних
його елементів рівна за Шенноном:

I(x) -n> p, log p,. (1.4)


-
Для випадку незалежних рівноймовірних подій кількість інформації визна-
чають за Хартлі (1928 р.) так:

I(x)= правочини бі nlogm. Gis)


i= m m

Середнє значення кількості інформації на одне повідомлення за Шенноном


визначає ентропію. Сутність ентропії сформулював німецький фізик Больцман
(1871р.). Використовуючи статистичну модель ансамблю молекул газу, він увів
цей параметр як міру хаосу в ансамблі молекул. З цієї позиції поняття
інформація можна класифікувати, як ентропію з протилежним знаком.
На основі описаної моделі ансамблю подій ентропія це математичне
очікування випадкової величини І (х) визначеної на ансамблі ЇХ, рі, тобто вона
характеризує середнє значення кількості інформації, що приходить на один
символ (повідомлення):

наозмітод)н ) S p(x, )log p(x). (1.6)


п їі
Розділ 1. Інформаційні основи комп'ютерної електроніки 7 27

Наочним прикладом для цього випадку є задача про ентропію системи двох
альтернативних подій з ймовірностями р; і рг. Ентропія у цьому випадку рівна:

Н(х)е-р, Іов, р. - ро 108, р» з

з
=—p,-log, p,—[1~ p,]log, [I~ a, ]= ge РР (1.7)
ІЗ ень
Ентропія повідомлень має такі властивості: 1) вона є величина дійсна, обме-
жена, не від'ємна, неперервна в інтервалі 0 « р «1; 2) ентропія максимальна для
рівноймовірних подій; 3) ентропія для детермінованих подій рівна нулю;
4) ентропія системи двох альтернативних подій змінюється від нуля до одиниці.
Ентропія чисельно збігається з середньою кількістю інформац але принци-
пово відмінна від неї, оскільки Н (x) визначає середню невизначеність стану
джерела й є його об'єктивною характеристикою. Вона може бути обчислена
апріорно, тобто до отримання повідомлення за наявності статистики
повідомлень. Одночасно, /х) визначається апостеріорно, тобто після отримання
повідомлення. З отриманням інформації про стан системи ентропія знижується.

1.3. Сигнали. Форми подання інформаці [1]


Щоб передати інформацію від джерела до приймача, повідомлення перетво-
рюють у сигнали. За означенням, сигнал це зміна фізичної величини,
використовуваної для пересилання даних. Як відомо, сигнал утворюється на
основі деякого фізичного об'єкта (процесу) - електромагнітні чи акустичні ко-
ливання, електрична напруга, струм та ін., який традиційно називають "носієм".
Може відбуватися зміна одного або декількох параметрів (амплітуди, фази, час-
тоти) за визначеним законом передавання інформації. Вважають, що сигнал - це
матеріально-енергетичне втілення повідомлення. Він може перетворюваватися
без зміни змісту інформації з однієї фізичної величини в іншу, зручнішу для об-
роблення комп'ютером. Зміну параметрів фізичної величини за законом переда-
ваного повідомлення називають модуляцією.
Сигнали класифікують на: 1) випадкові і детерміновані (за ступенем
визначеності очікуваних значень); 2) неперервні та дискретні (за структурою
часової змінної); 3) адреси, дані, сигнали керування (за роллю передаваної
інформанції);4) низькочастотні та високочастотні, вузькосмугові, широкосмугові
(за спектральним представленням); 5) кодовані, декодовані, дискретизовані (за
способом перетворення); 6) потенціальні та імпульсні (за характером зміни ко-
дованих сигналів у синхронні моменти часу).
28 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Спосіб формалізованого опису різноманітних сигналів називають поданням


інформації. Зазвичай у теорії інформації розглядають не фізичне, а математичне
подання сигналів, тобто їхній опис за допомогою формул, графіків, функцій,
розподілу ймовірностей тощо.
Найбільш поширеними способами подання сигналів є часовий, спектраль-
ний, статистичний. Виділяють такі різновидності сигналів, які описують за ча-
совою функцією у(г):
1) неперервна функція неперервного аргументу в інтервалі часу 0/1,
(див.: рис. 1.7, а);
2) дискретна функція неперервного аргументу (див.: рис. 1.7, б). Значення,
отрмані функцією у(7) утворюють дискретний ряд чисел у; (і -І, 2...Ю). Значення
аргументу варіює в інтервалі часу 0 4/ «/,. Перетворення неперервної функці
A) y дискретну множину значень у; називають "квантуванням за рівнем")
3) неперервна функція дискретного аргументу (див.: рис. 1.7, в). Значення
функції у(7) визначають тільки на дискретній множині /; (ї 71, 2...Ю). Функція у(1,)
може набувати довільних значень у заданому діапазоні. Перетворення функції
У(1) неперервного аргументу 7 у функцію у(7,) дискретного аргументу 1, назива-
ють дискретизацією (квантуванням) у чі
4) дискретна функція дискретного аргументу (див. рис. 1.7, г). Значення, що
приймають функція й аргумент, утворюють дискретні ряди чисел у, Мі,» Мк 1 0,

ха)

б в г

Рис. 1.7. Математичне представлення сигналів

Перший тип сигналів описує неперервні (аналогові) сигнали; другий і третій


- дискретно-неперервні, а четвертий - чисто дискретні. Сумісне застосування
дискретизації та квантування дає змогу перетворювати неперервну функцію в
чисто дискретну.
Згідно з теоремою Котельникова, сигнал, описуваний функцією з обмеже-
ним спектром, визначається своїми дискретними значеннями, які відраховують
через інтервал часу / - Ук » де Ко - ширина спектра. Отже, сигнал у(т) можна
Розділ 1. Інформаційні основи комп'ютерної електроніки

передавати окремими миттєвими значеннями, які відраховують через кінцевий


інтервал часу. За цими значеннями комп'ютер повністю відновлює первинний
неперервний сигнал.
(OA ti

=f
т T

Рис. 1.8. Часоїмпульсне представлення сигналу У(І) прямокутними


імпульсами

До дискретно-неперервних функцій відносять також часоїмпульсне пред-


ставлення первинного сигналу М(г) прямокутними імпульсами з неперервним
інформативним параметром, г/Т, де 1; - тривалість імпульсів,
пропорційна зна-
ченню сигналу; 7 - період імпульсів (див.: рис. 1.8).

1.4. Аналогова та цифрова форми подання інформації

Аналогова форма подання інформації. Аналоговою формою подання


(АФП) інформації людство користувалося достатньо давно, не детермінуючи
цієї назви. Однак сам термін (АФП) сформувався внаслідок
усвідомлення понят-
тя інформації як предмета вивчення науки та у зв'язку з реалізацією
математич-
них моделей в аналогових вичислювальних машинах. Відомо,
що такі моделі
використовують як методичну основу аналогових систем диференціальних
рівнянь, які описують різноманітні природні явища та процес
и (2, 3).
Загалом інформативним для технічних систем може бути один
або декілька
параметрів довільного фізичного процесу. Зокрема, для гармон
ічних сигналів
інформативними можуть бути амплітудне значення, частот
а, фаза або їхні
комбінації. Особливістю є те, що для технічних систем зазвич
ай всі значення
АФІ сигналу мають бути однаково інформативними. Ця
обставина зумовлює
суттєву особливість ЛФП -- принципову незахищеність від впливу
завад. Об'єми
інформації, що її передають за допомогою АФП, через значим
ість кожного зна-
чення неперервного сигналу в технічних системах можуть бути дуже великими
й обмежені лише заданою точністю реалізації функції технічної
системи.
Переважно в аналоговій схемотехніці використовують два види інфор-
маційних сигналів: періодичні (модульовані / не модульовані) та
неперіодичні,
причому незалежною змінною в обох випадках є час.
30 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Під модульованим розумітимемо деякий сигнал, один з параметрів якого


змінюється відповідно до передаваної інформації. Наприклад, для гармонічного
сигналу А(г) є А, зіп(ох -к Ф,) можливими є три види модуляції:

A(t) = Азот) + Q) (амплітудна),

A(t) = Ay sin[a(s) +] (частотна),

A(t)= A, sin[a(t) + фіг) (фазова).

Аналогічні види модуляції можливі і для негармонічних періодичних


сигналів.
Неперіодичні сигнали можуть бути відображені сумою окремих гармонічних
коливань. Апаратом таких перетворень є інтеграл Фур'є:

F(a)= | fide at.

При частотному відображенні неперіодичного сигналу зазвичай уводять по-


няття спектра сигналу - як сукупності (суми) гармонічних складових з непере-
рвною змінною частотою й амплітудою, що задовольняє приведеному інтегралу
Фур'є.
Цифрова форма подання інформації має принципові відмінності від
аналогової саме в тому, що з метою забезпечення довільної наперед заздалегідь
точності в області цифрових сигналів (за (/ чи J) уведені спеціальні зони, зна-
чення сигналів яких або рівнозначні (незалежно від величини зони), або не мо-
жуть бути наявні у принципі (див.: рис. 1.9).
Ura

Use EET
ЗИ
КОeso ХОСОННООЯХ

Рис. 1.9. Схема рівнів цифрового представлення інформації згідно з угодою

Це не означає, що струм або напруга фізично неможливі в забороненій зоні


або фізично не відрізняються одне від одного у дозволеній. Насправді є умовна
Розділ 1. Інформаційні основи комп'ютерної електроніки 31

«домовленість? між розробниками пристроїв про те, як необхідно інтерпре-


тувати певні значення сигналів у пристроях. Зазначимо, що введення дозволених
і заборонених зон еквівалентне введенню надлишкової інформації у перелава-
ний сигнал. Саме ця обставина дає можливість підвищити достовірність цифро-
вого повідомлення, але й обмежує його інформаційний об'єм.
Кількість дозволених і заборонених зон може бути довільною, її визначають
за прийнятою системою числення. Разом з тим кожній з дозволених зон умовно
присвоюють значення деякої цифри. Однак на практиці домовленість про пред-
ставлення інформації та реалізація цієї угоди в технічних пристроях зовсім не є
одне одне і те ж саме. Для прикладу, фізичні процеси в електронних приладах
протікають неперервно і транзистор "не знає", що він має реалізувати деяке
цифрове представлення, тому виникає обмеження на кількість зон при цифровій
формі представлення інформації. За сучасного рівня розвитку електроніки
компроміс між бажаним і можливим реалізований на двійковому поданні
інформації (саме через схемотехнічні можливості, а не за бажанням роз-
робників).
При двійковому поданні інформації наявні дві дозволені зони, яким можуть
бути присвоєні значення логічного 70" і 717, та одна заборонена зона, обмежена
між дном зони високих рівнів та "стелею" зони низьких рівнів. Розміри цих зон
визначають при конкретній технічній реалізації пристрою.
Ще є одна важлива обставина. Деякий цифровий пристрій має не лише фор-
мувати двійкові рівні, але й розпізнати їх при прийманні. А це означає, що в
угоді про цифрову форму подання інформації необхідно ввести ще одну зону -
зону порогової напруги - деякої напруги, величина якої не залежить від величи-
ни логічних рівнів. Конкретну величину порогової напруги визначають за схе-
мою цифрового елемента та використовуваними в ньому характеристиками
напівпровідникових приладів.
Перевищення порогової напруги (за "нульової" вхідної напруги) зазвичай
веде до відкривання транзистора формувача рівня в логічному елементі
(відкриваюча завада). При "одиничній" вхідній напрузі можлива закриваюча за-
вада. Отже, з уведенням порогової напруги заборонена зона розпадається на дві
зони: зону відкриваючих і зону закриваючих завад. Величину цих зон визнача-
ють за заданою завадостійкістю - здатністю логічного елемента достовірно
відрізняти високі та низькі рівні сигналів за наявності завад. Необхідно говорити
про статичну та динамічну завадостійкість, розуміючи, що при визначенні ве-
личини статичної завадостійкості не враховують часові параметри завади (час
лії завади суттєво більший від часу перемикання цифрового елементу). Якщо
ас лії завади порівняний з часом перемикання цифрового елемента, то говорять
о динамічну завадостійкість.
32 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Зазначимо, що розбиття на зони може бути реалізоване до різних


конфігурацій сигналів, наявних у часі. Насамперед за амплітудою (амплітудна
форма), або за часом (часоїмпульсна форма). Друга форма забезпечує велику
завадостійкість, однак веде до достатньо громіздких технічних рішень, не знай-
шовши сьогодні застосування.
У разі поданні інформації амплітудою деякого сигналу суттєве значення ма-
ють і його часові параметри. З одного боку вони визначають швидкодію
елементів комп'ютера, з іншого-від цифрового сигналу. Тому серед цифрових
сигналів виділяють імпульси та потенціали. Імпульс - цифровий сигнал, харак-
теристикою якого є його тривалість. Вона є незмінною, однак може змінюватися

при переході від елемента до елемента, в середньому залишаючись постійною.

Потенціал - цифровий сигнал, тривалість якого може бути довільною.


Прикладом описаних видів цифрових сигналів є імпульси, які застосовують
у комп'ютерній електроніці. На рисунку 1.10 зображене часове розгортання
тактових імпульсів, які генеруються у кожному комп'ютері для синхронізації
процесів оброблення інформації.

-
Рис. 1.10. Часова діаграма імпульсів, генерованих генератором
тактових імпульсів комп'ютера

Початок кожного імпульсу називають тактовим моментом. Часовий інтер-


вал між двома сусідніми імпульсами С називають машинним тактом То. На по-
чатку кожного імпульсу С змінюється інформація на входах елементів і вузлах
комп'ютера.
Генератор, що виробляє такі імпульси називають генератором cunxpo-
нізуючих імпульсів (ГСТ) (або тактових-Г ТІ), а самі імпульси снихропізуючими,
або синхроімпульсами. Амплітуда і полярність імпульсу С залежать від фізичних
принципів будови машини. Принцип подання інформації на входи елементів і
вузлів у тактові моменти називають дискретизацією сигналів у час
У комп'ютерній схемотехніці застосовують два основні види двійкових
сигналів: потенціальні та імпульсні (див.: рис. 1.11).
Сигнал, який змінюється лише в тактові моменти часу назвали потен-
ціальним. Сигнал, який наростає в тактовий момент, а спадає в межах цього так-
ту, назвали імпульсним. Тривалість потенціального сигналу дорівнює (або крат-
на) тривалості машинного такту.
Розділ 1. Інформаційні основи комп'ютерної електроніки 33

ue 0 1
о 0 1 1 о 0

IC

Рис. 1.11. Діаграми потенціальних та імпульсних сигналів

У логіці значення двійкового сигналу та відповідної змінноїХ кодують сим-


волами 0 (707) та 1 (17). Напругу, що відображає 717 позначають (/, а символ
«07 - 0". Розрізняють два способи кодування логічних сигналів YX,
потенціальними сигналами: позитивний та негативний. За позитивного кодуван-

ня (позитивна логіка (угода)) більший рівень напруги (/ з урахуванням знака


відображає 71", а менший (/ - 70". Тобто
Х - І, якщо U'= Uy 1a X=0 при
он ( (див. рис. 1.12, а). При негативному кодуванні (негативна логіка) вищий
рівень напруги П/у з урахуванням знака відображає 707, а нижчий (/ - 71". Тобто
- 1, axmo U! = U, 1a X= 0 при 0"- Оу (див.рис.: 1.12, б).

с с

о 1 0 о 1 9 1 1

Рис. 1.12. Логічні угоди:


а- позитивна Х"; б- негативна Х

Для імпульсних сигналів розрізняють два види кодування: наявність


імпульсу відображає 717, а брак 707 (див.: рис. 1.13).
С

Рис. 1.13. Імпульсне кодування:


а- першого роду; б - другого роду
pe

34 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Сучасна цифрова схемотехніка головно користується потенціальними сигна-


лами.

1.5. Принципи організації та структура комп'ютера

(computer, англ.); сотришаюг,(лат.)- обчислювач; сотриаї ит


Komn’romep
(лат.) - рахувати) - програмнокерований пристрій для оброблення інформації.
може бути механічний, електромеханічний або немеханічний
Конструктивно це

(електронний) пристрій, призначений для проведення обчислень, які можуть


відбуватися скретно або безперервно у часі. У вузькому значенні -
електронний цифровий програмований пристрій (електронна обчислювальна
обчислень заздалегідь визначеним алгоритмом.
машина) для проведення

Сьогодні практично всі наявні комп'ютери є електронно-обчислювальними ма-


шинами. Структура комп'ютера - це с супність його функціональних
сломентів та зв'язків між ними. Схематично її зображають як структурні схеми,
яких можна зробити опис комп'ютера на будь-якому рівні
за допомогою
деталізації. Під елементами потрібно розуміти набір простих схем (типу уз-
послідов-
годження, допоміжних), а також основних функціональних вузлів
аналого-
нісного та комбінаційного типів, арифметико-логічний пристрій,
цифрові перетворювачі, пристрої пам ‘ami Ta їн.
ру
Розглядаючи питання про принципи роботи комп'ютера та його структу
визначає
не можна обійти поняття "архітектура" комп'ютера, оскільки саме вона
зв'язків у комп'ютері. Його вперше ввела компанія ІЇВМ в
внутрішню сутність
кінці 1950-х років, щоб описати рівень сумісності певного сімейства
комп'ютерів, кожний з яких виконує одні і ті ж команди, але відрізняються за
можливостями реалізації певної програми. З того часу це поняття зазнає різних
систем, відображаючи таким чином їхню
дефініцій розробниками комп "ютерних
комп "ютерної індустрії. Наприклад, одне з
приналежність до відповідної галузі

- це логічна організація комп 'ютера 3 позицій програмісі та"-


них: "архітектура
в комп "ютері, що наявні лише на абстракт-
однобоко відображає взаємозв "язки
і повним є означення архітектури, як деякого
ному рівні. Найбільш прийнятним
схемотехнічну
абстрактного представлення, яке відображає його структурну
дії, інформаційні
та логічну організацію. Архітектура визначає принципи
зв'язки та взаємне з'єднання основних логічних вузлів комп'ютера, оперативно-
пристрою (ОЗП), зовнішніх ЗП і периферійних пристроїв
го запам'ятовуючого
адресації
(ПП), а також набір і доступність регістрів, організацію і способи
ення пе-
пам'яті, способи представлення і формати даних, набір команд, обробл
архітектури, які
реривань. Найбільш прийнятними на сьогодні є два типи
поділяють за принципом розділення пам'яті. Перший тип - Гарвардська
- фон-
архітектура. Він передбачає розділення програм і пам'яті даних, а другий
Розділ 1. Інформаційні основи комп'ютерної електроніки 35

Нейманівська (1945 р.) - має характерною ознакою сумісне збереження програм


і даних. В основі побудови переважної кількості сучасних комп'ютерів є
Нейманівська архітектура. На базі цих принципів було створено перші два
покоління комп'ютерів, однак головні з них використовують і в сучасних
поколіннях комп'ютерних систем. Основні принципи Нейманівської машини
такі: використання двійкової системи числення; програмне управління; пам'ять
машини використовують не лише для збереження даних, але й програм; прин-
цип адресності: елементи пам'яті мають адреси, які послідовно пронумеровані;
можливість умовного переходу в процесі виконання програм до довільної
ділянки кодів.
Структурна схема типового персонального комп'ютера зображена на рисун-
ку 1.14.
Математичний
співпроцесор.

основна пап'ять Bonita ane


постійний | || Оперативний Накопичувач
apaes 3 змлам
a Зповуючий|
и (запам "повуючня
ково | || наджорсткомуб |) натнуч
агнітному
очний кристрій (ТЗ | пристрій (ОЗП) дискуду | | дяску (нГмд)
=
erp таймер
ipo [eae aT [ewe oD]
процесорна
там'ять : Системна шина. | )

пристрій ножні дати лита берет


jens
= Т принтера
T живлення здаптер Гог
канал зв'язку
Bineomonivop
Хаисплей) ца

Генератор. || Питерфеїс клаві


тактових
імпульсів. "Клавіатура.

Рис. 1.14. Структурна схема персонального комп'ютера

Мікропроцесор (МП). Мікропроцесор - центральний пристрій ПК, призна-


чений для управління роботою всіх блоків комп'ютера та виконання арифме-
тичних і логічних операцій над інформацією. МП виконує такі функції: обчис-
ення алрес команд і операндів; вибірку і дешифрацію команд з основної
пам'яті (ОП); вибірку даних з ОП, регістрів МПП і регістрів адаптерів зовнішніх
пристроїв (ЗП); приймання і оброблення запитів і команд від адаптерів на обслу-
говування ЗП; оброблення даних та їхній запис в ОП, регістри СПП і регістри
36 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

адаптерів ЗП; вироблення управляючих сигналів для всіх інших вузлів і блоків
ПК; перехід до чергової команди.
Системна шина. Системна шина основна інтерфейсна система
комп'ютера, що забезпечує спряження та зв'язок усіх його пристроїв між собою.
Системна шина включає: 1) кодову шину даних (КД), що містить провідники і
схеми для паралельного передавання всіх розрядів коду адреси комірки основної
пам'яті або порту введення-виведення зовнішнього пристрою; 2) кодову шину
адреси (КША), що містить провідники і схеми спряження для паралельного пе-
редавання всіх розрядів коду адреси комірки основної пам'яті або порту введен-
ня-виведення зовнішнього пристрою; 3) кодову шину інструкцій (КП), що
містить провідники і схеми спряження для передавання інструкцій (управляю-
чих сигналів, імпульсів) у всі блоки машини; 4) шину живлення, що містить
провідники і схеми спряження для під'єднання блоків ПК до системи енерго-
живлення.
Системна шина забезпечує три напрямки передавання інформації: між МП і
основною пам'яттю; між МП і портами введення-виведення зовнішніх
пристроїв; між основною пам'яттю і портами введення-виведення зовнішніх
пристроїв (у режимі прямого доступу до пам'яті).
Усі блоки, а точніше, їхні порти введення-виведення, через певні уніфіковані
роз'єми під'єднуються до шини однаково: безпосередньо або через контролери
(адаптери). Управління системною шиною здійснюється МП або безпосередньо,
або, що найчастіше, через додаткову мікросхему контролера шини, що формує
основні сигнали управління.Обмін інформацією між зовнішніми пристроями i
системною шиною виконується з використанням АЗС П-кодів.
Основна пам'ять. Основна пам'ять (ОП) призначена для збереження та
оперативного обміну інформацією з іншими блоками машини, Вона містить два
типи запам'ятовуючих пристроїв: постійний запам'ятовуючий пристрій (ПІЗП) і
оперативний запам'ятовуючий пристрій (ОЗП). ПЗП (ВОМ - Всад Опіу
Метогу) призначений для збереження незмінної програмної і довідникової
інформації; дає змогу оперативно лише зчитувати інформацію, що зберігається в
ньому; ОЗП (КАМ- Капдот Ассез5 Метогу) призначений для оперативного за-
пису і зчитування інформації (програм і даних), що безпосередньо бере участь в
інформаційно-вичислювальному процесі, який виконується ПК у плинний
період часу. Головною перевагою ОПІ є її висока швидкодія і можливість звер-
тання до кожної комірки пам'яті окремо (прямий адресний доступ до
комірки). Недоліком цього виду пам'яті є її енергозалежність, тобто зникнення
оброблюваної інформації після вимкнення електроживлення.
Крім основної пам'яті на системній платі ПК є також епергонезалежна
пам'ять СМО5 ВАМ (Complementary Metal-Oxide semiconductor КАМ), що
Розділ 1. Інформаційні основи комп'ютерної електроніки 37

постійно живиться від свого акумулятора; в ній зберігається інформація про


апаратну конфігурацію ПК, яка перевіряється за кожного ввімкнення системи.
Зовнішня пам ять. Зовнішню пам'ять (ЗП) відносять до зовнішніх пристроїв
комп'ютера; вона призначена для довготривалого збереження довільної
інформації, яка коли-небудь стане необхідною для розв'язування задач. Зокрема,
у ЗП зберігається все програмне забезпечення комп'ютера. ЗП має різні види
запам'ятовуючих пристроїв, але найбільш поширеними є показані на
структурній схемі накопичувачі на жорстких та гнучких магнітних дисках. При-
значення цих накопичувачів - збереження великих об'ємів інформації, запис і
видавання інформації в ОЗП за запитом. Розрізняють НЖМД і НГМД конструк-
тивно, за об'ємами збережуваної інформації, часом її пошуку, запису і зчиту-
вання. У ролі пристроїв ЗП використовують також накопичувачі на оптичних
дисках (СЮ КОМ — Compact Disk Read Only Memory) та рідше стримери. В
останні роки великої популярності набули пристрої флеш-пам'яті.
Джерело живлення. Джерело живлення - блок, що містить системи авто-

номного і мережевого енергоживлення комп'ютера та забезпечує живленням з


номінальними параметрами (напруга, сила струму, частота та ін.) всі
функціональні блоки, вузли та системи комп'ютера.
Таймер. Таймер - внутрімашинний годинник реального часу, що забезпечує
при потребі зчитування поточного моменту часу. Він живиться від автономного

джерела живлення - акумулятора, а отже, при від'єднанні машини від мережі


продовжує працювати.
Генератор тактових імпульсів. Результати функціонування цифрових
схем, які входять до складу комп'ютера, залежать від порядку виконання певних
дій, операцій. Для контролю часових співвідношень, щоб забезпечити
синхронізацію у цифрові схеми влаштовують тактові генератори. Тактовий ге-
нератор - це схема, яка виробляє серію імпульсів. Всі імпульси однакові за
тривалістю. Інтервали між послідовними імпульсами також однакові.
У комп'ютері за час одного такту може реалізуватися багато подій. Якщо
вони мають відбуватися у певному порядку, то такт потрібно розділити на
підтакти. Щоб досягнути кращого розділення, ніж в основного тактового генера-
тора, необхідно зробити розгалуження від задаючої лінії тактового генератора їі
встановити схему з певним часом затримування. Таким чином породжується
вторинний сигнал тактового генератора, який зсунутий по фазі щодо первинного
(див.: рис.1.15,а). Часова діаграма (див. рис. 1.15, б) забезпечує чотири початки
відліку часу для дискретних подій: 1) наростаючий фронт СІ; 2) задній фронт
С2; 3) наростаючий фронт С2; 4) задній фронт С2.
Тактові генератори можуть бути синхронними. У цьому разі час стану з ви-
соким рівнем імпульсу рівний часу стану з низьким рівнем імпульсу (див.:
рис. 1.15, б). Щоб отримати асинхронну серію імпульсів потрібно зсунути сиг-
38 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

нал задаючого генератора, використовуючи лінію затримування, Потім потрібно


з'єднати отриманий сигнал з початковим сигналом за допомогою логічної
функціїІ (див.: рис. 1.15, в, сигнал С).

ОБ

I
п+
ї
А і
І І
І
І
B І '
'
4
al
1

І
' і

Рис. 1.15. Тактовий генератор (а); часова діаграма


для тактового генератора (б); породження асинхронних тактових імпульсів

Зовнішні пристрої. Зовнішні пристрої (ЗП) найважливіша складова


довільного вичислювального комплексу. Достатньо сказати, що за вартістю ЗП
становить до 80-85 вартості всього ПК. ЗП ПК забезпечують взаємодію маши-
ни з оточуючим середовищем: користувачами, об'єктами управління та іншими
комп'ютерами. До ЗП відносять: зовнішні запам'ятовуючі пристрої (ЗЗП), або
зовнішню пам'ять ПК; діалогові засоби користувача; пристрої введення
інформації; пристрої виведення інформації: засоби зв'язку і телекомунікаці
Діалогові засоби користувача включають: відеомонітор; пристрої мовного вве-
дення-виведення засоби мультимедіа. До пристроїв уведення інформації
відносять: клавіатуру; графічні планшети; сканери; пристрої цілевказівки
(графічні маніпулятори); сенсорні екрани. До пристроїв виведення інформації
відносяться: принтери; графобудувачі (плотери).
Розділ 1. Інформаційні основи комп'ютерної електроніки 39

Пристрої зв'язку і телекомунікації використовують для зв'язку з приладами


та іншими засобами телекомунікації та для під'єднання ПК до каналів зв'язку,
інших комп'ютерних мереж.
Мультимедіа - це комплекс апаратних і програмних засобів, які дають
можливість людині спілкуватися з комп'ютером, використовуючи різні,
природні для себе середовища: звук, відео, графіку, тексти, анімацію та ін.
Додаткові ІМС. До системної шини і до МП ПК разом з типовими
зовнішніми пристроями можуть бути під'єднані і деякі додаткові ІМС, які роз-
ширюють та покращують функціональні можливості МИ: математичний
співпроцесор; контролер прямого доступу до пам'яті; співпроцесор уведення-
виведення; контролер переривань.

Математичний співпроцесор використовують для прискорення виконання


операцій над двійковими числами з фіксованою та плаваючою комою, над
двійково-кодованими десятковими числами для обчислення деяких трансцен-

дентних, у т.ч. тригонометричних функцій.Математичний співпроцесор має


свою систему команд і працює паралельно в часі з основним МП, під його
безпосереднім управлінням. Прискорюються операції в десятки разів. Сучасні
моделі МП, починаючи з 50486 DX, включають співпроцесор у свою структуру.
Контролер прямого доступу до пам'яті (ОМА-Рігесі Метогу Access)
забезпечує обмін даними між зовнішніми пристроями й оперативною пам'яттю
без участі МП, що суттєво підвищує ефективну швидкодію ПК. Режим ОМА дає
змогу звільнити процесор від рутинного пересилання даних між зовнішніми
пристроями і ОП, віддавши цю роботу контролеру ОМА. МП у цей час може
обробляти інші дані або іншу задачу у багатозадачній системі.
Співпроцесор уведення-виведення за рахунок паралельної роботи з МП
суттєво прискорює виконання процедур уведення-виведення при обслуговуванні
декількох зовнішніх пристроїв; звільняє МП від оброблення процедур уведення-
виведення, в тому числі реалізує і режим прямого доступу до пам'яті.
Контролер переривань обслуговує процедури переривання. Переривання --
часове призупинення виконання одної програми з метою оперативного виконан-
ня іншої, у якийсь момент більш важливої (пріоритетної) програми. Контролер
приймає запит на переривання від зовнішніх пристроїв, визначає рівень
пріоритету цього запиту та видає сигнал переривання в МП. Мікропроцесор, от-
римавши цей сигнал призупиняє виконання поточної програми і переходить до
виконання спеціальної програми обслуговування переривання, яке запросив
зовнішній пристрій Після завершення програми обслуговування відновлюється
виконання перерваної програми.Контролер переривань програмований. Перери-
вання виникають при роботі комп'ютера постійно. Достатньо сказати, що всі
процедури уведення-виведення інформації виконуються за перериваннями.
40 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Елементи конструкції ПК. Конструктивно ПК виконані у вигляді цен-


трального системного блока (див.: рис. 1.16), до якого через роз'єми під'єднують
зовнішні пристрої: додаткові блоки пам'яті, клавіатуру, дисплей, принтер та ін.
ся р
[1] (з) 10) [11 |

a 6
Рис. 1.16. Загальний вигляд типового системного блоку: зовнішній вигляд (а)
та зі знятою боковою кришкою (б)

Системний блок - металічний каркас (корпус) (1), який є основою для мон-
тажу внутрішніх елементів та вузлів комп'ютера та містить системну плату (12);
блок живлення (2); адаптери; один (іноді більше) накопичувач на жорсткому
магнітному диску (НЖМД) (11); динамік; дисковод для компакт-дисків або інші
накопичувачі (9, 10); модулі оперативної пам'яті (5); органи керування, а також
захищає їх від зовнішнього впливу та механічних пошкоджень, підтримує
необхідний температурний режим у середині системного блоку за допомогою
корпусного вентилятора (4) всередині корпусу, що зазвичай видаляє тепле
повітря з корпусу комп'ютера, зумовлюючи притік холодного повітря ззовні,
екранує створені внутрішніми компонентами електромагнітні випромінювання
та є основою для дальшого розширення системи. Відеокарта (відеоадаптер,
відеоплата) (6) обробляє та виводить графічну інформацію на монітор. Вона
містить свій спеціалізований графічний процесор, який обробляє 2D/3D
графічну інформацію, що дає змогу знизити навантаження на центральний про-
цесор. Модем (7) - пристрій зв'язку для перетворення сигналу за допомогою
процесів модуляції та протилежному йому процесу демодуляції, що дає змогу
комп'ютеру передавати дані по телефонній лінії; він є пристроєм узгодження у
телекомунікаційних системах, системах автоматичного керування тощо. Моде-
Розділ 1. Інформаційні основи комп'ютерної електроніки 41

ми, застосовувані в комп'ютерній техніці, бувають внутрішні (встановлені


всередині системного блока) та зовнішні (встановлені ззовні). Через мережеву
карту (8) комп'ютер під'єднується ло локальної або глобальної мережі (зазвичай
інтегрована на материнській платі).
Серед органів керування, переважно встановлених Ha Tepes панелі, мо-
жуть бути: вимикач електроживлення; кнопка загального скидання RESET;
кнопка "сну", яка дає змогу знизити енергоспоживання, коли комп'ютер не
використовується; індикатори живлення та режимів роботи.
Із тильного боку системного блока розміщені штепсельні роз'ємні з'єднання
порти для під'єднанняння шнурів живлення і кабелів зв'язку із зовнішніми
(встановленими поза системним блоком) пристроями. В середині системного
блока розміщені плати сполучення пристроїв із центральним процесором та
іншими пристроями на материнській платі (адаптери, контролери і плати роз-
ширення).
Усі комплектуючі системного блока комп'ютера умовно поділяють на дві
категорії. Перша з них включає "життєво" необхідні: корпус, жорсткий диск,
процесор,блок живлення, материнську плату, систему охолодження, оперативну
пам'ять. Друга категорія - ті компоненти, без яких ПК може працювати, але йо-
го функціональність буде серйозно зменшена: відеокарта, привід оптичних
дисків (СЮ, РУЮ, ВійВау), ТУ-карта, аудіокарта, супутникова карта.
Найголовнішою частиною системного блока є материнська плата (систем-
на плата), відома також як головна плата (таїпроага) -- плата, яка містить
основні компоненти комп ютера. Основу материнської плати становить бага-
тошарова текстолітова (полімерна) конструкція з провідними металічними
доріжками, як на поверхні, так і між шарами, засобом яких електричний струм
передається між елементами плати. Це т. зв. шиноорієнтована плата. Отже, ма-
теринська плата є не лише несучою для головних компонентів, але Й виконує

комутативну функцію між ними за допомогою провідних шин. Вона реалізує


схему мінімальної конфігурації, решта функцій реалізується за допомогою чи!
ленних додаткових пристроїв. Усі компоненти з'єднуються шиною. У системній
платі немає відеоадаптера, деяких видів пам'яті і засобів зв'язку з додатковими
пристроями. Ці пристрої (плати розширення) додають до системної плати шля-
хом приєднання до шини розширення, яка є частиною системної плати.
Залежно від геометричних розмірів та практичного призначення комп'ютера
системні плати класифікують за форм-фактором. Зокрема, горизонтальні: Desk-
хор (533х419х 152 мм), РооіРгіпі (406х406х152 мм), 5йтеїте (406х406х101 мм),
UltraSlimeLine (381х352х75 мм). Вертикальні: МіпіТомег(152х432х432 мм),
MidiTower(172*432x490 mm), BigTower (190*482*820 mm), SuperFullTower (різні
розміри).
42 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Перша системна плата була розроблена фірмою ІВМ і продемонстрована в


серпні 1981 року (РС-І). У 1983 році з'явився комп'ютер зі зі ільшеною систем-
ною платою (РС-2). Максимум, що могла підтримувати РС-Ї без використання
плат розширення - 64К пам'яті. РС-2 мала вже 256К, але найважливіша
відмінність полягала в програмуванні двох плат, Системна плата РС-Ї не могла
без корегування підтримувати найбільш могутні пристрої розширення, такі, як
твердий диск і покращені відеоадаптери.
Схематична | конструкція | типової
материнської плати зображена на рисун-
ку 1.17. Взаємодія елементів забезпе-
чується чипсетом, який складається, за-
звичай з двох частин - - північного моста
(Northbridge) i південного моста (Зоиїв-
bridge). Північний і південний мости
розміщені на окремих мікросхемах.
Передусім саме північний і півден-
ний мости визначають особливості
системної плати і те, які пристрої мо-
ЕР
жуть під'єднуватися до неї. Іншими
ключовими елементами є роз'єми для
підключення центрального процесора,
графічного адаптера, звукової плати,
жорстких дисків, оперативної пам'яті.
Крім них, на платі містяться резистори,
конденсатори, що підтримують роботу
кожної деталі. Живлення материнської
плати, а отже, й всіх під'єднаних до неї Рис. 1.17. Схематичне розміщення
пристроїв забезпечується блоком жив- чиноані ;
лення, поєднаною з платою кабелями. на зматеринсько платі
Всі основні електронні схеми плати і необхідні додаткові пристрої інтегровані в
системну плату, або вони під'єднані до неї за допомогою слотів розширення.
Загальний вигляд типової материнської плати поданий на рисунку 1.18.
На системній платі розміщені:
« Сокет або гніздо центрального процесора. За допомогою контактних
ніжок чи пружних контактів процесор поєднується з сокстом. Здебільшого
передбачається можливість заміни процесорів, проте зрідка центральний проце-
сор припаюють до плати (ВСА) (1).
« Мікросхема ВІО5 призначена для забезпечення первинної роботи
комп'ютера. Вона містить інформацію про під'єднані пристрої, режими їхньої
роботи, та надає користувачеві через графічний інтерфейс на моніторі змогу
2o3din 1. Інформаційні основи комп'ютерної електроніки 43

омінювати конфігурацію обладнання. Батарея, що міститься поряд, забезпечує


живлення годинника, що служить для синхронізації пристроїв і забезпечує
оручність користування комп'ютером. Наприклад, він визначає системний час і
термін, упродовж якого користувач має змогу увійти в керування ВІО5.
« Слоти модулів оперативної памс'яті. Модулі форм-фактора DIMM tury
SDRAM, taki як ОрВ, ОКО і новіші (слоти різні для кожного типу пам'яті).
частіше їх три-чотири, хоча на компактних платах їх зазвичай є лише один
або два (2).

Рис. 1.18. Загальний вигляд типової материнської плати

» Роз'єм відеокарти. Спеціалізований роз'єм tuny AGP ado PCI-Express


жить передусім для установлення відеокарти. Зазвичай він один, втім,
аннім часом зустрічаються плати з двома, а то і з трьома відеороз'ємами. Та-
кож трапляються і системні плати без відеороз'ємів взагалі - їхні чипсети мають
доване графічне ядро і зовнішня графічна карта для них необов'язкова. В ос-
занньому випадку відеокарта використовує частину оперативної пам'яті, а не
крему відеопам'ять (3).
» Слоти під'єднання додаткових карт розширення стандартів РСЇ або
ФСІ-Ехргевах раніше використовувалися слоти І5Л). Через них під'єднують
50-накопичувачі, контролери 05В, УМіЕі-карти (4).
« Інтерфейси Serial ATA (раніше ПУБ) для під'єднання дискових
«акопичувачів -жорстких дисків і оптичних приводів. Також там може бути
соз'єм для (/Їорру-дисковода 1 (3,5"-дискети). Bei дискові накопичувачі
44 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

під'єднуються до системної плати за допомогою спеціальних кабелів, які в


розмовній мові називають "шлейфами" (5).
« Роз'єми живлення (основні два типи -24-контактний АТХ і 4-контактний
АТХІЗМ для додаткової лінії --12 М) і дво-, три- або чотирифазний модуль
регу-
лювання напруги МКМ (Уоїаре Regulation Module), що складається з силових
транзисторів, дроселів і конденсаторів. Цей модуль перетворює, стабілізує і
фільтрує напругу, що подається від блока живлення (6).
«Задня панель з роз'ємами для під'єднання додаткових зовнішніх
пристроїв- монітора, клавіатури і миші, мережевих. аудіо- і 05В-пристроїв
тощо. Часто комплектована заглушкою, що закриває невикористовуваний
простір між роз'ємами і корпусом.
- Окрім описаних слотів і роз'ємів, на довільній системній платі є
велика
кількість допоміжних джамперів (перемичок) ї роз'ємів! це можуть бути
і кон-
такти для під'єднання системного динаміка, кнопок і індикаторів на передній
панелі корпусу, і роз'єми для під'єднання вентиляторів, і контактні колодки для
і нання додаткових аудіороз'ємів і роз'ємів СВ і FireWire.
Створюючи конструкторські розробки важливо є класифікувати системні
плати за форм-фактором. Формефактор системної плати-стандарт, що
визначає розміри системної плати для персонального комп'ютера, місця
кріплення до корпусу; розміщення на ній інтерфейсів шин, портів введенн
я
/виведення, сокета центрального процесора і слотів для оперативної пам'яті, а
також тип роз'єму для під'єднання блоку живлення. Форм-фактор (які будь-які
інші стандарти) має рекомендаційний характер, проте більшість виробників
намагається їх дотримуватися, оскільки ціною відповідності наявним стандартам
є сумісність системної плати і стандартизованого устаткування (периферії, карт
розширення) інших виробників. Застарілими вважають: Baby-AT; Mini-ATX;
повнорозмірну плату АТ; ІРХ. Сучасними вважають: АТХ; microATX;
Ріех АТХ; МІХ; МУ/ТХ. Упроваджуваними вважаються: Міпі-ІТХ і Nano-I
TX;
Рісо-ІТХ; ВТХ, МістоВТХ і РісоВТХ. Також є системні плати невідповідні жод-
ним з відомих форм-факторів. Зазвичай це зумовлено або тим, що комп'ютер є
вузько спеціалізований, або бажанням виробника системної плати є самостійно
виробляти і периферійні пристрої до неї. Причиною може бути також
неможливістю використання стандартних компонентів ( т.зв. "бренд", наприклад
Apple Computer, Commodore, Silicon Graphics, Hewlett Packard, Сотрад частіше
за інших ігнорували стандарти; крім того, в нинішньому вигляді розподілений
ринок виробництва сформувався тільки до 1987 р., коли багато виробників вже
створили власні платформи).
Функціональні характеристики комп'ютера. Головні функціональні ха-
рактеристи | комп'ютера : 1) продуктивність, швидкодія, тактова частота;
2) розрядність мікропроцесора та кодових шин інтерфейсу; 3) типи системного,
45
Розділ 1. Інформаційні основи комп'ютерної електроніки

локальних і зовнішніх інтерфейсів; 4) тип і ємність оперативної пам'яті;


5) наявність, види і ємність кеш-пам'яті; б) тип і ємність накопичувачів на жор-
дисках; 7) вид і ємність накопичувачів СР їі РУЮ: 8) тип
стких магнітних
ь і тип
відеомонітора та відеоадаптера; 9) наявність і тип притера: 10) наявніст
12) наявне
модему; 11) наявність i види мультимедійних аудіо- та відеозасобів;
на
програмне забезпечення та вид операційної системи; 13) апаратна і програм
з другими типами комп'ютерів; 14) можливість роботи у
сумісність
мережі та в багатозадачному режимі; 15) вартість, габарити і
о вичислювальній
вага.
Конкретизуємо деякі з розглядуваних функціональних характеристик.
х
Продуктивність, швидкодія, тактова частота. Продуктивність сучасни
.
комп'ютерів оцінюють зазвичай кількістю (у мільйонах) операцій за секунду
Per
Головно одиницями вимірювання служать: МІПС(МІРУ - Millions Instruction
Зесопа) - для операцій з числами, представленими у формі з фіксованою комою
(крапкою); Мфлогіс (MFLOPS — Millions of Floating point Operation per Second) —
для операцій з плаваючою комою (крапкою).
Оцінення продуктивності комп'ютера завжди приблизне, оскільки зорієн-
ро-
товане на деякі усереднені або, навпаки, на конкретні види операцій. У 70-ті
жи двадцятого століття були розроблені усереднені набори операцій (суміші
ТГібсона) для різних типів задач: технічних, математичних, економічних та ін. в
и
які різні команди входили у певному відсотковому співвідношенні. За сумішам
Гібсона можна визначати середню швидкодію комп'ютерів для цих типів задач.
ння
Відомі й більш нові тести - тестові набори фірм-виробників для визначе
Per-
швидкодії своїх виробів: показник ЇСОМР- ме! Согрогайує Mucroprocessor
об-
formance (1992 рік) для МП фірми Іпіеї; спеціалізовані тести для конкретних
застей застосування комп'ютер в - М/іпчіопед7-Вивіпев для офісної групи задач.
Для універсальних комп'ютерів, які виконують різноманітні завдання, ці
про-
юнінки будуть досить неточними, тому для характеристики ПК замість
дуктивності зазвичай вказують тактову частоту, яка більш об'єктивно визначає
швидкодію машини, оскільки кожна операція потребує для свого виконання
шєвну кількість тактів. Знаючи тактову частоту, можна достатньо точно визна"
чити час виконання довільної машинної операції.
Наприклад, у разі браку конвеєрного виконання команд та збільшення
внутрішньої частоти в мікропроцесора тактовий генератор з частотою 100 МГЦ.
забезпечує виконання 20 млн коротких машинних операцій за секунду.
Розрядність МП і кодових шин інтерфейсу. Розрядність - це максимальна
жількість розрядів двійкового числа, над яким одночасно може виконуватися
зеннинна операція; чим більша розрядність, тим при інших рівних умовах буде
женна продуктивність ПК. Розрядність МП визначається іноді за розрядністю
вого регістрів і кодової шини даних, а інколи за розрядністю кодових шин адреси.
46 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Типи системного, локальних і зовнішніх інтерфейсів. Різні типи


інтерфейсів забезпечують певні швидкості передавання інформації між
вузлами
машини, дають змогу під'єднати різну кількість зовнішніх пристроїв та
їхні ви-
ди, використовувати безпровідні канали зв'язку.
Тип і ємність ОП. Сучасні прикладні програми можуть працювати лише
при певній ємності ОП. Збільшення ємності основної пам'яті у два рази,
крім
іншого, збільшує ефективну продуктивність комп'юти ера при розв'яз
уванні
складних задач приблизно в 1,41 рази (закон кореня квадратного).
Різні типи ОП
мають різні функціональні можливості.
Наявність, види і ємпість кеш-пам'яті. Кеш-пам'ять - це буферна
недос-
тупна для користувача швидкодіюча пам'ять, що автоматично використовується
комп'ютером для прискорення операцій з інформацією, що зберігається
в більш
повільних запам'ятовуючих пристроях. Наприклад, для прискорення операцій
з
основною пам'яттю зорганізується регістрова кеш-пам'ять всередині МП (кеш-
пам'ять першого рівня), або зовні процесора на материнській платі (кеш-п
ам'ять
гругого рівня). Для прискорення операцій з дисковою пам'яттю організується
кеш-пам'ять на комірках електронної пам'яті.
Наявність кеш-пам'яті збільшує продуктивність ПК приблизно
на 2095,
Апаратна і програмна сумісність з другими типами комп 'ютерів. Така
властивість означає можливість використання програмного забезпечення
|
технічних продуктів не лише на конкретному комп'ютері, але й на
інших типах
машин.
Можливість роботи у вичислювальній мережі та в багатозадачному
режимі, Багатозадачний режим дає змогу виконувати обчислення одноча
сно за
текільКОМа програмами | (багатопрограмний режим) або (для декількох
користувачів (режим багатьох користувачів). Суміщення в ча: роботи декількох
пристроїв машини, що є можливим в такому режимі, дає можливість суттєво
збільшити ефективну швидкодію комп'ютера.

1.6. Подання інформації у комп'ютерних системах

1.6.1. Арифметичні основи


системи числення. Інформацію в комп'ютері прийнято кодувати у двійков
ій
лвІЙКОВО-ДеСяТКОВвій системах числення.
система числення -- спосіб іменування та зображення чисел за допомо
гою
тволів, що мають певні кількісні значення Залежно від способу
зображення
системи числення поділяють на позиційні та непозиційні.
Розділ 1. Інформаційні основи комп'ютерної електроніки 47

У позиційній системі кількісне значення кожної цифри залежить від її місця


(позип у числі. У непозиційній системі цифри не змінюють свого кількісного
значення при зміні їхнього розміщення у числі.
Кількість (Р) різних цифр, що їх використовують для зображення числа у
позиційній системі числення, називають основою системи числення. Значення

цифр лежить у межах від 0 до Р-/. Узагальнено запис довільного змішаного чис-
ла в системі числення з основою Р може бути репрезентований у вигляді
полінома:
Меані Р'""Зацої ка ад Раз Р'чаз
Р? З. ацр. (1.8)
Нижні індекси визначають розміщення цифри у числі (розряд): додатні зна-
чення індексів - для цілої частини числа (т-розрядів); від'ємні значення - для
дробової (5 розрядів).
Максимальне ціле число, яке може бути подане у т розрядах:

М пах" Р'-1. (1.9)


Мінімальне значуще, не рівне 0 число, яке можна записати в 5 розрядах
дробової частини :
N nin =P" (1.10)
Маючи у цілій частині числа т, а у дробовій - 5 розрядів можна записати
всього Р "7 різних чисел.
Двійкова система числення має основу Р-2 та використовує для подання
інформації всього дві цифри - 0 1.
Щоб перевести числа з однієї системи числення в іншу застосовують прави-
ла, основані також і на співвідношенні (1.8). Наприклад, двійкове число
101110,101 рівне десятковому числу 46, 62
101110,1015
= 1- 25+ 0 24+ 1-234 1-274 152! +0294 121 +0: 27+ 1 2? =46,62510
Практично переведення з двійкової системи у десяткову можна легко вико-

нати, надписавши над кожним розрядом відповідну його вагу та склавши потім
добутки значень отриманих цифр на їхні ваги. Двійкове число 010000015» рівне
6510. Вага 128- цифра 0; 64-1; 32.0; 16-0; 8-0; 4-0; 2-0 4 1-1.
Отже, для переведення числа з непозиційної системи числення з ловільною
основою у десяткову систему числення можна використати співвідношення

(1.8). Зворотне переведення з десяткової системи числення у систему з другою


основою безпосередньо за співвідношенням (1.8) достатньо ускладнене. Такий
процес відбувається значно простіше, якщо попередньо перетворити окремо
цілу частину М,і дробову М,» частини виразу (1.8) до вигляду:

Му СО (а Рада) РК аз) РК аг) РУ ад);


Nop =P! (a-a+ P! (a-2t Pl (a-3+...+ P!. (ass1+ P's) ...))).
48 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Алгоритм переведення числа з десяткової системи числення у систему чис-


лення з основою Р, оснований на цих виразах, дає змогу оперувати з числами у
тій системі числення, з якої число переводиться, і може бути сформульований
так: 1) при переведенні змішаного числа необхідно переводити його цілу і дро-
бову частини окремо; 2) для переведення цілої частини числа її, а потім дробові
частини отриманих часток від ділення, потрібно послідовно ділити на основу Р
до тих пір, допоки чергова ціла частина частки не виявиться рівною нулю. За-
лишки від ділення, записані послідовно справа наліво, утворюють цілу частину
числа у системі числення з основою Р; 3) для перевелення дробової частини
числа її, а потім дробові частини отримуваних добутків треба послідовно мно-
жити на основу Р до тих пір, доки чергова дробова частина добутку не виявить-
ся рівною нулю або не буде досягнута потрібна точність дробу. Цілі частини
добутків, записані після коми послідовно зліва направо, утворюють дробову
частину числа в системі числення з основою Р.
Для прикладу розглянемо переведення з десяткової у двійкову систему чис-
лення числа 46,625. Переводимо цілу частину числа: 46 / 2(залишок 0); 23 / 2- 11
(залишок 1); 11 / 2 - 5 (залишок 1); 5 / 2 (залишок 1); 2 / 2 - І (залишок 0);
1/2. - 0 (залишок 1). Записуємо залишки послідовно справа наліво - 101110, тоб-
TO 46,9 =101110,. Переводимо дробову частину числа: 0,125: 2 - 0,250;
0,250 7 2 -0,500; 0,500" 2 - 1,000. Записуємо цілі частини отриманих добутків
після коми послідовно зліва направо - 0,101, тобто 0,625 0,101, Отже,
кінцево: 46,625о7 101110,1015.
Подання чисел з фіксованою та плаваючою комами. У вичислювальних
машинах застосовують дві форми подання двійкових чисел: природна або форма
з фіксованою комою (крапкою); нормальна форма або форма з плаваючою ко-
мою (крапкою).У формі подання з фіксованою комою всі числа зображають
у
вигляді послідовності цифр з постійним для всіх чисел положенням коми, яка
відділяє цілу частину від дробової. Наприклад: у десятковій системі числення
п'ять розрядів у цілій частині числа (до коми) і п'ять розрядів у дробовій частині
числа (після коми); числа, записані в таку розрядну сітку мають вигляд: У 00721,
35500; -00000.000325; - 10301, 20260. Ця форма найбільш природна, проста, але
має невеликий діапазон подання чисел, і тому зазвичай неприйнятна для обчис-
тень. Діапазон значущих чисел М у системі числення з основою Р при наявності
т розрядів у цілій і х розрядів у дробовій частині числа (без урахування знаку
числа)є таким:

P"sNeoP
Наприклад, при Р-2, т =10 i 5-6 числа змінюються у діапазоні
0.015«М «1024.
Розділ 1. Інформаційні основи комп'ютерної електроніки 49

Якщо в результаті операції отримують число, що виходить за допустимі


межі, то переповниться розрядна сітка і дальші обчислення втрачають зміст. У
сучасних комп'ютерах природну форму подання використовують як допоміжну
і лише для цілих чисел.
У формі подання з плаваючою комою кожне число зображають у вигляді
двох груп цифр. Першу групу цифр названо мантисою, а другу порядком,
причому абсолютна величина мантиси має бути менше 1, а порядок - цілим чис-
лом. У загальному вигляді число у формі з плаваючою комою може бути подане
так:

N=+MP",

де М--мантиса числа (М « 1); г - порядок числа (ціле число); Р - основа системи


числення.
Наприклад, розглянуті попередньо числа в нормальній формі можливо запи-
carn Tak: +0,721355:10°; +0,328-10"; -0,103012026:10°.
Нормальна форма подання має величезний діапазон зображення чисел i € oc-
новною в сучасних комп'ютерах. Так, діапазон значуших чисел у системі чис-
лення з основою Р при наявності т розрядів в мантиси і 5 розрядів у порядку
буде:
pp «мо ротугрієо

Приклад. При Р- 2, т 722 із - 10 діапазон чисел простягається приблизно від


102” no 10°.
Зазначимо, що всі числа з плаваючою комою зберігаються в машині у т. зв.
нормалізованому вигляді. Нормалізованим називають таке число, в старшому
розряді мантиси якого стоїть одиниця. У нормалізованих двійкових чисел, отже,
0,54М «1.
Алгебраїчне подання двійкових чисел. Знак числа зазвичай кодують
двійковою цифрою, при чому код 0 означає знак "3, код 1 - знак 7 о 7. Для
алгебраїчного подання чисел у вичислювальних машинах використовують
спеціальні коди: прямий код числа; обернений код числа; додатковий код числа,
а два останні коди дають змогу замінити незручну для комп'ютера операцію
віднімання операцією додавання з від'ємним числом.
Додатковий код забезпечує більш швидке виконання операцій, тому в
комп'ютері використовують саме його.
а,
1. Прямий код числа М - | М|пр. Нехай: N=aj, азаз...
якщо М» 0, то |МІпр 7 0, ау, аз,аз.ду 5
якщо М « 0, то | М|пр 7 1, ау, амаз. ди 5
якщо М - 0, то має місце неоднозначність ОЇ 7 0, 0...
50 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Узагальнюючи результати, отримаємо:

ім, МУ, якщо М 20


М якщо М «0!

У разі складання обидвох доданків, які мають однаковий знак операцію до-
давання виконують звичайним методом. Якщо ж доданки мають різні знаки, то
спочатку необхідно виявити більше за абсолютною величиною число, виконати
з нього віднімання меншого за абсолютною величиною їі різниці присвоїти знак
більшого числа.
Виконання операцій множення і ділення у прямому коді виконують звичай-
ним чином, але знак результату визначають за збігом або незбігом знаків чисел,
які беруть участь в операції.
Операцію віднімання у цьому коді не можна замінити операцією додавання з
від'ємним числом, тому виникають складності, пов'язані з позикою значень зі
старших розрядів зменшуваного числа. У зв'язку з цим прямий код в комп'ютері
майже не використовують.
2. Обернений код числа М - | Мід.
Позначення а означає величину, зворотну а (інверсію а), тобто якщо а- І, то
а - 0, ї навпаки:

якщо М20, то | Мпа Мор 70, ау, аз, аа 5


якщо М 0, то | Мов Мор 71, ау, у у
якщо М-0, то має місце неоднозначність, 0,5 70,00...0 або 1,11...1.
Для того, щоб отримати обернений код від'ємного числа, необхідно всі циф-
ри цього числа інвертувати, тобто у знаковому розряді поставити І, у всіх зна-
чущих розрядах нулі замінити одиницями, а одиниці нулями. Наприклад, число
N=0, L011, [NJos =10 -1:10"" + N, roGr0 [N]os =1.1111
Узагальнюючи результати, отримаємо:

1 М якщо М 20
ІМ), По-1407" юн У, якщо
М «07
3, Додатковий код числа М- | Мол:

якщо У? 0, то | М Іа "ЇМ пр 7 0, аг, а», аз. а 5


якщо М«0, то | 2 дод"ЇМ hp =1, 4, 4, з, Шк 0,000...

Для того, щоб отримати додатковий код від'ємного числа, необхідно всі йо-
го цифри інвертувати (у знаковому розряді поставити одиницю; у всіх значущих
розрядах нулі замінити одиницями, а одиниці - нулями) і потім до молодшого
Розділ 1. Інформаційні основи комп'ютерної електроніки 51

розряду додати одиницю. У випадку потреби в перенесенні першого після коми


розряду у знаковий розряд, до числа необхідно додати одиницю в молодший
розряд.
Узагальнюючи, можна записати:

N _- | Муякщо М 20
з
І Ino: По - Муякщо М «0

Особливості виконання операцій над числами з плаваючою комою (крапкою).


При додаванні (відніманні) чисел з однаковими порядками їх мантиси додають
(віднімають) і результату присвоюють порядок, спільний для вихі них чисел.
Якщо порядки вихідних чисел різні, то спочатку їх вирівнюють (число з меншим
порядком приводиться до числа з більшим порядком), потім виконують
операцію додавання (віднімання) порядків. Якщо при виконанні операції скла-
дання мантис виникає переповнення, то сума мантис зсувається вправо на один

розряд, а порядок суми збільшується на одиницю.


У разі множення чисел з плаваючою комою їхні мантиси перемножують, а
порядки додають.
При діленні чисел з плаваючою комою мантису діленого ділять на мантису
дільника, а для отримання поря, ку частки з порядку діленого віднімають поря-
док дільника, при чому якщо мантиса діленого більша від мантиси дільника, то
мантиса частки виявиться більше одиниці (переповнення) і ї згувають на один
розряд вправо, водночас, збільшивши на одиницю порядок частки.
Виконання арифметичних операцій над числами, поданими у додаткових ко-
дах. При виконанні арифметичних операцій у комп'ютері зазвичай застосовують
не прості, а модифіковані коди. Модифікований код відрізняється від простого
використанням для зображення знака числа двох розрядів. Другий знаковий
розряд служить для автоматичного виявлення ситуації переповнення розрядної
сітки: у разі браку переповнення обидва знакові розряди мають мати однакові
цифри (нулі або одиниці), а при переповненні розрядної сітки цифри в них бу-
дуть різні. При переповненні результат зсувається вправо на один розряд.
Додають за звичайними правилами додавання двійкових чисел: одиницю
перенесення, що виникає зі старшого знакового розряду, просто відкидають.
Приклади додавання (кома умовно відокремлює знаковий розряд від самого
числа): 1) Х - -1101, У - 1001. Результат додавання: 11,0011 +00,1001 =11,1100
(a60 —0100); 2) ¥ =-1101, Y = 1001. Pesyawrar : 00,1101 +00,1001 = 01,0110 (пе-
реповнення, після зсуву вправо отримаємо 01, 10110 або 10110); 3) Х = 1101,
у з -1001. Результат додавання 00,1101+11, 0111 =100,0100 (ado 00,0100);
4) Х - - 1101, У - - 1001. Результат додавання 11.0011--11,0111-10,1010 (пере-
повнення, після зсуву вправо отримаємо 1 1,01010 або - 10110).
нн С и

52 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Множення чисел у додаткових кодах виконують за звичайними правил


ами
множення двійкових чисел. Єдиною особливістю є те,
що якщо множник є
від'ємним (знакові розряди рівні 11), то перед початком
множення необхідно
приписати до нього зліва стільки одиниць, скільки значущих
розрядів є у друго-
го співмножника справа від коми. Результат (добутку) завжди
отримаємо у до-
датковому коді.
Особливості подання інформації в ПК. Числову інформацію
всередині ПК
кодують у двійковій або двійково-десятковій системах числен
ня; при введенні -
виведенні довільної інформації використовують спеціа
льні коди подання
інформації - коди А 8 СТ І. Ці ж коди застосовують для кодува
ння буквенної і
символьної інформацій усередині ПК. Для зручності роботи
введені певні
терміни для позначення сукупності двійкових розрядів
(біт, байт, параграф,
кбайт, мегабайт, гігабайт, терабайт, петабайт). Ці терміни
звичайно використо-
вують як одиниці вимірювання об'ємів інформації, оброб
люваної комп'ютером.
Послідовність декількох бітів або байтів називають полем
даних. Біти в числі (в
слові, в полі) нумерують справа наліво, починаючи з нульов
ого розряду. В ПК
можуть оброблюватися поля постійної і змінної величини. Поля
пості йної дов-
жини: слово - 2 байти; подвійне слово - 4 байти; півслово
(1 ба ; розширене
слово - 8 байтів. Числа з фіксованою комою найчастіше мають
формат слова і
півслова; числа з плаваючою комою - формат подвійного і
розширеного слова.
Поля змінної довжини можуть мати довільний розмір
від 0 до 25 5, але
обов'язково рівний цілому числу байтів.
Двійково-кодовані десяткові числа можуть бути подані в
ПК полями змінної
довжини у т. зв. упакованому (див.грис. 1.19) ї розпакованому
форматах. В упа-
кованому форматі для кожної десяткової цифри відведено
по чотири двійкові
розряди (півбайта), при чому знак числа кодується в
крайньому правому
півбайті числа (1100 - знак "і 1101 знак М),

Ld lo цФ ] З цФ Знак | |
ТБайт) У
Рис. 1.19. Структура поля упакованого формату
(ЦФ - цифра, знак-знак числа)

Упакований формат використовують в ПК звичайно при викона


нні операцій
складання і віднімання двійково-десяткових чисел.
У розпакованому форматі (див.: рис. 1.20) для кожної десятк
ової цифри
виділяють по цілому байту, водночас старші півбайти (зона)
кожного байта
(крім самого молодшого) в ПК заповнюються кодом 0011 (відпо
відно до А5ЗСП
кодом), а в молодших (лівих) півбайтах звичайним чином кодуют
ься десяткові
Розділ 1. Інформаційні основи комп'ютерної електроніки : 53

цифри. Старший півбайт (зона) самого молодшого (правого) байта використо-


вують для кодування знака числа.

Зона Wo Ud т Зона Uo Знак Uo

Рис. 1.20. Структура поля розпакованого формату

Розпакований формат використовують у ПК при введенні-виведенні


інформації, а також при виконанні операцій множення і ділення двійково-
десяткових чисел.
Наприклад число - 19310) 7 -00011001001.2 10) в ПК буде подане:
в упакованому форматі:
0001 І 1001 ool | 1101
у розпакованому форматі:

0011 0001 0011 1001 Ї 1101 | 0011

Код АЗСІ (американський стандартний код для обміну інформацією) має


основний стандарт і його розширення. Основний стандарт для кодування
символів використовує шістнадцятиричні коди 00-7Б, розширення стандарту -
80-FF.
Основний стандарт є міжнародним, його застосовують | для кодування
управляючих символів, цифр, знаків пунктуації, букв латинського алфавіту та
інших символів; у розширенні стандарту кодують символи псевдографіки і бук-
ви національного алфавіту.

1.6.2. Логічні основи комп'ютерної електроніки


Основи алгебри логіки (булевої алгебри). В засадах функціонування
логічних пристроїв та вузлів комп'ютерної електроніки є алгебра логіки -- науки,
що використовує математичні методи для розв'язання логічних задач. Її використання
трунтується на тому, що базові цифрові елементи функціонують у двох стійких ста-
нах і тому можуть бути описані саме такими математичними прийомами. Алгебру
логіки називають булевою алгеброю, або алгеброю висловлень, початок якій покладено
працями англійського математика Дж. Буля. Булевою алгеброю називають множину,
що складається не менше, ніж з двох елементів, на якій визначені три операції - запе-
речення (НЕ), диз'юнкції (АБО), кон'юнкції (Т).
Під висловленням розуміють будь-яке твердження, яке може бути істинним
або хибним. Істинному висловленню приписують 1, хибному - 0. Висловлення
можуть бути простими і складними. Складні висловлення складаються з про-
54 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

стих. Для об'єднання простих висловлень у складні використовують логічні


зв'язки, що пов'язані логічними функціями, аргументами яких є прості вислов-
лення. Для прикладу, прості висловлювання називають аргументами (змінними)
ї позначають літерами ХХ»... Х, У прог амуванні та математиці аргумент або
командно- оброблювальні дані називають операндами. Використовуючи логічні
зв'язки НІ, АБО, І, ЯКЩО та ін. будують складні висловлювання, які отримали
назву булевих (логічних) функцій. Їх позначають лі терами Е, І, К, М, Ртаїн.
Операція - детермінована дія над одним або декількома операндами, яка
генерує новий результат (об'єкт). У булевих операціях операнди і результат на-
бувають значення 71" і 70". Булеву операцію над одним операндом називають
одномісною, над двома - двомісною | т.д.
Загалом булеві функції можуть залежати від однієї, двох та п змінних. Зок-
рема для п змінних таку функцію має такий записують: К(Х,, А» ...Х,).
Областю визначення булевої функції К(ХЛ» ..Х,) є скінчена множина
різних двійкових наборів п, на кожному з яких вказують значення функції нуль
або одиниці. Кількість різноманітних двійкових наборів дорівнює множині и
розрядних чисел /і-2".
Основними булевими операціями є логічне заперечення (операція НЕ,
інверсія), диз'юнкція (АБО, логічне додавання) і кон'юнкція (1, логічне мно-
ження).
Логічний зв'язок "НЕ" (заперечення) означає заперечення висловлення
читається "НЕ 7". Булева функція заперечення - це одномісна булева функція
кеХ (не Х) результатом якої є значення, протилежне значенню операнда.
Диз'юнкцією називають складне висловлення, що містить декілька простих
висловлень і яке с істинним тоді, коли істинним буде хоч одне з простих вислов-
лень, які входять в це складне вислої ння, і хибним, якщо всі прості вислов-
лення хибні. Диз'юнкція становить собою логічний зв'язок “ABO”, ii познача-
ютьс "М". Читається "або". Булева функція диз'юнкція - це булева операція
P= X, М Х, СХ або Х"), результатом якої є значення нуль тоді і тільки тоді,
коли обидва операнди мають значення нуль.

Кон'юнкцією називають складне висловлення, що містить два або більше


простих висловлень і яке є істинним тоді і лише тоді, коли істинними є прості
висловлення, і хибним, якщо хоч одне з простих висловлень хибне. Кон'юнкція
- це логічний зв'язок "1". Читається "ї". Булева функція кои онкція -- це булева
операція Ко ХуД» (СХ) і Хо") результатом якої є значення одиниці тоді і тільки
тоді коли значення кожного операнда дорівнює одиниці. Іноді замість крапки
застосовують знак “A” ado “&”.
Розділ 1. Інформаційні основи комп'ютерної електроніки 55

Описані попередньо операції можна задати за допомогою таблиць


істинності. Таблиця істинності -- це таблиця, що містить всі можливі комбінації
вхідних логічних змінних і відповідні їм значення логічних функцій.
Розглянемо таблиці істинності базових логічних операцій.
Таблиця істинності функції НЕ сама проста і складається усього з двох
рядків, оскільки вона має всього одну змінну, а кількість варіантів для єдиної
булевої змінної рівне 2! - 2 (див.: табл. 1.1).

Таблиця 1.1 Таблиця 1.2 Таблиця 1.3

Х 2 | % [FF
го 0 о ро
х |» |Е| 0 0 1 0
X | FX ого Го 0 І о Го
1 | 0 0 Р 1 1 0 I 1 | 0
0; 1 1 0 [1 1 0 о Го
1 1 ДГ 1 І 0 Лк
І 1 о Го
І 1 i fi

Таблиця істинності функції АБО для двох змінних складається з чотирьох


рядків (див.: табл. 1.2). Кількість поєднань цих змінних рівне 2" 2 4.
Таблиця істинності логічного множення (кон'юнкції) - функції І для трьох
логічних змінних подана в таблиці 1.3. Кожна з цих змінних може бути або у
стані логічного 0 або логічної одиниці І. Отже, кількість поєднань цих змінних
рівна 2-8.
Розглянуті логічні функції можна змоделювати за допомогою простих елек-
тричних схем, що містять ключі (А, В, С) та які можуть перебувати у
розімкнутому (4-0) або замкнутому (А-1) станах. Тоді за логічний 0) на виході
схеми (Р-0) вважають такий її стан, у якому через опір К струм не протікає.
Протікання струму означатиме значення функції І-І.

ї A Го У ря
Р 4
Рис.1.21. Схемне моделювання логічних функцій НЕ (а), АБО (б) та | (в)
56 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

На рисунку 1.21, а зображена схема, що реалізує логічну функцію НЕ. Оче-


видно, що через опір К струм протікає (К- 1), коли А не замкнутий, тобто 4-0.
Логічну функцію АБО реалізує схема, подана на рисунку 1.21.6. Очевидно,
що через опір К струм протікає тоді, коли замкнуті або ключ 4, або В. Звідси і
назва логічного додавання.
У схемі на рисунку 1.21,6, що реалізує логічну функцію Ї (кон'юнкція),
струм через опір А протікає лише тоді, коли замкнуті і А, і В, і С. Тому такий
логічний елемент назвали елементом І.
Отже для отримання в комп'ютерній електроніці логічних 0, 1 необхідно
реалізувати стан певних схем з детермінованими параметрами. Для прикладу, в
сучасній схемотехніці для логічних елементів і схем, виконаних за технологією
транзисторно-транзисторної логіки (ТТЛ-схеми), логічний 0 реалізується напру-
гою в діапазоні 0...-0,4 В, а логічна 1 - у діапазоні 12,4...15 В.
Для основних булевих операцій справджуються такі закони, тотожності,
властивос
1) асоціативність (сполучний закон): АХ М ОХ (АЛЛУ; ХЛ) з (ХОДОЮ;
2) комутативність (переміщувальний закон): ХХ - ХОХу Худо з Хо
3) дистрибутивність (розподільний | закон) | Х(О МА) Ло М Х Ху;
ХУ з (ХМК М З);
4) ідемпотентність (виключення повторення): ДМ ХМ ХМ Х- ХК ХХХ - Х;

5) закон поглинання: ХМ ХУ, Хо - Х хом) ЗХ;


б) закон склеювання: Х ХХХ, о Х, (XV XX VX)=X
7) закон де-Моргана: Ху Ха ХХу ХХ
5) властивості заперечення і констант:

». ¥=x;1=0;0
XV 1=1:¥1 =X: X:0-0;
9) тотожності: Хм ХХ, а Хум Х,; X\(X, v Xj) = XX).
Виконання всіх цих законів, властивостей тотожностей перевіряють
відставляючи в логічний вираз змінні нуля та одиниці.
Запропоновані такі нові булеві функції:
виключення (заборона) - двомісна булева операція, результатом якої є значення
одиниця тоді і тільки тоді, коли значення одного операнда дорівнює одиниці, а
іншого - нулю:

Fy =X, X,, a60 Fs = ХХ.


и 57
Розділ 1. Інформаційні основи комп'ютерної електронік

два (виключальне АБО, заперечення еквівалентності) -


Сума за модулем
ці тоді ітільки тоді,
двомісна булева операція, результатом якої є значення одини
коли операнди мають різні значення. Позначають так:
Xp tye,
Be Apa ХК, ХХ; Ху
(операція НЕ, АБО, стрілка Пірса)- булева
Заперечення диз'юнкції
тільки тоді, коли обидва
операція, результатом якої є значення одиниці тоді і
операнди дорівнюють нулю. Позначають так:
кахухуеХух,.
ьтатом
Еквівалентність (рівнозначність) - двомісна булева операція, резул
ають однакових значень.
якої є одиниця тоді і тільки тоді, коли операнди набув
Позначають у вигляді:
кредо Хм Х, Х,.

і в таблиці 1.4.
Значення булевих функпій двох змінних полан Таблиця 1.4
Булеві функції двох змінних

Позначення et
2 8 || значения булових функції залежно
2s від аргументів Ху Хо функції
3 4
1 2
Х оГо Гі|л
Зі of1fo]i
0 0 0 F,=0 Константа 0
1 F(X, %2) | 0)
F=X,X. Кон'юнкція, логічне множення
2 F(X, Xo) 0 о 0 1

Заборона по Хо, заперечення


з рах. |0| 0 1| 0 імплікаціїга

4 FA%, x) | 0} 0 ft 1 Е-Х, | Повторення Ху; змінна Х,

вхо |ol 1 о | о |кзЯХх, Заборона по Ху, заперечення


3
2 | імплікації

6 F(X.) | O) 1 | 0 fd F,=X, | Повторення Ху, змінна X2

Сума за модулем 2, логічна


7 FAX. X) | 0} 1] 1 | 0 нерівнозначність
58 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Закінчення табл. 1.4

s | Fax fof 4a fa) Диз'юнкція


5 поро ру р Заперечення диз'юнкиії
стрілка Пірса
w | roxy |r fo fof Еквівалентність

по| вихуху|1Р| о | 1 10 Заперечення Ху, інверсія Х;

1
FSX,
=X)
eX | 5 nication - 4 108i
, | вок)|1іЇ|о|1|

з | ву |1 1 | о | 0 Заперечення Х, інверсія Х,

- Ж.
м вух |1 1 о fa | PA уулікація від Хі до Х;

5 ва Ці | 1 | о | вуз хх, | Штрих Шоффера, заперочон-


щ- ня кон'юнкції

б | Ft) frp a toa | Fe=1 | Koneranra |

Імплікація (включення) - двомісна булева операція, результатом якої є зна-


чення нуль тоді і тільки тоді, коли операнди набувають однакових значень. По-
значають так:

Fy =X; —X)=X, X,; ЕреХуз уз Х, ХУ.


Заперечення кон'юнкції (операція НЕ І, штрих Шефера, заперечення пере-
тину) - булева операція, результат якої дорівнює нулю тоді і тільки тоді, коли
обидва операнди дорівнюють одиниці. Позначають у вигляді:
Fy X= Ki Ay

Схемну реалізацію певної логічної операції здійснюють у комп'ютерній


електроніці логічним елементом (вентилем). Набір взаємозалежних логічних
елементів у поєднанні з формальними методам взаємозв'язку називають
логічною схемою.
Назви та вітчизняні і зарубіжні позначення головних логічних елементів, які
застосовують у комп'ютерній електроніці зображені у таблиці 1.5.
Розділ 1. Інформаційні основи комп'ютерної електроніки 59

Задавання логічних функцій. Для опису функцій алгебри логіки можуть бу-
ти використані різні способи. Основними з них є: опис у словесній формі. у
вигляді таблиць істинності, алгебраїчних виразів, послідовності десяткових чи-
ces, часовими діаграмами, геометричними фігурами та графами.
Словесний опис функцій алгебри логіки. Такий спосіб найбільш часто за-
стосовують для первинного, початкового опису поведінки логічного пристрою.
Приклад: логічна функція трьох змінних рівна одиниці, якщо хоча б дві вхідні
змінні рівні одиниці.
Опис функцій алгебри логіки у вигляді таблиць істинності. Таблицю, яка
містить всі можливі комбінації вхідних змінних Х),1, 1-Х, Хо і значення вихідних
змінних Б), називають таблицею істинності або комбінаційною таблицею. Уза-
тальнено таблиця істинності містить 2" рядки.

Таблиця 1.5
Позначення головних логічних елементів
Умовне графічне ANSI
Назва операції Назва елемента позначення

1 2 3 7
1 => —

Заперечення HE x x |>
N

хі ХХ,
Диз'юнкція АБО Х, о
OR

Х fe
XX, "|
Кон'юнкція І х,
AND

Af lave т
елеречення АБО-НЕ ХУ
Ao
1
ee >
диз'юнкції NOR

X Je аз
Заперечення can x |
кон'юнкції та
NAND

A | vox, =
Borerencrt Виключальне АБО x
22. a —
еквівалентності
XOR
60 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Закінчення табл. 1.5


1 2 3 4

Еквівалентність Еквівалентність >) >-


XNOR
м
10 |хух,
імплікація Якщо, ТО х, oe —

xX, 109
Заборона НЕ x. —
І
Опис функцій алгебри логіки у вигляді алгебраїчного виразу. Алгебра
логіки дає змогу створювати складні функції, аргументи яких є функції інших
двійкових аргументів. Операція заміни аргументу однієї функції іншими, більш
простими функціями має назву суперпозиції функції. Багатократне використання
принципу суперпозиції дає можливість отримати функції бажаного числа
аргументів.
Елементарну кон'юнкцію отримують кон'юнкцією скінченої множини
логічних змінних та їхніх інверсій.
Приклад: РОЮз) ХЛ» Ху:
Елементарну диз'юнкцію отримуєть диз'юнкцією скінченої множини
логічних змінних та їхніх інверсій.

Приклад: Р(Х ХоАзує Ху Ху.


Кількість змінних в елементарній кон'юнкції (диз'юнкції) називають її дов-
жиною, що визначає її ранг.

Хо
Приклад: РОЮ Ха) є ХХ xX; +X; € M3" 1OHKUiA YeTBeEpTOrO paHry.

Мінтермом називають функцію, що приймає одиничне значення на одному


зі всіх можливих наборів аргументів. а макстермом - функцію, яка приймає
нульове значення за одного з можливих наборів і одиничне значення за всіх
інших. Мінтерм алгебраїчно становить собою кон'юнкцію аргументів, а мак-
стерм - диз'юнкцію аргументів. Якщо використовують двійкову систему і
кількість наборів аргументів п, то кількість мінтермів або макстермів М-2".
Диз'юнкцію довільного числа з елементарних / кон'юнкцій | називають
диз'юнктивною нормальною формою (ДНФ).
Розділ 1. Інформаційні основи комп'ютерної електроніки 61

Прикладі ХХХ Х, ХАНА Хо Ху.

Кон'юнкцію довільного числа 4 елементарних / диз'юнкцій називають


кон'юктивною нормальною формою (КНФ).

Приклад: Хі (ХНА) (Хо КО) СХ +4+_X,).


Нормальні форми логічних функцій прийнято називати канонічними.
Логічну функцію, задану довільним аналітичним виразом, можна безпосередньо
перетворити у нормальну диз'юнктивну (або кон'юктивну) форми. Для цього
необхідно: виразити всі операції через операції кон'юнкції, диз'юнкції та
інверсій; позбавитися від інверсії над цілими виразами, перейшовши до форми, в
якій є інверсія лише окремих змінних; розкрити дужки,застосовуючи закон
дистрибутивності; привести кон'юнкції (диз'юнкції) до елементарних.
Якщо до складу логічної формули входять набори елементарних кон'юнкцій
однакового рангу, пов'язані з диз'юнкцією, то така форма подання логічної
функції має назву досконалої диз юнктивної нормальної форми (ДДН Ф). Пра-
вило утворення ДДНФ функції п аргументів полягає в такому: 1) за кожним на-
бором двійкових змінних, за якого функція набуває значення одиниці, скласти
елементарні кон'юнкції (мінтерми);2) в елементарну кон'юнкцію записати не
інвертованими змінні, задані одиницею в таблиці істинності, а інвертованими ті
змінні, які в таблиці істинності задані нулем. Отримані з'єднання називають кон-
ституєнтами одиниці; 3) елементарні кон'юнкції з'єднати знаком диз'юнкції.
Досконалою нормальною кон'юктивною формою (ДНКОФ) логічної функції
прийнято називати такий її вираз, який містить елементарні диз'юнкції одного
рангу, пов'язані кон'юнкцією. Правило утворення ДНКФ и аргументів полягає у
такому: 1) з кожного набору двійкових змінних, за якого функція має значення
нуля, скласти елементарні диз'юнкції (макстерми); 2) в елементарні диз'юнкції
записати не інвертованими змінні, задані нулем в таблиці істинності, а
інвертованими ті змінні, які - одиницею. Отримані суми називають
конституєнтами нуля; 3) елементарні диз'юнкції з'єднати знаком кон'юнкції.
Приклад: нехай таблицею істинності задана функція КОХ», Хі, Хо) (див.
табл. 1.6). Потрібно перетворити її в ДДНФ і ДКНФ.
За таблицею знаходимо, що функція 7 набуває значення одиниці за чотирьох
наборів аргументів, тому функція ДДНФ буде складатися з з логічної суми чо-
тирьох а інтермів:
ЕС, Х, Хо) з Х, Х, Хо Х, Хі Хо Хо X, Ky ХХ Хо.
Функція КОХ, Хі, Хо) в ДКНФ набуває значення нуля за чотирьох наборів
аргументів, вона буде складатися з логічного поєднання чотирьох макстермів:
+ Хо) б
Xi, Xo) = (Xt Xi
F(X, Ху Xo) СХ ХХ СХ, о Х, Но).
62 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Таблиця 1.6
Таблиця істинності функції Р(Х», Хі, Хо)

Значення аргумента Значення JUIH® JIKH®


кції К
% X Xo функці зни макстерм
0 0 0 0 = ХНИ Xo
0 0 1 1 =
о 1 0 | -

0 9 ху,
1 0 о 1

1 0 1 0 = хх
1 1 0 0 ¥,+¥,4X,
1 1 1 1 ХХ Хо

ДКНФ використовують рідше, ніж ДДНФ у процесі перетворення логічних


виразів.Отримані формули функції, якщо не застосовувати перетворень, можна
використати для синтезу функціональних схем логічних пристроїв.
Від довільної ДНФ можна перейти до ДДНФ" функції за допомогою
рівносильних перетворень. Такий перехід називають розгортанням. Для цього
необхідно: 1) ввести змінні у кожну кон'юнкцію множенням її на рівнозначність
виду ХХ, - 1, де Х; - відсутня змінна; 2) розкрити дужки, застосовуючи кому-
тативний закон; 3)позбавитися від кон'юнкцій, які повторюються на основі за-
кону ідемпотентності.
Опис функцій алгебри логіки у вигляді послідовності десяткових чисел. З ме-
тою скорочення запису функцію алгебри логіки іноді подають у вигляді
послідовності десяткових чисел. Водночас послідовно записують десяткові
oll HI еквіваленти двійкових кодів конституєнт
одиниці або нуля.

3 і комплекси. Основою кубічної


001 форми є подання кожного набору вхідних
1
1 змінних у вигляді п-мірного вектора. Верши-
‘ ни цих векторів геометрично можуть бути
Ol 110 подані як вершини п-мірного куба. Від-
/ 7 значаючи точками вершини векторів, для

000 100 яких функція рівна одиниці, отримаємо гео-


Рис. 1.22. Геометричне подання метричне подання функції у вигляді куба.
функції Р(Х», Х», Хо) є У (3, 4, 5, 6, 7) Приклад: задана функція КЛ», X), Xo) = =
Х 0, 4. 5, 6, 7). Геометрично подати у
вигляді куба. Графічний розв'язок задачі проілюстровано на рисунку 1.22.
Розділ 1. Інформаційні основи комп'ютерної електроніки 63

Набори змінних розміщені на кінцях ребер куба, відрізняються лише однією


змінною. Ї. х прийнято називати сусідніми. Кожну вершину, в якій функція
набуває одиничне значення, називають ульовим кубом (0-кубом). Записують
0-куб послідовністю вхідних змінних, які утворили його, тобто кодом, що
відповідає конституанті одиниці. Множина нульових кубів утворює нульовий
кубічний комплекс Ко.
Якщо два нульові куби комплексу Ко відрізняються лише за однією коорди-
натою (змінною), тобто два набори змінних, для яких функція рівна одиниці, є
сусідніми, то вони утворюють одиничний куб (1-куб). Геометрично це від-
повідає ребру початкового лп-мірного кубаЗаписують І-куб послідовністю
спільних елементів його 0-кубів з прочерком елементів, що не збігаються. Мно-
жина одиничних кубів утворює одиничний кубічний комплекс Кі.
Аналогічно, якщо два одиничні куби комплексу К) відрізняються лише за
однією координатою (змінною), то вони утворюють двійковий куб (2-куб). Гео-
метрично це відповідає грані початкового п-мірного куба.
Розмірність куба (його ранг) визначається кількістю координат, що не
співпадають. Об'єднання кубічних комплексів Ко, К),...К, для функції логічної
алгебри п-змінних утворює її кубічний комплекс К(2) - (Ко, Ку, Ки)... -
Часова діаграма. Одним з популярних способів задавання булевих функцій є
використання часових діаграм. Значення змінних кодують за наявністю або бра-
ку імпульсів (Xj, X>) у певному часовому інтервалі. Значення булевої функції
відображається графічно згідно з законами булевої алгебри (див.: рис. 1.23).

ха
о Гл o fi
t
0 0
F t

0 0 0 1
t
Рис. 1.23. Приклад задавання булевої Рис. 1.24. Приклад задавання логічної
функції за допомогою часової діаграми функції за допомогою графа

Задавання логічних функцій графами. На рисунку 1.24 є приклад опису


булевої функції графом (див.: рис. 1.24).
Тут вершини відображають значення нуля і одиниці, а на орієнтованих дугах
змінні вказують умови переходів.
64 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Контрольні запитання до розділу 1

1. Дайте означення науки "комп'ютерна електроніка" та сформулюйте її основні


завдання.
2. Охарактеризуйте основні етапи розвитку засобів інформаційного спілкування,
відомі сьогодні людству.
3. Які основні результати | "нульового" покоління розвитку комп' ютерної
електроніки ?
4. Охарактеризуйте перше покоління розвитку комп'ютерної електроніки.
5. Що є характерним для другого покоління розвитку комп'ютерної електроніки ?
6. Хто і коли створив перший мікропроцесор?
7. Порівняйте четверте і п'яте покоління розвитку комп'ютерної електроніки.
8. Охарактеризуйте вплив розвитку мікроелектроніки на продуктивність комп'ю-
терних систем.
9. Як впливає геометричний скейлінг у мікроелектроніці на основні параметри
елементної бази комп' ютерної електроніки?
10. Дайте визначення поняття " інформація".
11. Опишіть узагальнену схему процесу передавання інформації.
12. Сформулюйте властивості інформації.
13. Які є методи оцінення кількості інформації ?
14. Що таке ентропія повідомлень та які вона має властивості?
15. Сутність методу оцінення кількості інформації за Шенноном.
16. Дайте означення поняттю "сигнал".
17. Яка класифікація сигналів є загальноприйнята ?
18. Які способи представлення сигналів Вам відомі?
19. Різновиди сигналів, які описують часовою функцією.
20. Які інформаційні параметри має часо-імпульсне з подання прямокутних
імпульсів?
21. Розкрийте сутність аналогового та цифрового подання інформації.
22. Які євиди модуляції аналогових сигналів?
23. Чому завадостійкість цифрових сигналів вища, ніж аналогових?
24. Нарисуйте блок-схему ПК.
25. Дайте характеристику основних блоків комп'ютера.
26. Коротко охарактеризуйте пристрої, що входять до складу mn.
27. Що таке системна шина?
28. Яка основна характеристика системної шини ?
29. Наведіть ієрархію ЗП ПК.
30. Поясніть призначення ЗП ПК.
31. Класифікуйте зовнішні пристрої ПК.
32. Назвіть склад пристроїв кожної групи зовнішніх пристроїв ПК.
33. Що таке математичний співпроцесор, яке його призначення?
34. Що таке контролер прямого доступу до пам'яті (ОМА), яке його призна-
чення?
35. Що таке контролер переривань і яке його призначення?
Розділ 1. Інформаційні основи комп'ютерної електроніки - 65

36. Назвіть основні конструктивні компоненти ПК і дайте їм коротку характери-


стику.
37. Назвіть і поясніть основні функціональні характеристики ПК.
38. Чим визначається продуктивність комп'ютера?
39. Що таке системи числення та які їхні різновиди?
40 Запишіть довільне змішане число у системі числення з основою Р у вигляді
полінома.
41. Сформулюйте алгоритм перетворення чисел з десяткової системи у систе-
му з основою Р.
42. Сутність подання чисел з фіксованою та та плаваючою комами.
43. Запишіть умови формування прямого та оберненого кодів числа.
44. Яка структура поля упакованого формату?
45. Сформулюйте означення булевої алгебри.
46. Типи висловлювань. Операнди.
47. Базові логічні функції.
48. Закони властивості та тотожності, що справджуються для булевих операцій.
49. Нові булеві функції.
50. Способи задавання логічних функцій.
ОСНОВИ ЕЛЕКТРОНІКИ.
Розділ 2.
ГОЛОВНІ ФУНКЦІОНАЛЬНІ ЕЛЕМЕНТИ:
ПРИНЦИПИ РОБОТИ ТА СХЕМНЕ ВИКОРИСТАННЯ

Оброблення інформації в сучасних пристроях комп'ютерної електроніки


пов'язане зазвич: із занесенням, збереженням та зчитуванням зарядових
пакетів у певній просторовій області напівпровідникових бар'єрних структур.
Використовуючи їх для побудови активних приладів, створюють аналогові та
цифрові пристрої оброблення інформації та її передавання. Незважаючи на
великі успіхи у створенні мікро- та наноструктур нового типу, класичні структу-
ри та прилади, виготовлені на їхній основі, мають сьогодні домінуюче станови-
ще в елементній базі сучасної комп'ютерної електроніки.
У зв'язку з цим розглянемо структуру, властивості та приклади схемного ви-
користання головних функціональних елементів електроніки.

2.1. Діоди на основі напівпровідникових бар'єрних структур

2.1.1. Випрямляючі діоди


Діоди цього типу призначені для випрямлення змінного струму. Для них
характерний невеликий опір у відкритому стані, що дає змогу пропускати
значні струми.Частотний діапазон таких діодів лежить в інтервалі 50-20 000
Гц. Крім стандартного випрямлення, такі діоди застосовують у колах
управління і комунікації, для розв'язок в електричних колах, обмеження
викидів напруг з індуктивними елементами та інших колах, де необхідні
елементи з вентильними властивостями. Найбільш застосовувані кремнієві

діоди у дискретному і в інтегральному виконаннях.У кремнієвих випрям-


ляючих діодах зворотні струми на декілька порядків менші, а допустимі
зворотні напруги (/, суттєво більші, нія у германієвих (у кремнієвих діодах
- до 2 000 В, у германієвих - до 400 В).
Робочі діапазони температур кремнієвих діодів мають межі: -60 + +125°C,
германієвих: -60 7 -85"С. Невисока верхня межа робочої температури германію
зумовлена тим, що при Т285"С теплове генерування носіїв заряду стає настільки
великим, що різко зростає зворотний струм і ефект випрямлення практично
зникає.Однак у потужних низьковольтних випростувачах використовуються

германієві діоди, оскільки вони володіють меншою прямою напругою, ніж


кремнієві, тобто за однакового струму навантаження значення опору герма-
нієвих діодів у прямому напрямку буде в 1,5-2 рази меншим, ніж у кремнієвих.
Отже, і втрати потужності, що розсіюється в германісвому діоді, будуть в 1,5-
Розділ 2. Основи електроніки. Головні функціональні елементи... 67

2 рази меншими, ніж у кремнієвому. Головними параметрами, що характеризу-


ють властивості випрямляючих діодів, є: 1) середній випрямлений струм Іпрер -
середнє за період значення прямого струму; 2) середня пряма напруга (/аргр ПИ
заданому значенні середнього прямого струму; 3) максимально допустима зво-
ротна напруга (злах - Значення зворотної напруги, яке діод здатний витримати
протягом тривалого часу; 4) середній зворотний струм діода Ідср - Середнє за
період значення зворотного струму.
Принцип роботи випрямляючих діодів грунтується на здатності добре про-
пускати струм у прямому напрямку і практично не пропускати його у зворотно-
му. Схему простого однопівперіодного випростувача подано на рис. 2.1, а.
Вона містить генератор змінної напруги (/, навантажений на послідовно
під'єднані резистор і діод. Тому напруга джерела перерозподіляється між діодом
СО) і резистором (Us, ї Для визначення значення струму і напруг (і (ом)

необхідно описати ВАХ діода і резистора в одних координатах як графічні


залежності та відшукати їхню точку перетину (див. рис. 2.1, б).
ВАХ резистора описують законом Ома:
й,
R

Up alec

Or

a 6
Рис. 2.1. Схема простого однопівперіодного випростувача (а);
ВАХ діода і резистора навантаження (б)

Графік цієї залежності - це пряма лінія, названа лінією навантаження. Вона


відсікає на осі абсцис відрізок, рівний (/, а на осі ординат - (//Ки.
Зі зміною миттєвих значень напруги генератора лінія навантаження, не
змінюючи свого нахилу, перемішується вліво або вправо. Якщо ж змінити опір
резистора, то зміниться нахил лінії навантаження.
68 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Діаграму роботи діода в режимі випрямлення показано на рисунку 2.2.


І
ба
R

Рис. 2.2. Діаграма роботи діода в режимі випрямлення

Струм у колі наявний лише в додатні півперіоди змінної напруги, при чому
до діода прикладена незначна за величиною пряма напруга (/.. У від'ємні
півперіоди струму у колі практично немає і вся напруга генератора підводиться
до діода. Отримана напруга на опорі навантаження пульсуюча. Для усунення цих
пульсацій зазвичай, паралельно до опору навантаження, під'єднують конденса-
тор великої ємності.
У діодах, які працюють на високій частоті, зі зміною полярності напруги ви-
никають імпульси зворотного струму (див.: рис. 2.3, а). Причиною цього явища
є процес розсмоктування накопиченого в базі заряду.

РО) P(x)

ty в
в %
bh tro

: IS
Sa LN
tal =
fo by by ty ts be by ty by booty sha їха з ча б
Є
а 6 в
Рис. 2.3. Процеси в діодах в області високих частот
Розділ 2. Основи електроніки. Головні функціональні елементи... 69

Коли діод працює на низькій частоті, інерційність процесів накопичення та


розсмоктування заряду не проявляється, оскільки час пролітання носіїв заряду
через базу суттєво менший від періоду зміни випрямляючої напруги. Отож, у
діодах з вузькою базою графіки розподілення концентрації дірок у довільний
момент часу практично лінійні (див:.рис. 2.3, б, в - пунктиром), а імпульс стру-
му становить собою додатну півхвилю синусоїди (див.: ри 3, а). На високі!
частоті концентрація дірок зберігається такою ж, як і на низькій частоті,
оскільки часом переміщення дірок через р-п-перехід можна знехтувати.
Швидкісні властивості діодів визначають за динамічними параметрами, до
яких відносять його часові або частотні характеристики: час відновлення /рд
зворотної напруги; час наростання прямого струму yap; граничну частоту без
зниження режимів діода /пах-
Час відновлення зворотної напруги їцід Є ОСНОВНИМ параметром випрямляю-
чих діодів, що характеризує їхні інерційні властивості. Його визначають при
перемиканні діода з заданого прямого струму /лр на задану зворотну напругу
(див.: рис. 2.4, а).

Un
a -—
0 = a t
-Un
і
ге Іроз '
‘Ty ope ; |
о Ра 7
ре inf yi} 1
fsa іі
atі со
і1
1 bein і
tah | i
1 й

Рис. 2.4. Графічні залежності,


що репрезентують процеси відкривання та закривання діода
70 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Напруга на вході схеми у момент часу 7-0 стрибком набуває додатного зна-
чення (,, Через інерційність дифузійного процесу струм у діоді проявляється не
миттєво, а наростає протягом часу па Водночас з наростанням струму в діоді
знижується напруга на діоді, яка після Ішр СТАЄ рівною (р. У момент часу п У
колі встановлюється стаціонарний режим за якого струм діода 1, я. (/,,/ Ку-
Така ситуація зберігається аж до часу 5», коли полярність напруги живлення
змінюється на протилежну. Заряди, накопичені на межі р-п-переходу, деякий час
підтримують діод у відкритому стані, але напрямок струму змінюється на про-
тилежний. По суті, відбувається розсмоктування зарядів на межі переходу. Після
завершення с» розпочинається процес вимкнення діода, тобто процес
відновлення його запірних властивостей.
Процес відновлення опірних властивостей діода продовжується до часу ty,
після чого діод виявляється закритим. До цього часу струм у діоді стає рівним
нулю, а напруга досягає значення - U,,.
Детальний розгляд процесів увімкнення і вимкнення випрямляючого діода
засвідчує, що він не є ідеальним вентилем і в певних умовах володіє про-
відністю у зворотному напрямку.
Аналізуючи графіки, бачимо, що потужність втрат у діоді різко зростає при
його ввімкненні і передусім при вимкненні. От втрати в діоді зростають з
підвищенням частоти випрямленої напруги. При роботі діода на низькій частоті
і гармонічній формі напруги живлення імпульсу струму великої амплітуди немає
і втрати в діоді різко знижуються.

2.1.2. Стабілітрони
Стабілітрони - це напівпровідникові діоди, зворотна гілка яких володіє
ділянкою зі слабкою залежністю напруги від струму, призначені вони для
стабілізації напруги. Таку особливість забезпечують механізми лавинного або
тунельного пробою діодів. Для виготовлення стабілітронів використовують
кремній, оскільки зворотний струм кремнієвих діодів, порівняно з германієвими,
менше залежить від температури. Ймовірність теплового пробою в таких прила-
дах менша і напруга на ділянці пробою майже не змінюється за доволі великої
зміни струму. До початку пробою стабілітрони мають дуже великий статичний
опір (-1 МОм), після пробою - дуже малий диференціальний опір (К,--1--50 Ом).
Головними параметрами стабілітрона є: 1) напруга стабілізації М, - значен-
ня напруги на стабілітроні при заданому струмі стабілізації; вважають з достат-
ньою точністю, що Шо Проб (в реальних стабілітронах (/.-3-200 В); 2) міні-
мальний струм стабілізації Го пів - ВИЗНачає мінімальний стан пробою; 3) макси-
мальний струм стабілізаці Тл'ах - Обмежує максимально допустиму потужність
Та тах Ришх/ По) 4) диференціальний опір (у робочій точці): Кс aus, ; 5) статич-
Розділ 2. Основи електроніки. Головні функціональні елементи... 71

ний опір у робочій точці R= UT; 6) Koedinienr aKocTi O=R,/Rerxs 7) TeMmepatyp-


ou,
ний коефіцієнт Hanpyru craGinisauii TRHC=(1/Uex) Б as i
or
Значення напруги пробою задають концентрацією основних нос , отож,
необхідна величина (/, можлива, якщо обрати певний рівень легування бази
діода. В діодах з (2 7 В ширина р-п-переходу доволі велика і механізм пробою
лавинний. Зі зростанням температури в таких стабілітронах (., збільшується,
оскільки зі збільшенням теплового розсіювання довжина вільного пробігу носіїв
зменшується.
Y cra6initponax 3 U..<7B Usp0p Us 0
ширина переходу мала і голов-
ним механізмом пробою є ту-
нельний. Напруга пробою зі
збільшенням температури в
цьому випадку зменшується
внаслідок того, що зменшує-

ться ширина забороненої зони,


що спричиняє зростання ймові- Reg Тор
рності тунелювання. Cxema-
тично 3cyB зворотної гілки Рис. 2.5. Зворотна гілка ВАХ стабілітрона
з лавинним пробоєм при різних
ВАХ стабілітрона з температу- температурах (Ть«Ти)
рою зображений на рисун-
ку 2.5.
Параметром, який засвідчує
температурну поведінку стабі-
літрона, | Є температурний
коефіцієнт пруги стабілі-
зації (ТКНС). Графік залеж-
ності ТКНС типових проми-
слових стабілітронів від напру-
ги стабілізації зображений на
Рис. 2.6. Залежність ТКНС від напруги
рисунку 2.6. стабілізації
Очевидно, при напругах
стабілізації 6-7 В ТКНС близький до нуля, тобто стабілітрони виявляють прак-
тичну незалежність напруги стабілізації від температури. Стабілітрони з
ТКНС » 0 характеризуються зростанням (/г З підвищенням температури, а діоди
3 TKHC < 0 - протилежним ефектом.
На рисунку 2.7 зображена схема під'єднання стабілітрона для використання
в режимі стабілізації напруги. Така схема містить (баластний) обмежуючий опір
72 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

В у нерозгалуженому колі та стабілітрон, під'єднаний паралельно до резистора


навантаження R,,.

Tice —~
+o—_{__}——

Room | er рн
Єдж Ж Ru
Gee

Рис. 2.7. Схема стабілізації напруги на основі стабілітрона

Струм стабілізації визначають за рівнянням:

Та вах Мін)2
Згідно зі схемою, напругу джерела (/,х визначають як суму спадів напруг на
стабілітроні і баластному резисторі Ко:

Ux = Ucr + Ro(hitler)-
Нестабільність вихідної напруги зумовлена двома головними причинами:
нестабільністю вхідної напруги Ux. i нестабільністю вхідного струму
(нестабільністю опору навантаження)Зі зміною вхідної напруги в межах ДЦ,
напруга на стабілітроні і навантаженні В, змінюється на величину АП...
AU px = AUex + Ro(Aly+ Alex).
Або, конкретизуючи:

Звідки:

AU, = AUug [(1+ Ry / Rog + Ro/R,)-

Отже, бачимо, mo R/R,>> 1, AU << AU xx, TOOTO зміна напруги на


стабілітроні (на виході) значно менша, ніж зміна напруги на вході.
Зі зміною струму навантаження /,, що рівносильне зміні опору Ву, струм че-
рез стабілітрон встановлюється таким, що повний струм /о і напруга на стабі-
літроні Ug), залишаються практично постійними.
Для розрахунку струмів і напруг конкретного кола стабілізації необхідно по-
будувати ВАХ стабілітрона (пряма /), ВАХ опору навантаження (пряма 2) і
ВАХ обмежувального (баластного) резистора (пряма 3), що видно з рисунка 28.
електроніки. Головні функціональні елементи... 73
Розділ 2. Основи

Рис. 2.8. До розрахунку струмів і напруг кола стабілізації

Перетин прямих / і З визначає значення струму Іж» споЖИТОГО від джерела


живлення (точка 4). Перетин графіків / і 2 визначає значення струму наванта-
ження І, (точка В). Різниця струмів І ж та /, рівна струму стабілітрона Tee
Якщо опір навантаження змінюється, то змінюється струм ],. При зменшенні
В, струм /, зростає (точка В опускається вниз), а струм Їх зменшується. Водно-
час положення точки А зберігається незмінним, тобто збільшення струму наван-
таження супроводжується зменшенням струму стабілітрона, а споживання стру-
му від джерела живлення не залежить від навантаження.
Якщо змінити напругу джерела живлення Єхж, ТО ТОЧКа А змінює своє поло-
ження, При зменшенні вх (пряма 4) точка А піднімається вгору (точка А), тобто
зменшується споживання струму /лж. Унас: тідок цього зменшується струм Ільa
зі
струм /, залишається постійним. Параметри схеми обирають такими, щоб
навантаження і напруги джерела живлення виконувалися нерівності:
зміною

Та тіп 7 R, ї, min

"
‘cr.max el
R, : ox, min

Тут Єлжлів І Єхжлих - Мінімальна і максимальна напруги джерела живлення, Ty

mine Ту пах - Мінімальний і максимальний струми навантаження.

2.1.3. Діоди Шоткі


Діод Шоткі (ДШУ - це напівпровідниковий діод, виконаний на бар'єрній
структурі "метал-напівпровідник" (структурі Шоткі). Теорія таких контактів
була розроблена німецьким вченим Шоткі, внаслідок чого вони й отримали таку
назву. Діоди Шоткі ві, різняються тим, що їхня робота основана на перенесенні
основних носіїв. При прямому зміщенні електрони з напівпровідника переходять
74 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

у метал. Їхня енергія на ефа більша, ніж енергія електронів у металі. Електрони з
напівпровідника швидко (-- 10"? с) втрачають на співударах свою надлишкову
енергію і не можуть повернутися в напівпровідник. У ДШ не нагромаджується
заряд неосновних носіїв (що головно й знижує швидкодію), тому їх застосову-
ють у швидкодіючих імпульсних та високочастотних діодах. Типовий час
відновлення зворотної напруги опору ДІ на основі Ам - 5і - 10 пе та менше.
Зворотні струми ДШ на три-чотири порядки більші від аналогічних струмів
у діодах з р-п переходом, а прямі напруги для ДШ значно нижчі
(див.грис. 2.9).Тому використання | ДШ супроводжується невеликим
тепловиділенням, а, отже, малим енергоспоживанням.
LA
LA
100 0,5

1 2

a Ре

1 U.B 0 O01 02 03 04 05 Up,B


о
a 6
Рис. 2.9. Прямі ВАХ напівпровідникових діодів в області великих (a) ї малих (б) струмів:
1 - діод Шоткі; 2 - діод на основі р - п переходу

Сьогодні силові ДШ найбільш ефективні як низьковольтні швидкодіючі


діоди на великі струми.
Ще однією з особливостей ДШ є ідеальність прямої вітки ВАХ - вона
повністю відповідає розрахунковій, причому зі зміною прямого струму в межах
декількох порядків залежність 12//,,7. / (1,) близька до лінійної. Тому ДШ
можна використовувати як швидкодіючі логарифмічні глементи.

2.1.4. Фотодіоди
Фізичні процеси при освітленні р-п переходу є основою для реалізації двох
режимів роботи (за двома схемами увімкнення) ФД: вентильного (режим гене-
рування фото-е.р.с.) та фотодіодного (режим фотоструму). На рисунку 2.10
подані схеми таких увімкнень.
Розділ 2. Основи електроніки. Головні функціональні елементи... 75

а б

Рис. 2.10. Фотодіод у фотовентильному (а) та фотодіодному (б) режимах

У першому випадку під дією світлового випромінювання генерується фото-


е.р.с. У режимі 7" короткого замикання" у зовнішньому колі між областями пір
ФД проходить максимальний зворотний струм /,, якщо навантаження К) - 0.
При ввімкнутому навантаженні фотострум зменшується. В режимі холостого
ходу (Ку - ©) фото- е.р.с. Ех =Us, оскільки фотострум дорівнюватиме 0. Режим
роботи ФД (20 називають генераторним.
Якщо подати на діод зворотнє зміщення (див.:рис. 2.10, б), він функціо-
нуватиме в ролі фотоприймача, струм якого залежить від освітлення. При дос-
татньо великих зворотних зміщеннях струм не залежить від напруги, його ви-
значають лише за інтенсивністю світла.
Для підвищення ефективності сьогодні запропоновані різновиди фотодіодів:
р-і-п фотодіоди, Шоткі-фотодіоди (підвищені частотні властивості) та ФД на
базі напівпровідникових гетероструктур (високий к.к.д.). Вибираючи пари
напівпровідників для гетероструктури, можна побудувати ФД, чутливі практич-
но у довільній частині оптичного діапазону довжин хвиль.

2.1.5. Активні координатно-чутливі фотодіоди


Приладами такого типу називають фотодіоди, в яких використовується
поздовжній фотоефект, а фото-е.р.с. у них залежить не лише від
інтенсивності та частоти падаючого випромінювання, але й координат
світлової плями. Такі ФД є найбільш перспективними і важливими в практич-
ному аспекті з-поміж відомих аналогових координатно-чутливих фото-
приймачів.
Координатно-чутливий фотодіод становить собою плоский протяжний р-п-
перехід, утворений двома областями з різними типами провідності (див.
рис. 2.11).
76 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Рис. 2.11. Конструкція координатно-чутливого фотодіода (а)


та його еквівалентна схема (б)

Діод такого типу складається з нерозділених контактів до р- і п -областей, а з


двох контактів (1 і 2) до бази п-типу. Умовою реєстрування поздовжньої фото-
е.р.с., що виникає між ділянками області одного типу провідності в напрямку,
паралельному площині р-п переходу, є нерівномірне освітлення поверхні фото-
приймача. Ці прилади відрізняються простотою виготовлення, високою
роздільною здатністю, а головне -- для свого функціонування не потребують до-
даткових джерел живлення, оскільки вихідним сигналом таких фотоприймачів є
(poro-e.p. залежна від координати світлового зонда на поверхні фотоприймача.
Зазвичай ця залежність лінійна, а значення фото-е.р.с. досягають одиниць мВ.
Механізм появи поздовжньої фото-е.р.с. зумовлений явищами реінжекці
Принцип дії описаного фотодіода можна пояснити на прикладі його
еквівалентної схеми (див.грис.2.11,б). Оскільки p, > р,, а товщини р- і -п об-
ластей W,<W,, то р-область можна вважати еквіпотенціальною поверхнею.
Область р-п переходу і бази можна розглядати як розподілені по довжині діоди
та резистори.
При освітленні ділянки ФД в ньому виникає фото-е.р.с. під дією якої в кож-
ному елементарному контурі з діода і резистора течуть струми, які створюють
спад напруги на кожному резисторі. Напруга на контактах 1 і 2 - (/» є сумою
напруг на всіх резисторах. Зокрема:

прав В, ву),
(Ву В, )-LR.
Розділ 2. Основи електроніки. Головні функціональні елементи... 77

При заданому положенні (x< 4) променя світла на контакті І встановить-


ся 7- (77, а на контакті 2 717, За переміщення променя в точку між резистора-
ми В) - Ка складове БК, набуває від'ємного значення і напруга (/» зменшиться.
У випадку переміщення променя в центр пластини кількість від'ємних і додат-
них членів у цьому виразі стає однаковою і в результаті - 1. - 0. При подаль-
шому зміщенні променя в бік контакту 2 знак напруги (/» змінюється на проти-
лежний.
Напруга ПЛ» завжди менша фото-е.р. діода за рахунок рекомбінаційних та
інших втрат.
Основними проблемами при розробленні координатно-чутливих фотодіодів
є підвищення величини фото-е.р.с. та отримання структур з лінійними характе-
ристиками | "напруга -координати".Конструктивно-технологічно | підвищити
чутливість можна, використовуючи гетеропереходи. Внаслідок відмінної висоти
потенціальних бар'єрів для електронів і дірок у них відбувається більш повне
розділення генерованих світлом носіїв заряду, тому струм крізь запірний шар
більший, а, отже, зростає поздовжня фотонапруга (Л».
Запропоновані сьогодні схемотехнічні способи зведені до використання
зовнішніх резистивних подільників і додаткових джерел живлення. Подання
додаткової напруги, наприклад, між електродами 1 і 3, зміщує вихідну характе-
ристику в довільний бік від центру симетрії структури, залежно від напруги, а
також змінює чутливість елемента і може бути використана для модуляції
вихідного сигналу. Однак використання додаткових елементів та джерел жив-
лення суттєво усі іднює пристрій, що є проблемою при конструюванні авто-
номних систем перетворення фізичних величин.

2.2. Біполярна електроніка

2.2.1. Біполярні транзистори: модель та фізичні основи роботи


Біполярний транзистор - це напівпровідниковий прилад з двома взаємо-
діючими р-п-переходами, сформованими у межах одного монокристала, що
володіє підсилювальними властивостями за рахунок явищ інжекції та екст-
ракції неосновних носіїв заряду. Розрізняють р-п-р- і п-р-п-транзистори. Від-
мінності між ними не принципові і стосуються здебільшого зовнішніх джерел
живлення і несуттєвих, з фізичної точки зору, відмінностей у значеннях рухливості
для дірок та електронів. Усі описані закономірності функціонування будуть спра-
ведливі для обох типів транзисторів.
Моделі біполярних транзисторів зображені на рисунку 2.12.
78 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Рис. 2.12. Моделі біполярних р-п-р- та п-р-п-транзисторів

У структурах цього типу реалізується біполярна провідність (звідки бере


своє походження назва "біполярний транзистор").
Взаємодія між р-п-переходами можлива, якщо товщина області між р-п-
переходами (ширина бази) менша від дифузійної довжини неосновних носіїв
заряду. В цьому випадку носії заряду, інжектовані одним із р-п-переходів, при
його зміщенні в прямому напрямку, можуть дійти до другого переходу, який зна-
ходиться під зворотним зміщенням, та змінити його струм. Отже, взаємодія р-п-
переходів біполярного транзистора виявляється в тому, що струм одного з
переходів може керувати струмом іншого переходу.
Область транзистора, розміщеного між р-п-переходами, називають базою.
Область транзистора, головним призначенням якої є інжекція носіїв у базу, на-
зивають емітером, а його р-п-перехід - емітерним. Область транзистора, го-
ловним призначенням якої є екстракція носіїв з бази, називають колектором, а
відповідний р-п-перехід - колекторним.
Якщо на емітерному переході зміщення пряме, а на колекторному - зворот-
не, то під'єднання транзистора називають звичним. При протилежній полярності
напруг на переходах під'єднання називають інверсним.
Головні характеристики транзистора визначають, насамперед за процесами,
що протікають у транзисторній структурі.
Розглянемо детально механізм провідності транзистора при його вмиканні в
електричне коло.За звичного під'єднання транзистора емітерний перехід
зміщений у прямому напрямку, а колекторний -- у зворотному (див.: рис. 2.13).
Водночас потенціальний бар'єр емітерного переходу понижується, внаслідок
чого відбувається інжекція дірок у базу та електронів в емітер.
Оскільки емітер легований вище, ніж база, потік інжектованих у базу дірок
значно перевищуватиме потік електронів. На межах емітерного переходу
встановлюється підвищена концентрація дірок в області бази р, і електронів в
області емітера п). Значення цих концентрацій визначають за рівнянням:
функ ціональні елементи... 79
Розділ 2. Осно ви електроніки. Головні

U,
Pn, = Pry -exp( 4 ‘kr
(2.1)

зазна U,

базі; п, рівноважна концентрація


He Py, ~ рівноважна концентрація дірок у
електронів в емітері.

Рис. 2. 13. Біполярний р-п-р-транзистор:


а-- розподіл струмів і напрямок рух у носіїв; б - енер
гетична діаграма в активному режимі

бездрейфового р-п-р-транзистора,
структура
На рисунку 2.13,а зображена
ів та стру: мів (а). На рисунку 2.13,
описаного напрямку руху дірок і електрон
випадку у прит уущенні, що всі три
б - енергетична діаграма для нерівноважного
ковими параметрами: AEg, - &.
області напівпровідника володіють одна
зії переміщатимуться до колектор-
Інжектовані в базу дірки внаслідок дифу дірок
Й/з значно менша дифузій ної довжини
ного переходу. Якщо ширина бази
80 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Ї»» То майже всі вони дійдуть до колектора і полем запірного шару будуть
перекинуті в область колектора. Дірки, інжектовані в базу, утворюють там до-
датний просторовий заряд, який можна нейтралізувати лише завдяки притоку
електронів через базовий контакт. Причиною такого обмеження є те, що з боку
емітера і колектора обмін електронами практично не відбувається. Ця нейтра-
лізація аналогічно, як у р-п-переході, здійснюється з максвелівським часом
релаксації. Як наслідок, у базі утворюється градієнт концентрації дірок і
електронів, які зміщуються у бік колектора. На цьому шляху деяке число дірок
рекомбінує з електронами і тому до колектора доходить лише їхня частина. Ці
носії безперешкодно екстрагуються колекторним переходом і потрапляють в
область колектора, де вони стають основними носіями. Електрони, які залиши-
лися в базі, для відновлення електричної нейтральності можуть вийти лише че-
рез базовий контакт.
Електронний струм, пов'язаний з перенесенням дірок, рівний різниці між
потоками електронів, що зайшли в базу, і тих, що вийшли з неї для відновлення
електричної нейтральності. Отож, електронний струм бази рівний різниці між
дірковими складовими струму емітера /,. і струму колектора Ір: I, ЇЇ
За своєю фізичною сутністю, струм бази пов'язаний з перенесенням дірок і
зумовлений рекомбінуванням. Повний електронний струм бази рівний:
І, в 7Ів Ін TE
і, yg: Повний струм колектора рівний: /, - 7, - Ї,, Очевидно, що сума
струмів бази і колектора, що витікають з колектора, має бути рівною струму, що
витікає з емітера.
За наявності у вихідному полі резистора навантаження Ки, спад напруги на
ньому спричинить пряме зміщення колектора. В цьому випадку, поряд з
екстракцією інжектованих емітером дірок, відбуватиметься інжекція дірок влас-
не колектором. Унаслідок цього колекторний струм стане суттєво меншим, ніж
емітерний. При достатньо великому зворотному зміщенні потенціальний бар'єр
колекторного переходу зростає і за умови ((/,. І.Кн)?0 інжекції електронів з ко-
лектора буде не буде взагалі. Разом з тим отримується підсилення потужності,
оскільки струми /. та /, приблизно однакові, а опір резистора навантаження
перевищує опір емітерного переходу.
Якщо струм колектора зростає при незмінній напрузі живлення, то фізично
це означає, що опір колекторного переходу знижується і стає того ж порядку, що
й опір емітерного переходу. Отже, в результаті інжекції носіїв з боку від-
бувається перетворення опору колектора (/талу/гег гезізтог). Опір колекторного
переходу понижується пропорційно до зростання струму інжекції. В результаті
струм може зростати на чотири-п'ять порядків, а опір колектора на стільки ж
знизиться. Оскільки опір колектора стає значно меншим від опору навантажен-
ня, спадом напруги на ньому можна знехтувати і вважати, що вся напруга спадає
функціональні елементи... 81
розділ 2. Основи електроніки. Головні

"Ки. Спад напруги на емітері рівний:


на опорі навантаження, тобто Uy. x рих
но більший від опору К.
Па Око: Ос ільки опір навантаження Ви знач
то при однакових струмах Пк? Мах
прямозміщеного емітерног о переходу,
Рон в Я Одих в Їо 2 ПОТ жність в емітерному у
Виділена в навантаженні пот жність
Пух Ї. Оскільки По о То Ро? Рех-
полі Рух
є підсилювальним приладом, здатним
Отже, біполярний транзистор
підсилювати потужність.

2.2.2. Коефіцієнти транзистора


потужності буде тим більшим, чим більша части-
Зрозуміло, що підсилення
ме до колектора. У зв'язку з цим
на струму, що пройшла через емітер, доходити ерного
уведений параметр, називають коефіцієнтом передавання еміт
який
струму:

02)

і становить ay=0,99+0,995. Le
Коефіцієнт (у близький до одиниці
однак його визначають за двома мікроскопічними
макроскопічний параметр,

коефіцієнтами:
аугу В,
несення
де у - коефіцієнт інжекції, | - коефіцієнт пере
гронної складової в загальному
Коефіцієнт інжекції у визначає частку елек
струмі емітера:

ДА
lg й
а визначає тільки електронна
Підсилювальні властивості п-р-п-транзистор
заряду, оскільки саме вона дохо-
складова струму інжектованих емітером нос:
resistor”.
дить до колектора і виконує > dpynKntito “transfer
их корисних функцій не виконує,
Діркова складова емітерного струму жодн
ижатися до одиниці. За рахунок
отож, параметр у має максимально набл
кції завжди менший
наявності діркової складової її струму емітера коефіцієнт інже

від 1 на величину, приблизи го р рівну У . Ore: а y=1-—*.


7
82 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Тому для зменшення відношення -7-. необхідно знижувати концентрацію

дірок р,, У базі та зменшувати товщину бази И/с. Концентрацію електронів п, В


емітері та їхню дифузійну довжину /,, з цією метою треба збільшувати. Отож,

вираз для коефіцієнта інжекції можна описати як: у с Ра - Враховуючи, що

Ру Я Мі п, я Му отримаємо: у ee
NL,
З останнього співвідношення бачимо, що коефіцієнт інжекції тим ближчий
до одиниці, чим більша різниця концентрацій домішок в емітерному і базовому
шарах і чим менша ширина бази. Тому емітерний шар транзисторів легують
максимально (до виродження), а базу намагаються виготовити з мінімальною
шириною. Значення коефіцієнта інжекції можуть сягати 0,999.
Принциповою умовою для роботи транзистора є великий коефіцієнт інжекції
дірок через емітерний перехід, тобто:

>i,
The tl, пе

де І, - повний струм емітера, а Ір, Їуе - діркова та електронна складові. Як бачи-


мо з рисунка 2.13, за такої ситуації електрони в області бази "заперті" з боку
емітера за рахунок у, -»0, а з боку колектора - високим потенціальним
бар'єром (фотчИ,).
Результуючий електронний струм бази /,5 дорівнює різниці:

збу

Цей струм безперешкодно витікає через антизапірний контакт бази. Для не-
основних носіїв емітерний перехід є інжектуючим, а колекторний -
антизапірним. Отож дірки, на відміну від електронів, можуть безперешкодно
переміщуватися вздовж бази. При у, 21 дірковий струм емітера /,, практично
рівний повному струму емітера: /,, - Ура ЧІ,
Коефіцієнт перенесення ВЗ засвідчує, яка частина інжектованих у базу
з
дірок доходить до колектора: у --24
di

те
Частина інжектованих дірок рекомбінує в базі, та до колектора не доходить,
тому коефіцієнт перенесення завжди менший від одиниці на величину Л/,..
Розділ 2. Основи електроніки. Головні функціональні елементи... 83 |

Втрати інжектованих у базу електронів Д/,, тим менші, чим вужча база та
більша дифузійна довжина дірок /,, тому коефіцієнт перенесення визначають:
1{ W, si pes ats
1-4 - 9 |, Зі збільшенням дифузійної довжини /,,

інжектованих у базу дірок знижуються частотні властивості транзисторів, отож,


коефіцієнт перенесення підвищують головно за рахунок зменшення товщини
бази Ws.
З рисунка 2.13 нескладно побачити, що зв'язок між емітерним, колекторним
і базовим струмами визначають за виразом: /, - /, + /;.3a допомогою виразу для
а, можна записати струм колектора: /, з

2.2.3. Схеми під'єднання транзисторів. Механізм підсилення сигналів


Залежно від типу спільного електрода, щодо якого відраховують потенціал,
розрізняють три схеми під'єднання транзисторів: схему зі спільною базою (СБ),
схему зі спільним емітером (СЕ) і схему зі спільним колектором (СК) (див.
рис. 2.14).

І, 1. Тк —
мо —>
“ne NY fk _ WX
Us eZ
«Г ба о
Us 4 t і LIS
CE CK

a 6 в
Рис. 2.14. Схеми під'єднання транзисторів:
а- зі спільною базою; 6 - зі спільним емітером; в - зі спільним колектором

У всіх схемах припускають, що в емітер/ базу заданий постійний струм

зміщення /,, з a abo I, te


зм k

Характерні особливості цих схем подані в таблиці 2.1.


Схема зі СБ характеризується, порівняно зі схемами СК i CE, найнижчим і
найвищим вихідними опорами. У схемі зі СБ коефіцієнт підсилення за струмом
Ку, коефіцієнт підсилення за напругоюі К.І.
84 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Таблиця 2.1
н Схема
Номерна
порядком Параметр
CE CB CK
1 Коефіцієнт підсилення ло необ |і
за потужністю
2 Коефіцієнт перелачі напруги 10-1000 10-1000 | <1
3 Коефіцієнт передачі струму 10-100 <1 10-100
4 Вхідний опір, Ом 2100 10-100, >10*
5 Вихідний опір, Ом >10 >100 10-100

Схема зі СЕ характеризується високим вхідним і вихідним опорами, Ку,


Хиє1. Схема зі СК має найвищий вхідний і найнижчий вихідний опори Ку» 1 та
Ку«ї. Найбільш використовувана схема зі СЕ.
Розглянемо механізм підсилення електричних сигналів транзистором. Для
схеми зі СБ коефіцієнт підсилення за струмом Kio, =a@=Al,/Al, <1, 106To
підсилення за струмом не відбувається, однак транзистор зі СБ дає змогу отри-
мати велике підсилення за напругою. Вихідний диференціальний опір транзи-
стора у пологій, горизонтальній області характеристики великий, і в коло колек-
тора можна під'єднати послідовно великий опір навантаження. Для вихідного
кола транзистор становить собою генератор струму А/, з великим вихідним
внутрішнім диференціальним опором. Зі зміною струму емітера на мале значен-
ня напруга на колекторі транзистора змінюється на більше значення:

AU, = (Ry / Rau AL, 5


BE RI Rag = RRyu/(Ry ян В, ) - еквівалентний опір, який визначають паралель-
ним під'єднанням опорів К, і RK...
Вхідний диференціальний опір транзистора В який визначають за вхідною
ВАХ прямозміщеного р-п-переходу, значно менший Ку - Зміна вхідної напруги
при зміні вхідного струму на Д/ становить:

AU, =R.,,Al,,
коефіцієнт підсилення транзистора за напругою для схеми С

Kg AAe = AL, BO(R, Rae) Ba,/


e lex Tex
При великих значеннях В, значення К,,, зазвичай значно перевищує оди-
ницю.
Розділ 2. Основи електроніки. Головні функціональні елементи... 85

Коефіцієнт підсилення за потужністю транзистора для схеми СБ Крс; може


бути суттєво більший від одиниці:

АР ож 2 Ry | Rave
Koes a = KucsKics = opi

Отже, біполярний транзистор є активним елементом електричного кола,


оскільки дає змогу підсилювати електричні сигнали з Ко?1. З фізичної точки зо0-
ру. підсилення змінного сигналу на потужності пов'язаний з відбиранням енергії
від джерела живлення вихідного колекторного кола.
У транзисторі, під'єднаному за схемою СЕ, простежується підсилення не
лише за напругою, але й за струмом. У такій схемі вхідним струмом є струм ба-
зи, а вихідним - струм колектора. Згідно з першим законом Кірхгофа, для
струмів транзистора справедлива рівність /.7/, Іс. Ураховуючи співвідношення
(2.1) ї (0.2), отримаємо величину колекторного струму:

а > te
(-ay
ees
a”
—-—o_(gA% _
1. (2.3)

Цей вираз визначає сім'ю вихідних ВАХ транзистора зі СЕ, тобто


залежність /,((,) при І; гопхі. Множник, на який перемножений струм ба-
зи/,, становить собою коефіцієнт підсилення за струмом транзистора зі
спільним емітером, і називають його коефіцієнтом передавання струму бази:

Kicp ICE = B =A, |, =a/-a@).


У сучасних промислових транзисторах типові значення а лежать у діапазоні
від 0,90 до 0,99, що відповідає 8 -9--100. У спеціальних мікропотужних тран-
зисторах Б може досягати декількох тисяч. Такі транзистори називають "Супер-
бета". Фізична причина великих значень В полягає в рекомбінаційній природі
базового струму, який в п-р-п-транзисторі повністю має діркову природу. Струм
дірок, які надходять у базу, порушує електронейтральність бази, внаслідок чого
потенціальний бар'єр емітерного п-р-переходу знижується і з п-емітера в базу
надходять електрони. В стаціонарному режимі струм рекомбінацій електронів
має бути рівним струму дірок, які надходять з базового контакту, тобто постійно
має бути рекомбінаційна рівновага цих струмів. Однак час життя дірок у базі
дорівнює часу життя електронів г,, а електрони проходять базу за значно мен-
ший час: г «/ОД,), де уг-товщина квазінейтральної бази. Отож, для реком-
бінаційної рівноваги необхідний в г,/т, разів більший струм електронів з
емітера, порівняно зі струмом бази /,.
ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ
86

LY 2 2
a= 15) ado @= iF}
Зі сказаного очевидно, що
а 2 і,

за напругою транзистора зі СЕ:


Коефіцієнт підсилення

ал (вик), (вул ко,) Ко


злої оо
ів, -
віцебнеиносі і
вхідний вихідний опори
Kuce “rR. Вчи

транзистора зі СВ.
у схемі СЕ:
Коефіцієнт підсилення за напругою та потужності транзистора
(RR,
Kuce =B a може бути значним, оскільки тут наявне підсилення як за

струмом, так і за напругою.


ують транзистор зі
Отож, у більшості підсилюваних каскадів використов
з точки зору узгодження
СЕ Лаке під'єднання транзистора є оптимальним також
вхідного опору в, СБу
опорів: вхідний опір транзистора зі СЕ В), більший від
(13 В) разів.
не надто менший
Транзистор зі СК має коефіцієнт підсилення за напругою
практично не за-
одиниці, оскільки різниця потенціалів між базою й емітером
бази. Підсилення за струмом значне і становить:
лежить від струму

Kuce = = =(B+l)>>1

рює потенціал бази.


Потенціал емітера в транзисторі зі СК практично повто
називають емітерним по-
Отож, схему, побудовану на основі транзистора зі СК,
вторювачем.

2.2.4. Моделювання транзистора. Модель Еберса-Мола


Модель Еберса-Мола є ос-
oh ah
© © І новною 0|при аналізі роботи
ії;
| транзистора в умовах різних

a статичних режимів.
h і. в Os В моделі для розрахунку
С
струмів транзистор замінюють
1 його еквівалентною схемою, що
відображає фізичні процеси в
Рис. 2.15. Еквівалентні схеми моделі Еберса-Мола
транзисторі (див.: рис. 2.15). Ця

схема є ідсалізованою.
в через р-п-
Вона враховує лише головні явища - проходження струмі
рехід зобра-
переходи і передавання струмів з одного кола в інше, кожен р-п-пе
2: со
—_— ee оо

елементи... 87
електроніки. Головні функціональні
Розділ 2. Основи

Як-
вигл яді діод а, а їхня вза ємо дія відображена генераторами струмів.
жений у який
пер ехі д від кри тий , то в колі колектора проходитиме струм,
що емітерний в колі
ся ген ера тор ом аП. При від критому колекторному переході
забезпечуєть a —
ход ити ме стру м, що заб езп ечується генератором ai, He
емітера про
струму.
інверений коефіцієнт передавання
няннями:
Струми діодів визначають за рів
(2.4, a)
Feo fexp(AU,.)-I];
(2.4, 6)
1,=1,[exp(AU,)-],
То - струм колектора при U=0.
де Ї,о (СТРУМ емітера при (70;
рівняннями:
Струм емітера і колектора визначають
бо = й a al;
(2.5, a)

і. заї, - 1, (2.5, 6)

a) i (2.5, б) отримаємо:
Підставляючи (2.4, а) і (2.4, б) в (2.5,
1] (2.6, a)
1, = Tofexp(AU,) ~ HO! [exp(AU,)
(2.6, 6)
Ь 1, =a g[exp(AU,) -1]- Lo [exp(AU,) =H]:
струму
Ураховуючи, що Ll 1,, отримаємо рівняння для розрахунку
бази:
[exp(AU,) =I]. (2.7)
I,=( —a)Lg[exp(AU,) - 1 =(1-a,eo
і мають назву
Рівняння (2.6-2.7) є математичною моделлю транзистора
змогу отримати
формул Еберса-Мола в однойменній моделі. Вони дають
довільній схемі
аналітичні вирази для довільної сім'ї характеристик у
ати вхідні ха-
під'єднання. Наприклад, рівняння 2.8, а дає можливість розрахув
рактеристики для різних значень Мб:
при (є 0 (з урахуванням пе- Об)
Ту

1, =1g[exp(-AU «)— "5


ду при Пед
і.а1аехрі АГ) -It+ad;
3) при Оу 30

І, «1. ех Аа) ом СА о)
88 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Рівняння (2.6,б) описує сім'ю управляючих і вихідних характеристик. Для


схеми зі СБ у цьому рівнянні треба вважати, що (2 -- б, Со - Об, а в схемі зі СЕ
— UsU 6, По ОветОко, Якщо (2.6, а) розв'язати щодо J, [exp AU,)- 5 і результат
підставити в (2.6, б),то отримаємо рівняння сім'ї вихідних характеристик схеми зі
СБ для різних значень струму /.:

1, =a, — 1, [exp(AU,) —1]. (2.8,a)


При 0,240 це рівняння набуде вигляду:

І. заї, я То (2.8, 6)
Розглянута з модель Еберса-Мола характеризує OocHoBHi 4 процеси в
транзисторі.Однак вона не дає змоги враховувати деякі особливості реального
транзистора: наявність об'ємних опорів емітера, бази і колектора, зміну ширини
бази при зміні колекторної напруги, струми генерування і рекомбінації в р-п-
переходах та ін.

2.2.5. Статичні характеристики


Для аналізу транзисторних схем широко використовують статичні характе-
ристики. Статичними характеристиками транзисторів називають графіки, які
виражають функціональний зв'язок між постійними струмами і напругами на
електродах транзистора.
Залежно від того, які струми і напруги вважають незалежними змінними,
можливі різні системи функціонального зв'язку та відповідні щодо них сім'ї
статичних характеристик. Загалом зв'язок між струмами і напругами на трьох
електродах транзистора можна описати за допомогою шести різних систем (по
чотири сім'ї характеристик у кожній системі).
Наприклад, якщо в ролі незалежних змінних прийняти вхідний струм і
вихідну напругу: (/, 7. (Пак. Мих)» Так З (оо з рих), то в статичному режимі ці
залежності будуть описані чотирма сім'ями характеристик: вхідними -вихідними
Та ЛО, 5 зворотного зв'язку - 0 - ГО, , ПРЯМого передавання --
Mee

І З ГИ
Зрозуміло, що для різних схем під'єднання транзистора вхідними та
вихідними слугують струми і напруги на його різних електродах. Тому вигляд
статичних характеристик залежить від схеми під'єднання транзистора.

Для однозначного встановлення залежності між струмами і напругами тран-

зистора достатньо мати дві сім'ї характеристик з чотирьох описаних. Інші дві
Розділ 2. Основи електроніки. Головні функціональні елементи... 89

можна відшукати за допомогою перебудов. Практично найбільш поширені


вхідні і вихідні характеристики.
Статичні характеристики мають особливе значення при аналізі роботи
підсилювальних схем на транзисторах. За статичними характеристиками виби-
рають оптимальне положення робочої точки транзистора за постійним струмом,
обчислюють допустимі амплітуди коливань змінної напруги і струму на вході,
аналізують лінійність підсилення та інші параметри схеми.
В реальних транзисторних схемах вхідними струмами і напругами слугують
напруги і струми на конкретних електродах.
Характеристики схеми СБ. Для цієї схеми під'єднання характерними є
фіксовані (задані) значення струму емітера і колекторної напруги, тобто вихідні
(колекторні) характеристики описують як залежність /, Us)| ,» 2 вхідні

(емітерні) - як /, о - Отже, характеристиками схеми СБ є сім'я кривих


описаних функціональних залежностей.
Сім'ї вихідних та вхідних характеристик можна отримати, використовуючи
формули Еберса-Мола. Тобто:

(2.9)

(2.10)

На рисунку 2.16 зображена сім'я вихідних характеристик СБ, побудованих


на основі моделі Еберса-Мола. Розглянемо три режими роботи транзистора:
нормальний активний (І), насичення (П) і лавинного пробою (ШІ). Активний ре-
жим використовують у схемах підсилення, режим подвійної інжекції - в
імпульсних ключових схемах, режим лавинного пробою - у схемах на лавинних
транзисторах.Лавинні транзистори не так широко використовують, як два
попередні, через недостатню стабільність характеристик.

Для активного режиму | |//,| 2 (2) формули (2.9) i (2.10) спрощують:


q

Іза» (2.11)

ra U, i ele й (2.12)
qe
Очевидно, що в активному режимі колекторна напруга не впливає на жодну
емітерну / колекторну з розглянутих характеристик. Якщо інжекції з емітера
90 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

немає (1, -0), то залежність /,((/,,) становить собою звичайну ВАХ р-п-
переходу. Відмінність полягає у тому, що на рисунку 2.16 зворотна гілка
міститься в першому квадранті, а пряма - в третьому внаслідок інжекції з
емітера. При Г/,, 20, у колекторі виникає потік електронів ./,, з а,» ЩО
створює в колекторному колі струм J, =a@,/,. CrpymM колектора /, зростатиме
пропорційно потоку інжектованих з емітера електронів.

N
N
Рис. 2.16. Вихідні характеристики схеми СБ, побудовані згідно з моделлю
Еберса-Мола (суцільні лінії). Реальні характеристики (пунктирні лінії):
1.- нормальний активний режим, Ї - насичення, І - лавинного пробою

У разі надходження на колектор прямої напруги він інжектуватиме


зустрічний потік електронів. Результуючий потік швидко зменшуватиметься до
нуля зі зростанням прямої напруги на колекторі і при подальшому збільшенні
прямої напруги набуватиме зворотного напрямку.
Отже, в активному режимі колекторна напруга не впливає на вихідні харак-
теристики схеми СБ. Такий висновок справедливий для більшості практичних
випадків. Насправді з підвищенням зворотної колекторної напруги струм колек-
тора в активному режимі слабко зростатиме (пунктирні криві на рисунку 2.16)
внаслідок збільшення зворотного колекторного струму J,, і коефіцієнта
передачі емітерного струму ау -
функціональні елементи... 91
2. Основи електроніки. Головні
Розділ

СБ. При (570


На рисунку 2.17 зображені вхідні характеристики схеми
пряму гілку ВАХ емітерного
вхідна характеристика становить собою звичайну
переходу.

de, MA

1 - області режимів:
Рис. 2.17. Вхідні характеристики схеми СБ (І,
нормального активного і насичення)

переходить у режим
При подаванні на колектор прямої напруги транзистор
ронів з емітера, а, отже, і струм
насичення, за якого результуючий потік елект
емітера зменшуватимуться, переходитимуть через нуль та змінюватимуть на-
прямок.
СЕ керуючим струмом є
Статичні характеристики схеми СЕ. У схемі
відображають як залежність
струм бази. Вихідні (колекторні) характеристики
залежність 1 (П/с.) Wee”
ТОЇ, пз вхідні (базові) характеристики - як
та вхідних (б) характеристик
На рисунку 2.18 зображені сім'ї вихідних (а)
схеми СЕ.
92 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

SS
UNS
a 6
Puc. 2.18. Сім'ї вихідних (а) та вхідних (б) характеристик схеми СЕ
(1, ПІ -- області режимів: нормального активного,
насичення і пробою колекторного переходу)

Вихідні ВАХ мають деякі відмінності. Області нормального активного ре-


жиму і режиму насичення розміщені в одному (першому) квадранті, тобто ре-
жим подвійної інжекції в схемі СЕ виникає не при від'ємних потенціалах на
колекторі, як у схемі СБ, а при малих додатних потенціалах (/.. Адже у схемі СЕ
на базу подається додатний потенціал, отож, колекторний перехід відкри-
вається при (/, «1. У схемі СЕ, порівняно зі схемою СБ, вихідні характеристи-
ки піднімаються крутіше, струм насичення більший, а пробивна напруга менша
(див.: рис. 2.19). Це пояснюють тим, що в схемі СЕ вихідна напруга (/,» ЧАСТКОВО
прикладена до емітерного переходу, тому з підвищенням (/ зростають (ос, І; та
I, =ayl,.
Вхідні ВАХ мають такі головні особливості. В режимі насичень при (40
базовий струм суттєво зростає, порівняно з нормальним активним режимом,
оскільки в цьому випадку струм бази утворюється в результаті інжекції з двох
паралельно під'єднаних переходів - емітерного та колекторного.
У нормальному активному режимі базовий струм зменшується 3
потенціалом бази, доходить до нуля і змінює свій напрямок. Нахил ВАХ у схемі
СЕ значно більший, ніж у схемі СБ. Крім того, напруга пробою транзистора у
схемі СЕ менша, ніж у схемі СБ.
Розділ 2. Основи електроніки. Головні функціональні елементи... 93

Вхідні та вихідні характеристики схеми СК аналогічні до характеристик


схеми СЕ.

Us
Рис. 2.19. Ілюстрація ходу вихідних характеристик, струмів насичення
То і пробивних напруг у схемах СБ і СЕ

2.2.6. Поняття робочої точки та навантажувальна характери-


стика. Підсилювальний каскад на біполярному транзисторі
Аналіз довільної транзисторної схеми зазвичай починають з вивчення (її
ВАХ, При такому аналізі головною є вихідна характеристика.
Однак, для прикладу, при роботі підсилювального приладу величини вихід-
ної напруги і струму не залишаються незмінними, а зазнають деяких коливань за
законом зміни підсилювального сигналу в певному діапазоні значень, що за-
дається зовнішніми колами. Щодо цього у кожен момент часу на сім'ї вихідних
характеристик можна вказати єдину точку, що відповідає наявному стану під-
силювального приладу.
Якщо розглянути інші характеристики, то виявиться, що і на них ця точка
однозначно визначена за умови, що відомі струми і напруги на вхідних і ви-
хідних електродах.

Точку на площині вихідних (або інших) характеристик підсилювального


приладу, що зв'язує задані значення напруг і струмів у ньому, називають робо-
чою точкою. Зазначимо, що навіть тоді, коли немає вхідного корисного сигна-
лу, підсилювальний каскад продовжує перебувати у деякому конкретному стані,
якому відповідає повністю конкретна робоча точка, яку називають вихідною ро-
бочою точкою, або робочою точкою за постійним струмом.
У процесі впливу сигналів на вхідні електроди підсилювального приладу
значення струмів і напруг у каскаді змінюються, а робоча точка займає різні по-
94 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ложення. Лінію на площині вихідних характеристик, по якій рухається робоча


точка в процесі дії сигналів, називають лінією навантаження, або навантажу-
вальною характеристикою. В сутності - це геометричне місце робочих точок.
За власне резисторного характеру навантаження в області лінійного підсилення
навантажувальна характеристика має вигляд прямої, що збігається з ВАХ опору
навантаження Ки (див.: рис. 2.20).
На рисунку 2.20 подані графіки зміни всіх струмів і напруг транзистора у схемі
СЕ при гармонічному вхідному сигналі, побудовані за статичними характеристика-
ми транзистора (графоаналітичний розрахунок транзисторного каскаду підсилення).
Лінія навантаження відповідає експлуатаційним параметрам транзистора в
довільній області його застосування. Найтиповіше це продемонстровано у схемі
підсилювального каскаду. Елементарна схема такого підсилювача зображена на

рисунку 2.21.

Рис. 2.20. Графічний аналіз струмів і напруг у підсилювальному каскаді схеми СЕ


та його навантажувальна характеристика
Розділ 2. Основи електроніки. Головні функціональні елементи... 95

Схема складається з транзистора, у колекторне коло якого під'єднано рези-


стор Ку, а в базове - резистор Кс. Ці резистори забезпечують необхідний режим
роботи транзистора за постійного струму. Підсилювальний сигнал подається на
базу транзистора через конденсатор С. Наявність сигналу змінює напругу (Лу Ї,
отже, струм 16, а також струм /, і напругу (/... Якщо режим роботи за постійним
струмом обраний правильно, то зміна напруги (/., точно відображатиме зміну
напруги (іс, проте з більшою амплітудою. Відбудеться підсилення сигналу.

+ Boxe

— Bon
Рис. 2. 21. Схема простого підсилювача на базі транзистора
при увімкненні СЕ

Для визначення параметрів схеми у таких випадках застосовують графічний


аналіз, який наочно відображає процеси в режимі підсилення сигналу. На рисун-
ку 2.20, а зображені часові діаграми струмів і напруг у базовому колі, а на ри-
сунку 2.20, б - у колекторному. Для цього у системі /, ГО.) будують лінію
навантаження колекторного кола (див.: рис. 2.20, б). Точки перетину цієї лінії з
вихідними характеристиками визначають режим роботи колекторного кола при
фіксованому струмі бази. Вихідною робочою точкою (ВРТ) слугує перетин лінії
навантаження з тією вихідною характеристикою, при якій виконується умова
ба Еожі? (точ. 47).У цій точці протікає струм бази /. - І.
Для отримання значення Із на залежності /7/ (с) будують лінію наванта-
ження кола бази (див.: рис.2.20, а). З цією метою на вхідній характеристиці
виділяють точку А (вихідна робоча точка базового кола, в якій /. - І, ). | прово-
дять пряму лінію, що простягається через точки А та Ех. Нахил цієї лінії
визначає значення опору Б.
Задавши режим роботи за постійним струмом, бу тують графіки часових за-
лежностей струмів і напруг.
За наявності зовнішнього навантаження лінія навантаження змінює свій на-
хил (пунктир на рис. 2.20, б), оскільки опір для змінного струму зменшується,
причому режим роботи транзистора за постійним струмом не змінюється, отож
96 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

лінія навантаження проходить через цю ж точку 4", проте перетинає вихідні ха-
рактеристики, що відповідають максимуму і мінімуму струму бази, у точках В"
і С". Площа заштрихованих трикутників, яка визначає вихідну потужність
змінного струму зменшується.
З аналізу очевидно, що підсилення електричних сигналів відбувається через
перетворення потужності джерела постійного струму Р, з Е,,. дж "Ї., У потужність
змінного струму Р, вих «., кет 1, кт /2 , що виділяється в навантаженні.
За допомогою описаних графічних побудов можна розрахувати основні па-
7
раметри підсилювального каскаду, зокрема: І) К, коефіцієнт

підсилення за напругою; 2) К, коефіцієнт підсилення за струмом;

З ни ни
3) 2 - коефіцієнт | підсилення 3a / потужністю;

2
U. - з и : . Р
4) В, з 795 - вхідний опір; 5) R,,, =—“*= — вихідний опір; б) лена

коефіцієнт корисної дії, де Р, - "То - потужність, споживана від джерела


живлення.
Отже, фіксування положення вихідної робочої точки транзистора за
постійним струмом здійснюється зовнішніми колами зміщення. Такі кола мо-
жуть мати різну конфігурацію, яка залежить від декількох факторів: типу транзи-
стора, схеми під'єднання, необхідності забезпечення стійкості до температурних
впливів і незалежності від параметрів конкретного транзистора.
Для прикладу, у схемі СЕ розповсюджені два способи забезпечення заданого
положення робочої точки за постійним струмом: схема з фіксованим струмом та
схема емітерно-базової стабілізації. Зокрема, у першій схемі стабільність усіх
показників каскаду за постійним струмом основана на підтриманні стійкого зна-
чення струму бази транзистора. Це досягають створенням безальтернативного
кола протікання струму через резистор бази Кб та емітерний перехід.
Стабільність струму бази у такій схемі веде до стабільності струму колектора,
оскільки J, = В" Їсо» де В - статичний коефіцієнт передавання струму бази схе-
ми СЕ.
У схемі емітерно-базової стабілізації стан вихідної робочої точки каскаду
стабілізується шляхом підтримання незмінного значення напруги на переході
емітер база транзистора. Цього досягають застосуванням поділювача напруг на
двох резисторах, під'єднаного до бази транзистора. Струм через нього значно
й ec ee АНТ

Розділ 2. Основи електроніки. Головні функціональні елементи... 97

перевищує всі можливі значення струму бази [oo. Стабільність напруги (ср» Ha
емітерному переході автоматично стабілізує струм колектора /,;, транзистора.
Остання схема емітерно-базової стабілізації виявляється значно зручнішою
за масового виробництва, тому має значно ширше застосування.

2.2.7. Диференціальні параметри транзистора. Системи h- ma


у-параметрів

Диференціальні параметри встановлю-


ють взаємозв'язок між безмежно малими їі b
приростами струмів і напруг. Незалежно від 2 mae
схеми під'єднання, транзистор розглядають а п
як чотириполюсник (див.рис. 2.22), на о
вході якого діють напруги (/ і струм /.. За- Рис. 2.22. Схематичне представлен-
лежно від схеми під'єднання транзистора, ня чотириполюсника
величинам (Л, J), 0», Б відповідатимуть
певні струми і напруги. Наприклад, для схеми зі СЕ U, =U, h= Tg, Ur =U
1, - 1,. Ці напруги і струми взаємопов'язані нелінійними функціональними за-
лежностями, які можна описати або аналітично, як математичні рівняння, або
графічно, як статичні характеристики.
Можливі шість варіантів вибору незалежних і залежних змінних для опису
функціонального зв'язку струмів і напруг у чотириполюснику. Реально
найчастіше застосовують два з них: систему -параметрів та систему
у-параметрів.
Система й-параметрів. Якщо незалежними змінними вважати струм hi
Hanpyry U2, то функціональний зв'язок струмів і напруг потрібно записати у
формі (з ЛО), 1 - ЛИ О;). Повні диференціали цих функцій набудуть
вигляду:

(2.13, a)
апа an | ау, aU,
at,= 22al, at,
a.
, .+ 22-au,.
al
ou *
2.13,
13,0)
Позначаючи часткові похідні перед незалежними змінними в (2.13) і
(2.13, бу символами Й, (12, Лі і По, рівняння чотириполюсника можна записати
так:
а зва най, (214, а)
а, «ат край, 014, б)
98 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Отримуємо зміст /-параметрів: й, =—|

du, a >
ато -- коефіцієнт зворотного зв'язку за напругою; /., з
2аьоо

з З. oe dl, Z є
ференціальний коефіцієнт передавання струму; Й, с aU, -- вихідна провід-
2 ldh=0

ність транзистора.
На практиці числові значення параметрів визначають за статичними харак-
теристиках транзистора, замінюючи безмежно малі прирости струмів і напруг
скінченними приростами. Параметри залежать від схеми під'єднання транзисто-
ра (третій індекс "е", 767, "к") для схем зі СЕ, СБ або СК.
Приклад схеми зі СЕ. Параметри Й сь Пі визНачають за вхідними характери-
стиками транзистора
Система у-параметрів. У системі у-параметрів незалежними змінними
вважають (Л/ і 05, а залежними змінними є / та Б. У такому випадку повні
диференціали функцій рівні:

дації (2.15, a)
ou, OU,”

ді, al,
5g, tag
dl, =2-qu, +-du,. (2.15, 2.15, 6)
Або:

М з уні з Ура, (2.16, a)


dl, = y,dU, + YydUy. (2.16, 6)
. .
Звідси зміст у-параметрів: у, 2-35
з dl, - б
- вхідна провідність; у 2-4
dl,
qu, ldU,=0 qd, ldu,=0

-
_
провідність зворотного передавання; Ум
dl,
“Wu -
б
провідність у прямому
пас,-б

б
передаваннії; у,, з aU,
dl, i
- вихідна провідність.
г
=0
Числові значення у-параметрів можна визначити за характеристиками тран-
зистора аналогічно тому, як це виконано для Й-параметрів. Крім того,
Розділ 2. Основи електроніки. Головні функціональні елементи... 99

у-параметри можна розглядати, знаючи значення /-параметрів. З рівнянь


(2.14, a), (2.14, б) можна визначити незалежні змінні:

laggy (2.17, a)
hy
<
азу 21 dU, + Той» = eu (2. 17,6)
hi hi
Порівнюючи (2.16, а) з (2.6, а) і (2.16, б) з (2.6, б), знаходимо:

hy пу,
(2.18)
т т й
Зазвичай на практиці використовують саме ці рівняння для визначення сис-
теми у-параметрів.

2.2.8. Транзистори Дарлінгтона


Метою синтезу складових транзисторів є створення потужного високовольт-
ного транзистора, призначеного для роботи в режимі перемикання, що

характеризується переходом із закритого стану з високою зворотною напругою


у відкритий стан з великим струмом колектора, тобто з високим коефіцієнтом
підсилення по струму В (СЕ).
Значення цього коефіцієнта в дискретному транзисторі, як відомо,
визначається взаємозв'язком дифузійної довжини носіїв /., що рухаються крізь
базу, та шириною бази біполярного транзистора Й/ через співвідношення
Be 2L
Як відомо, оптимізація цих параметрів можлива в певних межах і лише
wr
для транзисторів типу п-р-п. Отож, технологічне виготовлення дискретного
транзистора з високим В є проблематичним.
Дарлінгтон запропонував схемотехнічне вирішення цієї проблеми, згідно з
яким певним чином з'єднані два біполярні транзистори мають характеристики
як один транзистор з високим коефіцієнтом передавання В емітерного струму.
Таке з'єднання було назване (складовим / транзистором, або схемою
Дарлінгтона. Схемотехнічно -- це каскадне з'єднання транзисторів, під'єднаних
за схемою зі спільним колектором. Наприклад, база першого транзистора Т,
з'єднана з емітером другого транзистора 7». Колектори обох транзисторів
з'єднані між собою і цей вивід є колекторним виходом складового транзистора.
База транзистора Т) слугує базою складового транзистора, а емітер транзистора
Т. - емітером складового транзистора (див.: рис. 2.54).
100 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

4
Рис. 2.23. Схема складового транзистора Дарлінгтона

Таке з'єднання транзисторів сприймають як один транзистор. Головною


особливістю схеми є велике значення коефіцієнта підсилення струму бази.
З рисунка 2.23 видно, що при знехтуванні тепловими струмами струм колек-
тора рівний /.-ДаЗ Го» де 1217 Рибві і До ВоЇоо
7 ВаЇо-
Якщо врахувати, що | /а-(ВінІ)/і-(РіНТв, ото | = Bo+(Bit
1) Bals=
=(Bit BiB2tB2s=B
Bods.
Тобто В."ВиВ» - коефіцієнт підсилення струму бази складового транзистора в
активному режимі дорівнює добутку коефіцієнтів підсилення транзисторів Т| і
Tr i може бути достатньо великим за величиною.
У запропонованій схемі транзистори функціонують з різними струмами бази
(Je2> 161), 0TOx БухВ». Транзистор Т) працює в мікрорежимі, тому значення В) не-
велике. На практиці для збільшення струму /5| і зменшення струму Іо між базою
та емітером транзистора Т, під'єднують струмовідвідний резистор, опір якого Ко
менший від вхідного опору Ких транзистора Т», в результаті чого зростає Ві. У
цьому випадку результуючий коефіцієнт підсилення струму бази виявляється
більшим, ніж без резистора Кс.
Вхідний опір описаного транзистора можна розрахувати за:

a нав wx. на (д +1).

Це рівняння ілюструє, що вхідний опір складового транзистора перевищує


вхідні опори окремих транзисторів.
Високі значення коефіцієнта підсилення у складових транзисторах реалі-
зуються лише в статичному режимі, тому їх широко використовують у вхідних
каскадах операційних підсилювачів. У схемах на високих частотах складові
Розділ 2. Основи електроніки. Головні функціональні елементи... 101

транзистори вже не володіють такими перевагами. Навпаки, їхня гранична час-


лота підсилення за струмом і швидкодія менші, ніж аналогічні параметри в ок-
ремих транзисторах Т| і 7».
Вихідний опір складового транзистора визначають за співвідношенням:

R,
Roe =i] 2
(B, +1)

Отже вихідний опір описаного транзистора менший, ніж вихідні опори ок-
ремо взятих транзис торів.

2.2.9. Ключ на біполярному транзисторі

Схемне використання біполярних транзисторів базується на їхніх властиво-


стях, зумовлених схемою ввімкнення та типом транзистора.
Для прикладу розглянемо простий ключ на біполярному транзисторі. Він є
головним функціональним елементом цифрових логічних схем. Його робота ос-
нована на здатності транзистора перемикатися з одного стійкого стану в інший,
що суттєво відрізняються значенями вихідних опорів. Типова принципова схема
реалізації ключового ефекту зображена на рисунку 4.24, а. Вона містить транзи-
стор л-р-п-типу, вімкнений за схемою зі спільним емітером, та відповідні
навантажувальні опори в колі бази (Во ) та колектора (К,). Вхідне коло (;
реалізується в ланці " емітер - база", а вихід Цх - "колектор-смітер". На вхід
може бути поданий низький рівень напруги (Р або, високий (/.

fe 16-15

Tre nae

a
Рис. 2.24.
а-- схема електронного ключа на біполярному транзисторі; б - вихідна BAX
102 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

На вході системи ввімкнене джерело керуючих сигналів (/: з внутрішнім


опором Вс, що живить базове коло транзистора струмом /, зU,/R; :
Hexaii nanpyra U; має лише два значення: Viu'. Струм бази, отже, також
набуде значення І «бі п «0/8, - Якщо із В, то режим роботи ключа
визначають точкою А (див.: рис. 2.24, б), якщо J, і -- точкою В. Точка В
розміщується в області режиму насичення транзистора. Ступінь насичення тран-
зистора оцінююєть коефіцієнтом | насичення Kya. =I, /1, 6.nac ? де Tonac
мінімальна величина струму бази, при якому транзистор переходить у режим
насичення при заданій величині опору Ву.
Головною статичною характеристикою ключа є передавальна характери-
стика - залежність вихідної напруги (ж Пе від вхідної напруги (/,.-(о.е. ЇЇ.
будують шляхом суміщення вихідних і вхідних характеристик, як це
відображено на рисунку 2.25 для випадку Кугос.

152 Ївінаг.
16 З Їв.нас.

5-5

Рис. 2.25. Ілюстрація побудови передавальної характеристики ключа

Потім у системі координат /, - f(U, -.) необхідно провести навантажуваль-


ну лінію і точки її перетину (А, В, С, Р) з вихідними характеристиками перене-
сти в систему координат /, - УС). Таким чином буде побудована управляюча
характеристика. На наступному етапі точки 4", В", С", р'необхідно перевести на
вхідну характеристику, отримавши точки 47, В", С", )". Потім, у системі ко-
Розділ 2. Основи електроніки. Головні функціональні елементи... 103

ординат
Р. (/, хе
= f(U, побудувати
yay передавальну характеристику
рактер! У за точками

Beer, Be, Ce Dee,


Вплив зовнішнього навантаження. Важливе значення на роботу ключа має

вплив зовнішнього навантаження. Якщо навантаженням є активний опір Ry

(див: рис.441,а), то режим роботи у відкритому і закритому станах


визначається згідно з рисунком 4.26, б. На полі вихідних характеристик транзи-
стора необхідно побудувати ВАХ навантаження /,, - /(Ц.н)/ К,. Точки її
перетину з результуючими характеристиками будуть характеризувати режим
роботи ключа у відкритому і закритому станах. Очевидно, що найбільш суттєво
змінюється положення точки А, що й визначає рівень UL, «Вплив навантаження
на вихідну напругу ключа оцінюють 3a вихідною характеристикою
Со 7 ЛИ, ).У загальному випадку таких характеристик може бути дві: одна
для низького рівня вихідної напруги, друга для високої.

а б
Рис. 2.26. Схема ключа з навантаження В" (а) та визначення
режиму роботи ключа з ВАХ транзистора (б)

Швидкодія ключа. Швидкодія ключів на біполярних транзисторах залежить


від інерційності процесів, пов'язаних з нагромадженням та роземоктуванням
надлишкових зарядів, унаслідок чого стає неможливий миттєвий перехід тран-
зистора з одного стану в інший. Швидкодію таких електронних ключів
оцінюють | за | середнім | часом | затримання | поширення сигналу:
tyre
wate. (ех +t" у», де г? - час затримання поширення при переході вихідної

напруги
ру! від ,, - 0" до 0, «(/!; ; Г?- час затримання
р поширення
р при
рі
переході вихідної напруги від (/,,, з (до У, вих «07.
104 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Затримання /"" і /'? 10 ураховують порівно, що відповідає рівням перепаду


Се (а Lope ) /2. Підвищення швидкодії електронних ключів досягають шля-

хом зменшення часу життя нерівноважних носіїв заряду, що відбувається при


введені в базу транзистора домішки золота. Більш ефективним методом
підвищення швидкодії є шунтування колекторного переходу діодом Шоткі.
Завадостійкість ключа. Крім керуючого сигналу, на вході ключа може ви-
никнути напруга завади, яка або підвищує, або понижує вхідну напругу. Якщо
на вході діє напруга (/?,то небезпечними є перешкоди, що мають додатну
полярність. Якщо на вході діє напруга (/", то небезпечними є завади, що мають
від'ємну полярність. Завадостійкість прийнято оцінювати максимально допус-
тимими величинами напруг відкриваючої і закриваючої завад Ко - Цю -U°i

uy збе Ше Ці напруги зображені на рисунку 2.27.


б й
сл

09
bly
09 Ор Лор т a

Рис. 2.27. Передавальна характеристика, що ілюструє величини завадостійкості

Порогові і напруги UngСН i Ор нан


визначають за точками С 7ї Р, в яких

виконується умова А, /ФИ/,, - 1. Ці точки називають точками одиничного


підсилення. Щоб оцінити завадостійкість, може бути використаний т. зв.
e за «0 0 gel 1 =U!
koeinient sapyoctiiixocti KP =U?/U, i K}=U!/U,, ae U, =U'-U".a
Для
підвищення завадостійкості необхідно зменшувати ширину області перемикан-
ня, рівну різниці US i Une? та збільшувати розмах сигналу (//. В ідеальному

випадку | виконуються | умови | (/9nop =U


=U! rogs U° =0,U'=E a тоді
Ko =K!=0,5.
Розділ 2. Основи електроніки. Головні функціональні елементи... 105

2.2.10. Використання біполярних транзисторів для побудови


температурних сенсорів . Комірка Bpoxa (Brokaw Cell)
Використовуючи температурну залежність спаду напруги на прямо
зміщеному р-п переході база емітер транзистора, яка описується рівнянням:

Use =(KT/q)In(1/1,)> (2.19)

де І - струм через р-п перехід, /; - зворотний струм насичення, можна створюва-


ти температурні сенсори. Однак безпосереднє застосування формули (2.19) для
точного вимірювання температури неможливе внаслідок двох причин: по-перше,
є значний розкид "початкового" прямого спаду напруги на переході, зумовлений
особливостями технології виготовлення; по-друге, суттєвий вклад у значення
Ong (7) температурної залежності /у.
Для виключення даних ефектів визначення температури проводять по
вимірюванні різниці напруг Д(/,, двох р-п переходів, а точніше, напруг база-
емітер двох інтегральних транзисторів з різною густиною струмів емітера. Для
цього використовують транзистори з різними площами емітерних переходів або
набір однакових транзисторів, з'єднаних паралельно.
Зокрема, якщо взяти М транзисторів, ідентичних першому (див.: рис. 2.28) і
припустити, що /с - загальний струм колектора, порівну розподілений між всіма
транзисторами, то нове значення напруги база-емітер визначатиметься
співвідношенням:

Uy =kT/qin(1./NIs)-

Жодна з цих ланок не використовується в ролі самостійного сенсора через


сильну температурну залежність струму Іс Однак різниця напруг Л(/,, оди-
ночного транзистора О; і транзистора О», утвореного М паралельно з'єднаними
такими ж транзисторами, при рівних струмах колектора пропорційна величині
абсолютної температури і не залежить від /5:

AUgy

(2.20)

MU or
106 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Te
один транзистор

Une

kT
Um oy
= Melts)
Рис. 2.28. Схеми з'єднань біполярних транзисторів та основні співвідношення для
біполярних термосенсорів

Електричне коло, яке описується приведеними співвідношеннями, відоме як


komipka bpoxa (Brokaw Cell) (див.: рис. 2.29).
У схемі напруга Л(/,; 2 Пр - 0, прикладена до резистора К2. Струм
емітера транзистора 02 рівний ДИ, / К2.. Приблизно цій же величині дорівнює
і колекторний струм 5 розглядуваного транзистора. Протікаючи через резистор
R, він створює на ньому спад напруги, рівний ЛО, - К/ К2. Отже, напруга на
інвертуючому виході ОП pisna U,,,=U,,—AU,,-R/R2. Напруга на
неінвертуючому виході рівна цій же величені з точністю до десятків мкВ.
Оскільки в колекторі ОЇ встановлено резистор, що має таке ж значення
номіналу К, то струми І та Б є рівними. Сумується їхнє проходження на
резисторі КІ. Спад напруги на ньому пропорційний абсолютній температурі
(РТАТУ) ізадається функцією:

Uppy = =a)
2R1(U,,—U

Опорна напруга, що виробляється коміркою (вахрслр, Знімається з бази ОЇ,


вона є сумою (КОТ) і Ортлт. Як і орд» Св(ОТ) також лінійно залежить від
абсолютної температури, але з її ростом спадає (називається комплементарна до
абсолютної температури СТАТ). Сума Отто і Остат при певних значеннях
відношення КІ/ К2 і М є незалежною від температури.
Розділ 2. Основи електроніки. Головні функціональні елементи... 107

Схема реалізована при побудові описаної комірки Брока, може бути вико-
ристана як базова для різних інтегральних температурних сенсорів, які фор-
мують на виході температурнозалежні струми або напруги.

U savpaap =1,205 B

Рис. 2.29. Класичний температурний сенсор (комірка Брока)

2.2.11. Генератори стабільного струму


Генератори стабільного струму (ГСС) застосовують для живлення
підсилювальних каскадів стабільним струмом, незалежним від навантаження та
температури. Найпростіший генератор СС складається з джерела живлення Кох
та послідовно під'єднаного до нього резистора К, опір якого у багато разів
перевищує опір навантаження Б; (див.рис.: 2.30, а).
108 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

В Une
Рис. 2.30. Схема найпростішого ГСС (а) Рис. 2.31. Визначення струму
та використання струмозадаючого навантаження
транзистора (б) в схемі (б)

Струм, який протікає через опір навантаження:

Tip = Ege |(Ry + R) = Ene /R- (2.21)

Диференціюючи (4.1) отримаємо:

Myf
Й, _ (2.22)
Отже, збільшуючи К, можна зменшити зміну струму навантаження Д/,, до
необхідної величини. Однак застосування подібної схеми недоцільне, оскільки
велика кількість потужності від джерела живлення використовується на
нагрівання струмозадаючого резистора К.
Більш раціональним є використання замість струмозадаючого резистора К
струмозадаючого транзистора МТ (див.грис. 2.31). Тут струм навантаження /,,
визначають за перетином навантажувальної лінії з вихідною характеристикою
транзистора. Якщо опір навантаження рівний К/,, то струм навантаження ви-
значають за точкою А; якщо ж опір навантаження збільшується до КК, То
струм навантаження визначають за точкою В. Відносна зміна струму в цьому
випадку буде рівна:

= AR hyp

Найбільш широке використання отримав ГСС, названий "відбивачем", або


"дзеркалом" струму (див.: рис. 2.32).
Розділ 2. Основи електроніки. Головні функціональні елементи... 109

Рис.2.32. ГСС типу "дзеркало" струму

У цій схемі навантаження вмикається у коло колектора струмозадаючого ре-


зистора УТ», режим роботи якого задається нелінійним подільником напруги, що
складається з транзистора МТ) (у діодному режимі) і резисторів Ко і К,. Нехтую-
чи струмом бази, можна вважати, що І, с Ї, і І, є І,. Бази транзисторів

з'єднані разом та володіють однаковим потенціалом, рівним Бо. Для лівої гілки
E, =1,R, +U;, ana mpasoi E, = 1,R, + U3. Owe, ,R, +U, =1,R, +U}.
Тут Ці У, - прямі напруги на емітерних переходах VT, i УТ». Транзистори
створюють в єдиному технологічному процесі, тому с = U; - тобто LR, =1, Ву

Звідки отримаємо: І; =I, ЗУ .


2
Отже, струм 5 пропорційним струму /, тобто струм /» дзеркально
відображає струм /. Якщо К» - Кі, то 1; 7 1, якщо 8,2 В, то Б 2 П та навпаки.
Змінюючи Б; і В», можна встановлювати необхідну величину стабільного стру-
му. За В; «З Во струм у лівій гілці J, = СЕ а Ко У Цей струм слабко залежить від
температури, тому струм 1, що живить навантаження, яким є підсилювальний
каскад, практично не залежить від температури.

2.2.12. Схеми зсуву потенціалу

Особливостями АІМС є те, що напруга з виходу попереднього каскаду


передається на вхід наступного без розділювальних конденсаторів. Водночас на
вхід наступного каскаду надходять одночасно змінна та постійна напруги. З ме-
тою отримання необхідного режиму роботи наступного каскаду за постійним
110 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

струмом, необхідно зазвичай знизити постійну напругу. Цього досягають за до-


помогою схеми зсуву потенціалу. Типові схеми з такою функцією, що
реалізовуються в АІМС зображені на рисунку 2.33.
+ Ease

Фк
1 1

а б
Рис. 2.33. Схеми зсуву потенціалу на біполярному
транзисторі (а) та стабілітроні (б)

Схема "а" містить транзистор ГСС /, та резистор Ко. На вході схеми діють
напруги (/, =U, ex. +U,,,,sin@f,
exam на виході — U,вих =U... вихо +U.. вист sinwt.
Постійну напругу Ha виході схеми визначають за співвідношенням:
Пика
= Yoo
о ~ LoRo-
Змінюючи Во, отримують необхідну постійну напругу О хо» ЩО ВИЗНАЧАЄ
режим роботи чергового каскаду. Змінна напруга на виході схеми рівна:

ба т Має т о
R+R
TytTU. вт iU ux. - амплітуди вхідної і вихідної напруг; В; - внутрішній опір
ГСС за змінним струмом. Оскільки В/22Ко, напруга Uвихт =U. т
Для виконання функції зсуву потенціалів в АТМС застосовують також схему,
зображену Ha рисунку 2.33,6. Bona містить стабілітрон з низьким
диференціальним опором та резистор Ко. Для цієї схеми справедливі
співвідношення:

=U,, А
В
и заявив.

За умови К-«Ко виконується: (/ =U,


PS i

Розділ 2. Основи електроніки. Головні функціональні елементи... 111

2.2.13. Підсилювальні каскади з динамічним навантаженням


Відомо, що коефіцієнт підсилення каскаду на біполярному транзисторі
пропорційний опору навантаження Ду;

Ky = Core lhe Ru
Однак збільшення В, змінює режим роботи транзистора за постійним стру-
мом, тому можливості збільшення опору дуже обмежені. Зазвичай величину Ду
вибирають так, щоб постійна напруга (го, була рівна 1/2 від напруги джерела
живлення Ех. Разом з тим виконується умова: В, =(E,., Ug eg аз
Тут Іо З ОЇ ог постійна складова струму колектора. Враховуючи, що
постійну складову струму емітера визначають за співвідношенням Іо Я Ср Тр,
де те - опір емітерного переходу, отримаємо:

Ry = Ente ж /20U,.
Ураховуючи, що К,, - -аВ,, хр», МАтИМемо коефіцієнт підсилення:

K, =~E
qe [Uy.
За Boy = 10B i Ur= 0,026 B Ky = 200.

Рис. 2.34. Схема підсилювального каскаду з навантажувальним транзистором


в колі колектора (а); вибір режиму роботи основного транзистора за постійним струмом з
використанням вихідних характеристик транзисторів УТіта УТ» (6)

Підвищити коефіцієнт підсилення каскаду можна, включивши в коло колек-


тора елемент, що володіє малим опором за постійним струмом і великим- за
змінним. Таку властивість має транзистор, опір якого постійному струму в ак-
тивному режимі роботи рівний К,., з коро/ Їко» 2 Опір змінному струму -
Во ЗЛО АГ. Схема підсилювального каскалу, в якому в коло колектора
ввімкнений навантажувальний транзистор р-л-р-типу, зображена на рисун-
ку 2.34, а.
112 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Режим роботи основного транзистора за постійним струмом визначають


шляхом побудови на полі вихідних характеристик активного транзистора
вихідної характеристики навантажувального транзистора (див.: рис. 2.34, б), пе-
ретин якої з вихідною характеристикою, що відповідає струму 14)Бо» Задає зна-
чення постійного струму ко» | постійної напруги
1") Uy Бог

Коефіцієнт підсилення в цьому випадку рівний:

Kah
зорі
[hie[pO he,
pe

Застосування динамічного навантаження дає змогу збільшити коефіцієнт


підсилення напруги приблизно на один порядок.

2.2.14. Каскадні схеми

Каскадні схеми відрізняються від звичайних підсилювальних схем тим,


що підсилювальні каскади в них увімкнені послідовно за постійним стру-
мом. Най-більш поширена комбінація, в якій перший каскад увімкнений за
схемою зі СЕ, а другий - за схемою СБ (див. рис. 2.35).

1
Рис. 2.35. Каскадна схема з комбінацією ввімкнення
каскадів за схемою зі СЕ та СБ

Навантаженням першого каскаду є вхідний опір другого, рівний Ліїб,


тому:

ко сне з сне Й a <1,


Ine Ig Те +1 hye чі

Тобто перший каскад не дає підсилення за напругою, тому вхідна ємність


розглядуваної каскадної схеми, яку визначають 3a співвідношенням
Сак 7 Су (Ку як Т)С,,, , зростає незначно.
Розділ 2. Основи електроніки. Головні функціональні елементи... 113

Другий каскад, увімкнений за схемою СБ, підсилює:

- Pye |More bs 1) Nye


em j Re
Ме (є 1) Inne
Результуючий коефіцієнт підсилення:

Отже, каскадна схема так само підсилює, як і звичайний каскад за схемою


СЕ, але водночас вхідна ємність каскаду не зростає.

2.2.15. Вихідні каскади


Каскади цього типу працюють переважно на низькоомне навантаження, то-
му в ролі вихідних каскадів зазвичай використовують емітерні повторювачі
(схема СК), які володіють низьким вихідним опором. Вихідні каскади, забезпе-
чуючи необхідну потужність у зовнішньому навантаженні, мають володіти ви-
соким к.к.д. що досягається шляхом застосування двотактних схем (лив:
рис. 2.36, а).

[ootBane,

ут, С
Ny

т
Uex ' См
З. і
а б
Рис. 2.36. Двотактна схема вихідного каскаду (а); вхідна та вихідна напруги (б)

У цьому випадку через транзистор МТ, проходить струм у додатні півперіоди


вхідної напруги, а через транзистор УТ! - від'ємні. Внаслідок того, що помітний
струм транзистора проявляється при (/,,2 0,7 В, струм через навантаження
впродовж деяких проміжків часу не проходить, тому вихідна напруга при
проходженні через нуль має сходинки (див:.рис. 2.36, б), тобто вихідна напруга
не повторює вхідну.
Цей недолік усувають шляхом увімкнення між базами транзисторів
зміщувальних діодів (див.: рис. 2.37, а)зсуваючи управляючі характеристики
114 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

транзисторів на 0,7 В. У результаті залежність ,, - /(П


) отримуют
/,, ь
лінійною (див. рис. 2.37, б).
0+ Fie

a 6
Рис. 2.37. Вихідні каскади зі зміщувальними діодами (а); залежність для каскаду

б ГО, ) ©
Підвищення к.к.д. двотактної схеми зумовлене тим, що при 0, 7 0 струм від
джерела живлення не є необхідним. Якщо ж на базу транзистора подана
синусоїдальна напруга, то струм крізь транзистор протікає лише впродовж по-
ловини періоду. Разом з тим імпульси струму амплітудою Ір, можна розкласти в
ряд Фур'є. У припущенні (/,7 Еаж розраховують потужність, яка виділяється у
навантаженні:

1 1
вих 7» eum" Em -о ed

Розкладання імпульсів струму в ряд Фур'є дає змогу визначити постійну


складову струму, що споживається від джерела: / - =
Em*
Оскільки в схемі працюють два транзистори, споживаний струм необхідно
подвоїти. Отож, від джерела споживається потужність:

2
В МЕТ.Em oe

Зважаючи на сказане, к.к.д. двотактної схеми: т Fog mu тобто рівний 7895.


В 4
Транзистори типів п-р-п та р-п-р, що становлять собою двотактну схему,
мають володіти однаковими параметрами.
Розділ 2. Основи електроніки. Головні функціональні елементи... 115

2.2.16. Диференціальні каскади


Диференціальний каскад (ДК) за своєю сутністю є мостовою схемою, в пле-
чах якої ввімкнені ідентичні елементи (див.: рис. 2.38).
В АІМС унаслідок того, що всі еле- ою
менти створені в єдиному технологічну
процесі, забезпечується практична іден-
тичність резисторів і транзисторів. ДК
живиться від двополярного джерела
живлення Важ з заземленою середньою

точкою, що дає змогу подавати сигнали

безпосередньо на бази транзисторів.


Якщо входи транзисторів заземлені, то 2
струми транзисторів однакові, і вна- Рис. 2.38. Диференціальний каскад,
слідок ідентичності резисторів Ак і Кко виконаний замостовоютехемою,
напруга на диференціальному виході (о Між колекторами дорівнюватиме ну-
лю. Якщо на входи схеми подані сигнали однакові за величиною і фазою
(синфазні), то струми обох транзисторів будуть змінюватися на однакову вели-
чину. Так само будуть змінюватися напруги (ух! Мажд і далі
Ї Свис, а напруга
буде зберігатися рівною нулю. Якщо на входи схеми подані однакові величи-
ною, але зсунуті за фазою на 1807, сигнали (диференціальні), то зростання стру-
му в одному плечі буде супроводжуватися зменшенням струму в протилежному,
внаслідок чого виникне напруга на диференціальному виході.
Отже, схема в ідеальному випадку реагує на диференціальний сигнал і не
реагує на синфазний. Зміна температури, паразитні наведення, флуктуації
параметрів транзисторів можна розглядати як синфазні вхідні впливи. Отже, ДК
володіє дуже високою стійкістю роботи і малочутливий до перешкод.

2.3. Польова електроніка

2.3.1. Польові транзистори: управління струмом та


класифікація

Польовим, або уніполярним, транзистором називають трьохелектродний


напівпровідниковий прилад, в якому струм створюють основні носії заряду під
дією поздовжнього електричного поля, а управління величиною струму
відбувається поперечним електричним полем, створеним напругою керуючого
електрода. Обидві назви цих транзисторів доволі точно відображають їхні
головні особливості: проходження струму в каналі зумовлене тільки одним ти-
пом зарядів, а управління струмом каналу здійснюється за допомогою електрич-
ного поля.
116 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Усі польові транзистори за своїми конструктивними особливостями можна


розділити на дві групи:
1) польові транзистори з керованими р-п переходами - (Лтстоп Біеіа Егсі
Ткапзімог) (ТЕНТ), в яких ізоляція каналу від джерела керуючої напруги
забезпечується р-п-переходом, зміщеним у зворотному напрямку (канальні, або
уніполярні транзистори);
2) польові транзистори з ізольованим затвором -- (Ме! -Охіде (Insulator)—
Зетісопанстог. Кісіа Ебесі Ткапзізіог) (МОЗЕЕТ, МІЗЕЕТ), де ізоляція забез-
печується діелектричними властивостями двоокису кремнію (найчастіше), що
відділяє канал струму від електрода затвора (МДН- або МОН-транзистори).
Відомі два різновиди таких транзисторів:
а) з вбудованим (створеним технологічно) каналом;
б) з індукованим (зовнішнім полем) каналом інверсного типу.

2.3.2. Будова, фізичні основи роботи та характеристики


польового транзистора з керованим р-п-переходом
Польовий транзистор з керованим р-п-переходом (ПТКП) -- це польовий
транзистор, керування потоком основних носіїв у якому відбувається за допомо-
тою електронно-діркового переходу, зміщеного в зворотному напрямку. Таким
електричним переходом, за допомогою якого відбувається керування потоком
основних носіїв заряду в польовому транзисторі, може бути р-п-перехід,
гетероперехід або випрямляючий перехід Шоткі. Польові транзистори з керова-
ним гетеропереходом виготовляють здебільшого методом епітаксії сполук типу
А"ЇВУ на кристали арсеніду галію. Однак найпоширеніші сьогодні польові тран-
зистори з керованим р-п-переходом у кристалах кремнію. Отож, розглянемо
польові транзистори з керованим р-п-переходом.
Структура і принцип дії. Принцип роботи польового транзистора з керо-
ваним переходом трунтується на модуляції площі поперечного перерізу, а, отже,
й опору провідного каналу в об'ємі напівпровідника під дією ефекту поля.
Транзистор цього типу складається з напівпровідникового кристала, що
володіє омічними контактами на кінцях, і р-п-переходу на боковій грані (див.:
рис. 2.39). Цей р-п-перехід називають затвором, він електрично зміщений у зво-
ротному напрямку. Оскільки р-п-перехід несиметричний (р, пи), область його
об'ємного заряду розміщена здебільшого в л-напівпровіднику. Струм між
омічними контактами протікає по каналу, що залишається між областю
об'ємного заряду р-п-переходу і протилежною гранню бруска. Електрод польо-
вого транзистора, через який у провідний канал входять носії заряду, називають
витоком (В), а електрод, через який з каналу виходять носії заряду, називають
стоком (С). Електрод польового транзистора, через який подають
Розділ 2. Основи електроніки. Головні функціональні елементи... 117

підсилювальний сигнал і за допо- Затвор ?"


могою якого управляють потоком

носіїв, називають затвором (З).


Зі зміною зворотної напруги
на керуючому р-п-переході зміню-
ється ширина області збідненого
просторового шару, а, отже, й по-
перечний переріз області, в межах
якої проходить керований потік | Витк
головних носіїв заряду. Область
напівпровідника, в якій потік го-
ловних носіїв заряду керований
таким способом, називають про-
відним каналом. У зв'язку з не- Затвор
значною величиною ЗОВНіШніх || Рис. 2.39. Схематичне зображення польового
струмів, потужність, необхідна транзистора
для керування струмом стоку з керованим р-п-переходом
(спо-живається від джерела сигналу в колі затвор), виявляється надзвичайно ма-
лою. Тому польовий транзистор може підсилити електричні сигнали як за
потужністю, так і за струмом і напругою.
Провідний канал / може мати електропровідність | як п-, так і
р-типу, тому розрізняють польові транзистори з п- та р-каналами. Всі полярності
напруг зміщення, що подаються на електроди польових транзисторів з п- та р-
каналами, протилежні.
Керування струмом стоку, тобто струмом від зовнішнього щодо потужного
джерела живлення в колі навантаження, відбувається зі зміною зовнішньої на-
пруги на р-п-переході затвора (або на двох р-п-переходах одночасно).
Від біполярного транзистора, польовий транзистор відрізняється, по-перше,
принципом дії: в біполярному транзисторі керування вихідним сигналом
здійснюється вхідним струмом, а в польовому транзисторі - вхідною напругою
або електричним полем. По-друге, польові транзистори мають значно більший
вхідний опір, що пов'язано зі зворотним зміщенням р-п-переходу затвора. По-
гретє, польові транзистори можуть мати низький рівень шуму (передусім на
низьких частотах), оскільки в польових транзисторах не використовують явище
інжекції неосновних носіїв заряду, а канал польового транзистора,
відокремлений від поверхні напівпровідникового кристала. Процеси реком-
бінації носіїв у р-п-переході і в базі біполярного транзистора, а також
генераційно-рекомбінаційні процеси на поверхні кристала напівпровідника су-
проводжуються виникненням низькочастотних шумів.
118 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Найважливішими характеристиками для польових транзисторів цього типу є


сім'я вихідних статичних характеристик та сім'я характеристик передавання
(вхідних). Вихідні статичні характеристики відображають залежність струму
стоку від напруги стоку щодо витоку при різних значеннях напруги на затворі,
тобто i, = ЛО а . Ha рисунку 2.40, а зображена сім'я вихідних характе-

ристик за деякого значення (св (со:


Te Use=0

Doo Uce Usg Une US U'sp


a 6
Рис. 2.40. Сім'я вихідних статичних характеристик (а) та перехідна (б)
вольт-амперна характеристики ПТКП

Перша частина вихідної характеристики, яку називають крутою частиною,


сублінійна, тобто струм стоку зростає повільно зі зростанням напруги на стоку.
Це пояснюють збільшенням товщини р-п-переходу затвора поблизу стоку,
оскільки зі збільшенням напруги на стоку зростає абсолютне значення зворотної
напруги на р-п-переході затвора. Струм стоку, проходячи по каналу, створює
його нееквіпотенціальність. Найбільшу товщину р-п-переходу і, отже, наймен-
ший поперечний переріз каналу, отримують з боку стоку. Другою фізичною
причиною, що зумовлює сублінійність вихідної характеристики, є зменшення
рухливості носіїв заряду в каналі при збільшенні в ньому напруженості елек-
тричного поля.
У разі деякої напруги на стоку св нас - Напруги насичення -перекривається
канал через збільшення товщини р-п-переходу затвора. Струм стоку при даль-
шому збільшенні напруги на стоку майже не зростає.
При напрузі між затвором і витоком, рівній нулю, і при напрузі на стоку,
рівній або перевищуючій напругу насичення, струм стоку називають початко-
Розділ 2. Основи електроніки. Головні функціональні елементи... 119

вим струмом стоку Іс. Частину характеристики, що відповідає насиченню


струму стоку, називають пологою частиною.

Якщо збільшувати напругу на стоку, збільшуватиметься довжина перекритої


частини каналу і зросте його статичний опір. Якщо б довжина перекритої час-
тини каналу збільшувалася пропорційно до напруги на стоку, то струм стоку не
змінювався б навіть при напругах на стоку, що перевищують напругу насичення.
Однак довжина перекритої частини збільшується внаслідок товщини р-п-
переходу зі зростанням напруги на стоку, а товщина р-п-переходу пропорційна
або кореню квадратному, або кореню кубічному з напруги. Тому в пологій
частині характеристики спостерігається деяке збільшення струму стану при
збільшенні напруги на стоку, а товщина р-п-переходу пропорційна або кореню
квадратному, або кореню кубічному з напруги.
З прикладанням до стоку додатної щодо стоку напруги по каналу пройде
струм і зворотна напруга на переході змінюватиметься вздовж осі Х, зростаючи
у напрямку до стоку. Тому товщина збідненого шару зростатиме порівняно з
рівноважним значенням. а товщина каналу - зменшуватиметься в напрямку сто-
ку і при достатньо великій напрузі (св відбудеться відсікання каналу.
Загалом відсікання каналу відбувається за рахунок зростання до значення
1-0) іс |У результаті цього процесу та утворення "горловини" струм стоку

насичується. Коли | 0/5 | Я о 2-0 м. | , "горловина" зміщується у напрямку


до стоку, а довжина каналу зменшується. Таким шляхом можна визначити на-
пругу насичення:

ews. С нас = Ven =| U5Зіsine.ле. || Up |


У разі додатної полярності на затворі він інжектуватиме в область каналу
неосновні носі аряду, тому польовий транзистор працюватиме як біполярний у
режимі подвійної інжекці
Якщо подавати на затвор напругу такої полярності щодо витоку, яка
відповідає зворотному зміщенню р-п-переходу затвора, і збільшувати цю напру-
гу за абсолютним значенням, зменшуватиметься початковий поперечний переріз
каналу. Тому початкові ділянки вихідних статичних характеристик при напругах
на затворі, відмінних від нуля, мають інший нахил, який відповідає більшим по-
чатковим статичним опорам каналу.

Розглянемо закономірності формування перехідної характеристики (див.: рис.


2.40, б). Зазвичай вона відповідає режиму насичення, в якому струм Іс слабко за-
лежить від напруги (св. Фізично зміна струму стоку /с від напруги (зв зумовле-
на зміною товщини каналу: чим більша від'ємна напруга (зв, тим тонший канал,
менша його провідність i, отже, струм. Залежність струму Іс від напруги (зв У
режимі насичення апроксимують квадратичною залежністю:
120 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

уз |, (2.23)
Перехідна і вихідні характеристики взаємопов'язані. Володіючи сім'єю
вихідних характеристик, нескладно побудувати перехідну характеристику, пере-
носячи відповідні точки з однієї системи координат в іншу, як це про-
ілюстровано на рисунку 2.40.

2.3.3. Диференціальні параметри


Струм стоку залежить від напруги на затворі (св. Отож, вираз для повного
диференціала струму стоку можна подати як:
ді, ді,
dg=
с dUy, +——dUy.
ди. 3B дО,
Ucn

У цьому виразі частинні похідні, що визначають приріст струму при зміні


напруг, можна розглядати як диференціальні параметри транзистора.
ді,
Kpymicmp S = характеризує ступінь керуючої дії затвора. Її вимірюють
3B

в мА/В і визначають за перехідною характеристикою, як проілюстровано на ри-


сунку 2.40, б, замінюючи безмежно малі прирости скінченними, тобто
Al,
S=—L.
AU3,
Теоретично крутість можна визначити:

— Us --2| pL . (2.24)
че ЗК ие

Очевидно, що крутість обернено пропорційна опору каналу, який рівний

R,, = рі. (2.25)


zh,

Отже, щоб отримати високу крутість, необхідно володіти каналом з якнай-


меншою довжиною І, і великою шириною 2. Збільшувати товщину каналу Й і
зменшувати питомий опір недоцільно, оскільки це спричиняє збільшення
від'ємної порогової наруги. Практично ширина каналу в сотні тисяч разів
перевищує його довжину.
Окрім того, крутість максимальна при малих (зв. Однак такий режим роботи
невигідний з енергетичної точки зору, оскільки через транзистор протікає вели-
Розділ 2. Основи електроніки. Головні функціональні елементи... 121

кий струм Іс і розсіюється велика потужність. Отож, зазвичай обирають


U, 20,75xU
4.

Вихідна провідність С, з с характеризує вплив напруги стоку на струм


cB
стоку. Її визначають за вихідними характеристиками (див. рис. 2.40, а).
Ale.
замінюючи безмежно малі прирости скінченними, тобто С, - - де ДІ, -
св
зміна струму (вертикальний катет характеристичного трикутника), спричинена
зміною напруги ДО (горизонтальний катет характеристичного трикутника).
Величина вихідної провідності дуже мала й зумовлена зміною довжини каналу
при зміні напруги стоку. Чим коротший канал, тим більша відносна зміна його
довжини при одному і тому ж прирості А(/с;, а, отже, тим більша провідність
a 1 -
С. Часто замість параметра С; застосовують обернену величину Б, че Цей

параметр називають внутрішнім опором транзистора.


Крім розглянутих параметрів, використовують параметр, що характеризує
порівняльну дію напруг стоку і затвора на струм стоку. Цей параметр називають
коефіцієнтом підсилення и. Він рівний відношенню приростів напруг стоку і
затвора, що зумовлюють однакові за величиною і протилежні за напрямком
прирости струму стоку:

ul оон а 2.26
WU , є )
Знак "мінус" у цій формулі враховує той факт, що додатному приросту «св,
який збільшує струм на величину аЇс, відповідає від'ємний приріст «Й/зв, що
зменшує струм на ту ж саму величину аЇс. Завдяки цьому забезпечується
постійність струму Іс.
Параметр ц пов'язаний з параметрами 5 і В. Повний диференціал струму стоку,
виражений через диференціальні параметри, рівний:
1
dl, = SAUyy, + Wey.

Якщо аЇс-0, то 540 М =

Звідки отримаємо:
122 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Урахувавши (2. 26), матимемо:

SR=p.

Співвідношення пов'язує між собою диференціальні параметри польового


транзистора.

Згідно з виразом (2.25), для підвищення крутості характеристики 5


необхідно збільшувати ширину каналу 2. Та при збільшенні ширини каналу не-
допустимо зростає напруга відсікання і напруга насичення, яка відповідає вход-
женню транзистора в режим насичення. Оскільки режим насичення є основним
режимом роботи польового транзистора, то напруга відсікання має бути малою З
огляду на сказане, ширину каналу намагаються зробити невеликою, незважаючи
на деяке зменшення крутості характеристики.

2.3.4. Польові транзистори з ізольованим затвором


Польовий транзистор, що має один або декілька електрично ізольованих від
провідного каналу затворів, називають польовим транзистором з ізольованим
затвором (ПТІЗ).
Структуру польового транзистора з ізольованим затвором зображено на ри-
сунку 2.41. У кристалі напівпровідника з високим питомим опором, який нази-
вають підкладкою, створені дві сильнолеговані області з протилежним типом
електропровідності, сформовані методом іонного легування. На ці області
нанесені металічні електроди - витік і стік. Витік зазвичай з'єднують з
підкладкою, Відстань між сильнолегованими областями витоку і стоку може бу-
ти меншою, ніж декілька мікрометрів. Поверхня кристала напівпровідника між
витоком і стоком покрита тонким (порядку 0,1 мкм) шаром діелектрика. На шар
діелектрика нанесений металічний електрод - затвор. Як затвор сучасна
мікроелектроніка використовує високолегований полікремній, а також деякі
комбінації полікремнію та силіцидів. Отримана структура складається з шарів
металу, діелектрика і напівпровідника, тобто це МДН-структура.
Польовий транзистор з ізольованим затвором, у якому як ізоляційний шар
між металічним затвором і провідним каналом використовують діелектрик, на-
зивають польовим транзистором типу метал-діелектрик-напівпровідник, або
МДН-транзистором.
Випрямляючі електричні переходи під витоком і стоком можуть бути виго-
товлені не тільки у вигляді р-п-переходів, але й у вигляді випрямляючих перехо-
дів Шоткі, тобто шляхом нанесення металічних електродів витоку і стоку безпо-
середньо на підкладку. Використання випрямляючих переходів Шоткі під вито-
ком і стоком може забезпечити певні переваги в технології виготовлення таких
транзисторів, а також покращити їхні характеристики.
Розділ 2. Основи електроніки. Головні функціональні елементи... 123

Вихідним напівпровідником для польових транзисторів з ізольованим затво-


ром є кремній. Тому як діелектрик під затвором використовують шар діоксиду
кремнію 510», вирощений на поверхні кристала кремнію шляхом високотемпе-
ратурного окиснення.

Жремній ретипу

Рис. 2. 41. Структура польового транзистора з ізольованим затвором

Польовий транзистор з ізольованим затвором, в якому як ізоляційний шар


між кожним металічним затвором і провідним каналом використано оксид
напівпровідника, називають польовим транзистором типу метал-оксид-напів-
провідник, або МОН-транзистором. Однак для цих транзисторів частіше вико-
ристовують узагальнений термін - МДН-транзистори.
Головними параметрами структури є довжина каналу 1 - відстань між
металургічними межами и -р-переходів стоку і витоку, ширина каналу 7, тов-
щина шару ізолятора а, глибина переходів гу і рівень легування підкладки М,. В
кремнієвих інтегральних схемах окремий МДН-транзистор оточений з метою
ізоляції областю з товстим шаром оксиду, який називають польовим, або паси-
вуючим (на відміну від тонкого шару підзатворного оксиду).
124 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Розглядаючи роботу приладу, всі напруги відраховуватимемо від потенціалу


стоку, тобто вважатимемо його заземленим. Коли напруги на затворі немає, елек-
трична ланка стік-витік діє як два п -р-переходи, під'єднані назустріч один до
одного. Водночас у ній може протікати малий струм, рівний струму витоку зво-
ротно-зміщеного переходу. Якщо ж до затвора прикладене достатньо велике до-

датне зміщення, то поблизу межі з діелектриком утво-рюється інверсний шар,


або канал, який з'єднує п'-області стоку і витоку. Провідність цього інверсного
каналу модулюється зі зміною напруги на затворі. Напруга зворотного зміщення
підкладки також впливає на провідність інверсного каналу.

а 6
Рис. 2.42. Схематична будова МДН-транзисторів із вбудованим (а)
та індукованим або інверсним (б) каналами

Відомі два різновиди МДН-транзисторів: з вбудованим каналом і з інду-


кованим каналом (див.: рис. 2.42). У транзисторі з вбудованим каналом струм
через канал протікає при поданні на стік додатної напруги при нульовій напрузі
на затворі. При поданні на затвор від'ємної напруги виникає вертикальне елек-
тричне поле між підкладкою і затвором, яке виштовхує електрони з каналу, в
результаті чого провідність каналу зменшується. При додатній напрузі канал
збагачується електронами і його провідність зростає.
Модулюється опір провідного каналу МДН-транзистора внаслідок зміни
напруги на затворі додатної або від'ємної полярності. МДН-транзистор з вбудо-
ваним каналом може працювати у двох режимах: збагачення та збіднення каналу
носіями заряду. Ця особливість МДН-транзисторів з вбудованим каналом
відображається і на зміщенні вихідних статичних характеристик, коли
змінюється напруга на затворі та її полярність (див.: рис. 2.43).
'МДН-транзистор із вбудованим каналом може працювати при будь-якій
полярності напруги на затворі. В разі додатної напруги область каналу
збагачується електронами, внаслідок чого його опір зменшується, а при
від'ємній - навпаки. Відповідно змінюється струм між витоком і стоком.
У транзисторі з індукованим каналом при поданні на стік додатної напруги і
за нульової напруги на затворі провідного каналу між джерелом і стоком немає.
Розділ 2. Основи електроніки. Головні функціональні елементи... 125

Якщо подавати на затвор додатну напругу, | Іс


виникає поперечне електричне поле, спря-
моване перпендикулярно |до поверхні
Режим
напівпровідника, яке виштовхує з припо-
збагачення
верхневого шару дірки та притягує слек-
трони.
Збіднена область поширюється від
поверхні в підкладку на глибину Д, вели- =
cB
чина якої рівна:
у Рис. 2.43. Вихідні статичні характери-
A [2e(¥, ум, ре. стики МДН-транзистора з вбудованим
каналом р-типу
Якщо Й), починає перевищувати (Лор ТО глибина збідненої області
насичується при

Aon =[2¢(20)/4N, 2
і електрони притягуються до поверхні, утворюючи інверсійний шар. Вважають,
що він є, по суті, прямокутною областю, рівномірно заповненою носіями на гли-
бину, рівну приблизно 100 А. Фактично концентрація носіїв в інверсійному шарі
спадає приблизно експоненціально в напрямку від поверхні вглиб. Носії в
інверсній області рухомі, утворюють поблизу поверхні провідний шар, що
з'єднує витік зі стоком. У разі подальшого збільшення (/,, потенціал на поверхні
лише повільно збільшується щодо 29,, а зростаюча напруга затвора спадає на
окислі. Отже, напруга затвора сприяє створенню провідного каналу між витоком
і стоком.
Напруга на стоку забезпечує потік електронів від витоку до стоку. При
Озв? Мор і Осве0 (підпороговий режим) у кан і протікає невеликий, але
потенціально важливий струм. Оскільки область між витоком і стоком збіднена,
то струм, що протікає, зумовлений дифузією. Підпороговий струм впливає на
швидкість, з якою може відбуватися відкривання або закривання приладу, а та-
кож на величину потужності, що розсіюється в очікувальному режимі. Якщо (Зв
перевищуватиме Лор» 4 (сь підтримуватиметься на рівні, меншому, ніж ((/,-
Опор)» то утворений інверсний шар створюватиме можливість протікання струму,
причому структура поводить себе як резистор, керований напругою. Якщо й далі
збільшувати (. то збіднена область поблизу стоку розширюється, оскільки вона
перебуває під зворотним зміщенням, а інверсна область навпаки -зменшується.
Збільшення напруги стоку зумовлює додаткове зменшення глибини інверсного
шару.В результаті цього спостерігається відхилення від лінійної залежності між
струмом каналу і напругою стоку. Збільшення (з зумовлює цілковите збіднення
126 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

інверсної області поблизу стокового кінця. Результатом є виникнення стану,


який називають відсіканням. У режимі відсікання збіднена область наявна між
кінцем інверсійної області і переходом стоку. Напругу стану, що зумовлює ви-
никнення відсікання, називають напругою насичення ((/в нас). При збільшенні
Осв до значень, що перевищують (/щ нас» Область відсікання розширюється в на-
прямку стоку. При напругах, менших КЛ, пс» Спад напруги вздовж каналу при-
близно лінійним. Однак після того, як напруга зміщення починає переважати (/.,
нас ДОДаткОВе збільшення напруги падає на область між точкою відсікання та
витоком. Отож, струм, який відзначається спадом напруги між точкою
відсікання і витоком, залишатиметься приблизно постійним.
Тонкий шар товщиною Д називають збідненим рухомими носіями заряду: в
ньому переважають від'ємні заряди акцепторів. Чим більша напруга (зв, тим
товстіший збіднений шар. При деякій напрузі (зв (ор, названій пороговою на-
пругою, концентрація електронів п, на поверхні напівпровідника стає рівною
концентрації дірок р, в об'ємі напівпровідника. При напрузі U3—>Unop
концентрація електронів п, на поверхні виявляється більшою, ніж концентрація
дірок в об'ємі напівпровідника: на поверхні утворюється (індукується) канал
товщиною «| з електронною провідністю, відокремлений від підкладки
збідненим шаром. Змінюючи напругу (зв, можна змінювати товщину каналу «Її,
а, отже, і його провідність.
У МДН-транзисторах з вбудованим каналом (див.: рис. 2.42,а) поблизу
поверхні напівпровідника під затвором при нульовій напрузі на затворі щодо
витоку є інверсний шар - канал, який з'єднує витік зі стоком.
У МДнН-транзисторах з індукованим каналом (див.грис. 2.42, б) провідного
каналу між сильнолегованими областями стоку і витоку немає, отож, помітний
струм стоку виникає тільки при певній полярності і при певному значенні на-
пруги на затворі щодо витоку, яку називають пороговою напругою ((Лв пор). При
від'ємному потенціалі на затворі (для структури, зображеної на рис. 2.42, а)
внаслідок проникнення електричного поля через діслектричний шар у
напівпровідник при малих напругах на затворі (які менші, ніж (Зв пор) пОБлИЗУ
поверхні напівпровідника під затвором виникає збіднений основними носіями
заряду шар і область об'ємного заряду, яка складається з іонізованих неском-
пенсованих домішкових атомів. При напругах на затворі, які перевищують поро-
TOBE значення (Лв пор» поблизу поверхні напівпровідника під затвором виникає
інверсний шар, який і є провідним каналом між витоком і стоком. Зі зміною на-
пруги на затворі змінюється концентрація носіїв заряду в провідному каналі, а
також товщина або поперечний переріз провідного каналу, тобто відбувається
модуляція опору провідного каналу. Основною причиною модуляції опору
провідного каналу в МДН-транзисторах з індукованим каналом є зміна
концентрації носіїв заряду в провідному каналі.
Розділ 2. Основи електроніки. Головні функціональні елементи... 127

Зі зміною опору провідного каналу змінюється ї струм стоку (схема


під'єднання МДН-транзистора аналогічна до схеми під'єднання польового тран-
зистора з керуючим переходом, яку зображено на рисунку 2.39. де полярність
джерел живлення залежить від типу електропровідності провідного каналу). Так
відбувається управління струмом стоку в МДН-транзисторі з індукованим кана-
лом.
Оскільки затвор відокремлений від підкладки діслектричним шаром, у колі
затвора тече надзвичайно малий струм. Тому малою є і потужність. яка
споживається від джерела сигналу в колі затвора і яка необхідна для керування
великим струмом стоку. Отже, МДН-транзистор з індукованим каналом може
підсилювати електричні сигнали за напругою і потужністю.
Зображені на рисунку 2.40 структури польових транзисторів з ізольованим
затвором мають підкладку з електропровідністю р-типу. Тому сильнолеговані
області під витоком і стоком, а також індукований і вбудований канали мають
електропровідність п-типу. Якщо ж аналогічні транзистори створені на
підкладці з електропровідністю | п-типу, то канал у | них | матиме
електропровідність р-типу.
На рисунку 2.44,a зображено сім'ю вихідних характеристик МДН-
транзистора з індукованим каналом для різних значень напруги (/зв.Як бачимо,
за малих значень напруги (/зв, коли канал не перекритий, струм лінійно наростає
при збільшенні (св. Дальше зростання (., зумовлює звуження каналу і ріст
струму сповільнюється. При напрузі (ру Пор канал перекривається і тран-
зистор переходить у режим насичення. У разі подальшого зростання (св канал
скорочується. Механізм проходження струму через перекритий канал
аналогічний, як і в транзисторі з керованим р-п-переходом.
Іс Іс
Us'p USB

пор
а б
Рис. 2.44. Сім'я вихідних (а) та перехідна (б) характеристики
МДН-транзистора з індукованим каналом
128 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

На рисунку 2.44,б зображена перехідна характеристика МДН-транзистора,


що відповідає деякій постійній напрузі (о. При (зв Мор каналу між витоком i
стоком немає, тому струм стоку практично рівний нулю.
При (зв? Мор між ви-
током і стоком канал є, товщина його збільшується
при зростанні Озв, що й
зумовлює зростання струму стоку Іс від напруги (зв.
Вплив температури на
струм стоку спричинений аналогічно, як і в транзи
сторі з керованим р-п-
переходом. Зі зростанням температури знижується рухливість носіїв заряду в
каналі, отже, струм стоку зменшується. Водночас
зменшується контактна
різниця потенціалів, що спричиняє зростання струму
стоку.
Повний набір різних типів транзисторів, які мають практичне
використання,
вичерпується шістьма різновидностями. Їхні типові переда
вальні характеристи-
ки зображені на рисунку 2.45.
зі
k on
Канал летипу

ПТУП
ПТІЗ
з пе каналом з вбудованим
Tone пе каналом
з йндуJTSкованим
пе каналом
Uso Чт Coy ase Uae “Un
Use

з вбудованим птуУп
ре каналом з р- каналом

Tenow Канал р-типу

Puc. 2.45. Типові передавальні характеристики польових


транзисторів

Використовуючи ці характеристики, можна встановити полярність


керуючої
напруги, напрямок струму в каналі та діапазон зміни керуючої напруг
и.
Розглянемо деякі особливості цих характеристик. Усі
характеристики польо-
вих транзисторів з каналом и-типу розміщені у верхній половині
графіка, а, отже,
мають додатний струм, рівнозначний додатному напряму
на стоку. І навпаки, всі
характеристики приладів з каналом р-типу розміщені
в нижній половині графіка,
електроніки. Головні функціональні елементи... 129
Розділ 2. Основи

а, отже, мають від'ємне значення струму і від'ємну напругу на стоку. Характе-


ПТКП при нульовій напрузі на затворі мають максимальне значення
ристики
струму, який називають початковим [eno При збільшенні запірної напруги
струм стоку зменшується і при напру зі відсікання Ціле. стає близьким ло нуля.
Характеристики ПТ з індукованим каналом за нульової напруги на затвор
при напру зі
мають нульовий струм. Струм стоку в таких транзисторах виникає
на затворі
на затворі більшій, ніж порогове значення Мор" Збільшення напруги
зумовлює збільшення струму стоку

2.3.5. Параметри МДН-транзистора. Схеми під' єднання

На практиці використовують такі малосигнальні параметри:


- крутість
а ай 3B \Ucy=const?

що характеризує крутість прохідної ВАХ транзистора уточці спокою (див.:


рис. 2.44);
- внутрішній (вихідний) опір 7. 7 40/ с / dle з cons

що характеризує нахил вихідної ВАХ на пологій ділянці (див.: рис. 2.44);


- коефіцієнт підсилення за напругою

и зась dl 3B

Описані параметри пов'язані між собою:

Внутрішній опір зумовлений зміною довжини каналу з ростом напруги на


зворотнозміщений р-п-перехід розширюється зі збільшенням (св,
стоку:
ви-
збільшується питома крутість . Струм стоку /с також збільшується. Можна
разити параметр ге через електрофізичні параметри МДнН-структури:

де І-довжина каналу; М-концентрація донорної домішки; Є» - відносна


електрична проникність підкладки - кремнію.
Крутість характеристики в області підсилення визначають за виразом:

S = WyOC, (Oy — Voy >

де и, - рухливість носіїв у каналі; о - ширина каналу провідності.


130 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Для отримання статичних параметрів МДН-транзистора використовують


схемний метод, який дає змогу з'єднати затвор і стік між собою та вимірювати
залежність струму стоку від прикладеної стокової напруги. Схему під'єднання
зображено на рисунку 2.46.
Us Оскільки в такій схемі (с завжди рівне 0, то
транзистор перебуватиме в режимі насичення
струму. Отже, його можна описати рівнянням,
1,
| с
з й й
згідно з яким залежність (/,)" від (о має бути
| tT лінійною. Типовий приклад такої залежності зобра-
жений на рисунку 2.44. Порогову напругу (ор ВИ-
значають з цього графіка як точку перетину графіка
з віссю напруг, а значення //2 визначають за нахи-
лом графіка.
Рис. 2.46. Схема На практиці порогову напругу іноді визначають
вимірювання вхідної
хараїчеристики як затворну напругу, за якої через транзистор
МДН-транзистора протікає певний невеликий струм стоку. Зазвичай
роль порогової напруги покладають на затворну
напругу, при якій струм стоку для (/вс-1 В становить І мкА на один мкм шири-
ни стокової області.
Використовуючи характеристику /, (Unc) при (вс-»0, можна визначити ве-

личину ШС,, (є , а, отже, ефективну рухливість носіїв у каналі. Нахил

ea
вихідної ді, РИ
характеристики на початку координат С- (провідність стоку при
СУ вс
нульовому зміщенні) визначають за виразом:

діє .- ГИ й
5 С еф лох | ОЗ з -О "Упор npn Uge > 0.
a L

Повторивши це вимірювання для декількох затворних напруг, можна знайти


довірливе значення коефіцієнта в рівнянні струму та обчислити ци, (u,).
Власна швидкодія. Власна швидкодія МДН-транзистора обмежена двома
внутрішніми механізмами. По-перше, основне обмеження залежить від часу пе-
ренесення носіїв заряду через канал; по-друге, швидкодія МДН-транзистора та-
кож обмежується перезарядженням ємностей, властивих самому приладу в
процесі часової зміни сигналу.
Згідно з першим механізмом, час пролітання носія через канал можна запи-
сати у вигляді:
Розділ 2. Основи електроніки. Головні функціональні елементи... 131

ле є, - напруженість електричного поля в каналі. Його координатний розподіл


задають виразом:

2.()- (пишу “aT

З
Підставивши його в попередній вираз для т та проінтегрувавши, отримаємо:

a a a
"3, (Uy Usp) |
Цей вираз описує власну швидкодію транзистора, зумовлену параметрами
носіїв (ц), геометричними розмірами приладу (І) та прикладеним зміщенням
уздовж каналу (3 - Мор):
Ця формула вказує на шляхи підвищення швидкодії МДН-транзисторів. Зок-
ремаддовжина каналу. З цим шляхом і пов'язаний увесь розвиток
мікроелектроніки, однак можливості цього напряму вичерпуються. Другий шлях
- це підвищення рухливості носіїв у каналі. Перспективним у цьому напрямку є
зибір нових матеріалів з високим и (СбаМ, АЇСадо), або застосування новітніх
технологій, що дають змогу дещо підняти цей параметр (наприклад, технологія
напруженого кремнію збільшує рухливість електронів на 20- 3095). Т третій шлях
- підвищення робочої напруги. Однак він має дуже обмежені можливості
знаслідок загрози електричного пробивання матеріалу.

2.3.6. Польовий транзистор Шоткі


Польовий транзистор Шоткі (П'ТШ) - це транзистор, в якому управління по-
током носіїв у каналі провідності відбувається за допомогою електрично
зміщеної структури Шоткі, що відіграє роль затвора.
Після всебічного вивчення властивостей ПТКП стало зрозуміло, що для
модуляції опору провідного каналу за рахунок зміни поперечного перерізу може
бути ефективно використана бар'єрна структура Шоткі (Ме-н/к). Тому такі
транзистори були названі ПТШ. Ураховуючи аналогічну природу модуляції
опору каналу, ПТКП та ПТШ мають спільну теорію формування ВАХ, однак
суттєво відмінні технології та вбудовані потенціали.
На сьогодні розроблена велика кількість різноманітних топологій металізації
польових транзисторів з затвором Шоткі. На рисунку 2.47 зображений попереч-
132 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ний переріз активної області структури польового транзистора між контактами


витоку (В) і стоку (С).
затвор

п-шар

Рис. 2.47. Поперечний переріз структури ПТШ:


1 - збіднена область під затвором; 2 - зовнішня частина збідненої області;
3 - збіднена область над підкладкою; 4 - провідний канал; 5 - зовнішня область стоку;
6 — внутрішня область транзистора; 7 - зовнішня область стоку:
8 - підкладка або буферний шар

Епітаксіальний п-шар вирощують на напівізолюючій напівпровідниковій


підкладці або на проміжному буферному шарі, який покращує властивості
кристалічної гратки на межі розділу п-шару і високоомного напівпровідника, а
також протидіє дифузії домішок з підкладки в п-шар.
ПТ на епітаксійному шарі, зі застосуванням буферного шару, володіють
великою крутизною та меншим рівнем шумів, порівняно з транзисторами без
буферного шару. Роль затвора Шоткі виконує вузька смужка (0,5-1 мкм) напи-
леного металу. Контакти витоку і стоку омічні.
Струм у каналі ПТІ зумовлений дрейфуванням основних носіїв, тому
швидкодія приладу залежить лише від швидкості зміни заряду під затвором.
Використання бар'єра Шоткі дає змогу зменшити ємність Сзв, що забезпечує
значне зростання //;. Зокрема, експериментальні зразки ПТШ працюють на час-
тотах до 30 ГГц.

2.3.7. Деякі схеми практичного використання польових


транзисторів
МДН-транзистори експлуатують у двох основних режимах: підсилю-
вальному та ключовому.
Схема підсилювального каскаду. Найпростішу схему підсилювального каска-
ду на МДН-транзисторі з активним навантаженням Кн зображено на рисун-
ку 248.
Принцип підсилення потужності в МДН-транзисторах можна розглядати з
точки зору передавання носіями заряду енергії постійного електричного поля
Розділ 2. Основи електроніки. Головні функціональні елементи

(енергії джерела живлення у вихідному


колі) змінному електричному полю. В
МДН-транзисторі до виникнення каналу
майже вся напруга джерела живлення в
колі стоку спадала на напівпровіднику
між витоком і стоком, створюючи велику
постійну складову напруженості eneK-
тричного поля. Під дією напруги на
затворі в напівпровіднику під затвором ©)
виникає канал, по якому від витоку до
стоку рухаються носії заряду дірки.
Дірки, рухаючись у напрямку постійної Puc. 2.48. МДН-транзистор
складової електричного поля, розганя- у підсилювальному режимі: схема
ються | цим полем і їхні енергії під'єднання зі спільним витоком
збільшуються завдяки енергії джерела
живлення в колі стоку. Одночасно з виникненням каналу і появою в ньому ру-
хомих нос заряду зменшується напруга на стоку, тобто миттєве значення
змінної складової електричного поля в каналі спрямоване протилежно до
постійної складової. Тому дірки гальмуються змінним електричним полем,
віддаючи йому частину своєї енергії.

Te wae со Ue
Рис. 2.49. Положення робочої точки МДН-транзистора
в підсилювальному режимі на вихідних ВАХ

Через послідовне з'єднання резистора Ки і транзистора тече струм стоку:

je = (6. ~ Ue)! Ry -
134 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

При заданій напрузі затвора (Лв


струм стоку |, визначають за точками
перетину | ВАХ резистора | (див.:
рис. 2.50) і ВАХ транзистора - робо-
чою точкою. Положення робочої точки
в режимі спокою (точка 0 при
Озвт Озво) визначає параметри підсилю-
вального режиму в точці спокою. По-
ложення робочої точки при зміні на-
пруги на затворі | характеризують
Unop 4-59 Usp динамічний стан МДН-транзистора.
зв У підсилювальному режимі експ-
Рис. 2.50. Положення робочої точки МДН- луатації МДН-транзистора робоча точ-
транзистора у підсилювальному режимі на ка не виходить за межі пологої частини
перехідних ВАХ
вихідної ВАХ транзистора, тобто за
межі активної області (див: рис. 2.49).
Кількісно підсилювальний режим характеризують параметрами малого сиг-
налу, які описують вихідну і прохідну ВАХ МДН-транзистора поблизу точки
статичного стану цього режиму - поблизу точки спокою.

Usp

Рис. 2.51. Графічний спосіб


визначення крутості вхідної Рис. 2.52. Графічний спосіб визначення
характеристики МДН-транзистора вихідного опору МДН-транзистора

Ключ на польовому транзисторі. Для електронних ключів в ІМС зазвичай


використовують МДН-транзистори з індукованих каналом, в яких без напруги
на затворі (Ї/, , - 0) ключ знаходиться у розімкнутому стані, а при поданні на-
пруги, що перевищує її порогове значення ((/, , с 1 nop ), ключ знаходиться у
Розділ 2. Основи електроніки. Головні функціональні елементи... 135

замкнутому стані. Схема такого ключа з резистивним навантаженням зображена


на рисунку 2.53.
На рисунку 2.54 зображений принцип побудови передавальних характери-
стик.

Рис.2.53. Схема ключа на ПТ з Рис. 2.54. Побудова передавальних характеристик


резистивним навантаженням ключа на ПТ

Якщо (/,, -0,то режим ключа визначають за точкою 4, причому


Ю.. зби Якщо (/ з-в З 1, То робоча точка переміщується на висхідну лілянку
вихідної характеристики (точ. В). На транзисторі встановлюється залишкова на-
пруга Пл» вВСЛИЧИНа ЯКОЇ тим менша, чим більші Ко і Ор Перехідні процеси,
визначають швидкодію ключа Час увімкнення ключа, що визначається розряд-
жанням паразитної ємності С, через відкритий канал транзистора Ко, визнача-
ють приблизно /,,, 7 23Куо Су. Час вимкнення визначається зарядом ємності Су
через резистор Вс. Його розраховують за формулою: Інк 7 259К,С,. Швидкодія
ключа головно визначається часом його вимкнення.

2.3.8. Система позначень польови х транзисторів


Польовий транзистор має три основні електроди: керуючий електрод - за-
твор З (С - gate) і вхідний та вихідний електроди - стік С () - акаїп) та витік -
З (5 - здигсе). Якщо канал, для прикладу, п-типу, то носії заряду, що надходять з
ханалу, - електрони, а полярність напруги стоку додатна. Можливий також чет-
вертий електрод П, який з'єднують з пластиною вихідного напівпровідника
підкладкою.
Графічне позначення транзисторів містить максимальну інформацію про
їхню будову. Канал транзистора зображають вертикальною штриховою або
лільною лінією. Штрихова лінія відображає індукований канал, а супільна -
136 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

вбудований. і витік діють як невипрямляючі контакти, отож їх зображають


під прямим кутом до каналу. Підкладку позначають як електрод зі стрілкою, на-
прям якої вказує тип провідності каналу. Затвор відображають вертикальною
лінією, паралельною до каналу. Електрод затвора повернутий до електрода ви-
току.
На рисунку 2.55 зображені основні позначення польових транзисторів.
Стрілка в позначенні польових транзисторів - це тип каналу: спрямована до
каналу - для каналу п-типу, і від каналу - р-типу. Практично напрям стрілки
збігається з напрямом струму стоку в стоковому електроді і дає змогу визначити
полярність керуючої (З - В) і вихідної (С - В) напруг. Полярність керуючої та
вихідної напруг для МДН-транзистора з індукованим каналом однакова, для польо-
вих транзисторів з вбудованим каналом - протилежна.

а 6 2 2 - 9 е
Ac «Іс с є
з рег з iT IT з
Te в В B
їндукований | | Вбудований | Індукований | Вбудований роканал
Канал п- типу анал р- типу
змдн-транзистори
Рис. 2.55. Умовні позначення польових транзисторів з ізольованим затвором (а- г)
та з керованим р-п-переходом (д, е)

В умовному позначенні МДН-транзистора також відображений факт ізоляції


керуючого електрода - затвора від вихідних електродів -- стоку та витоку, тобто
відкритий стан характеризується замиканням лише кола стік -- витік (керуюче
коло залишається ізольованим).
Умовне позначення польових транзисторів з керованим р-п-переходом показа-

не на рисунку 2.55 (0, e). Оскільки ПТКП можуть функціонувати лише зі


збідненням каналу, то вбудований канал позначений суцільною лінією, яка має
контакти з електродами стоку і витоку. Напрям стрілки на виводі затвору
означає тип провідності каналу.
Отже, повний набір різновидів польових транзисторів, наведений у
довідковій літературі, вичерпується тільки шістьма.
Відповідно до чинних стандартів, позначення типу польового транзистора
містить чотири елементи. Перший елемент - цифра 2 або літера К, що
свідчать про матеріал виготовлення - на основі кремнію. Другий елемент -
літера П, описує приналежність до класу польових прил адів. Третій елемент
б цифра, якою позначають основне призначення транзистора, визначаючи

граничну частоту і розсіювальну потужність. Четвертий і п'ятий елементи -


Розділ 2. Основи електроніки. Головні функціональні елементи... 137

це порядковий номер розробки цього типу транзистора, їх позначають циф-


рами від 01 до 99. Шостий елемент позначення (літери від А до Я)
класифікує прилади за групами або будь-яким параметром. Використовують
також семислементне позначення транзисторів, які вирізняються тризнач-
ним номером розробки. Наприклад, КП7235Г означає: кремнієвий польовий
транзистор з граничною частотою до 30 МГц і розсіювальною потужністю
понад один Ват, призначений для використання у пристроях широкого за-
стосування, номер розробки 235, група Г з класифікаці ним параметром:
крутість 5- 6-12 мА/В.

2.3.9. Напівпровідникові прилади з зарядовим зв'язком

Прилад з зарядовим зв'язком (ПЗЗ) - це напівпровідниковий прилад, що


володіє великою кількістю близько розміщених та ізольованих від підкладки
затворів (МДН-структур) під якими може відбуватися перенесення до стоку
інформаційних пакетів неосновних носіїв заряду, інжектованих з витоку в канал,
або тенерованих унаслідок оптичного поглинання.

Принцип роботи ПЗЗ полягає в тому, що у кожній окремій МДН-структурі


можна створити локальний приповерхневий заряд неосновних носіїв і
переміщувати його вздовж поверхні від одної МДН-структури до іншої,
змінюючи відповідним чином послідовність тактових імпульсів, які подаються
на затвори.
Такі прилади зазвичай створюють на основі п-51, а на затвори подають
від'ємні робочі напруги, за модулем менші порогової. Тому в п-
напівпровіднику під затвором створюється збіднена основними носіями за-
ряду область у вигляді потенціальної ями. У потенціальній ямі нагромад-
жуються неосновні носії заряду (дірки), що створюють інформаційний па-
кет. Він згодом і відіграє роль носія інформації. Пакет дірок під затвором
може зберігатися впродовж певного часу. Введення зарядового пакета під
затвор називають режимом записування інформації, а напругу, що за-
безпечує цей процес, - напругою запису.
Розглянемо принцип дії ПЗЗ на прикладі тритактної схеми зсувного регістра,
яку можна описати як структуру МДН-транзистора з багатьма затворами
(див.грис. 2.56, а). Прилад умовно складається з трьох секцій.
138 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

о
стік
SiO»
a

рон нування ти

Рис. 2.56. Структури ПЗЗ з тритактним живленням затворів секі


перенесення (а) та ілюстрація принципу його дії (6, в, г, д):
б - запис логічної одиниці шляхом інжекції пакета дірок у потенціальну яму під першим затвором
секції перенесення; г - зчитування логічної одиниці на виході приладу при екстрації дірок з
потенціальної ями в р'-область стоку; д- запис логічного нуля коли немає від'ємного потенціалу на
вхідному затворі
Розділ 2. Основи електроніки. Головні функціональні елементи... 139

Перша - вхідна секція - включає в себе витік з р'-областю під ним та


вхідний затвор, що виконує роль ключа для керування руху дірок з дифузійної
р'-області витоку в першу потенційну яму.
Друга - секція перенесення - складається з низки затворів, керуючих
потенціалом на границі 5і-510». Напруги на затворах секції перенесення мають
вигляд імпульсів різної амплітуди, які змінюють одна одну циклічним перестав-
лянням (див.: рис. 2.56, б, д). При такій зміні напруги на затворах потенціальні
ями переміщуються до виходу приладу, захоплюючи за собою пакети носіїв за-
ряду-дірок.
Третя - вихідна секція - включає в себе р-п- перехід стоку. Він зміщений у
зворотному напрямку і призначений для екстракції дірок з потенційних ям.
Робота ПЗЗ-структури полягає у такому. Нехай на початковому такті роботи
на вхідний затвор подана напруга Usxs достатня для утворення провідного каналу

під вхідним затвором (обме ). Якщо на першому затворі секції перене-

сення є достатньо велика від'ємна напруга, тобто якщо під першим затвором є
тлибока потенціальна яма для дірок, то дірки виходитимуть з витоку і проходи-
тимуть по каналу під вхідним затвором секції перенесення (див. рис. 2.56, б).
Напруга на вхідному затворі (/,, вимикається до початку наступного такту
зміни напруги на затворах секції перенесення. Тому провідний канал під
вхідним затвором зникас. Так записується інформація, якій відповідає деякий
заряд дірок Оз», нагромаджених у потенціальній ямі під першим затвором у
результаті інжекції з витоку. Для запису інформації (логічний 707) на вхідний
затвор не подають вхідну напругу.
Інжекція дірок з в р'-області витоку в потенціальну яму під першим затво-
ром (лив.: рис. 2.56, д) не буде наявна і в ній може виявитися лише відносно не-
великий заряд дірок Ого» за рахунок теплового генерування носіїв заряду, або
неповного звільненням потенціальної ями на попередніх тактах роботи.
Після зміни напруг на затворах секції перенесення більш від'ємна напруга
буде на другому затворі, тому пакет дірок пересунеться в потенціальну яму під
другим затвором секції перенесення (див.: рис. 2.56, г, д).
Якщо в потенціальних ямах, переміщених до р-п -переходу стоку, нема
носіїв заряду, то і струму у колі стоку не буде. І лише тоді, коли потенціальна
яма, що містить дірки, підійде до р-п- переходу стоку, відбудеться екстракція
цих дірок і в колі стоку пройде імпульс струму, або зміниться напруга на стоку
(див: .puc. 2.56, 2).
Описана структура ПЗЗ з тритактним живленням затворів секції перенесення
володіє деякими недоліками, зокрема: І)металічні затвори необхідно розмі-
щувати на дуже малій відстані один від одного (1-3 мкм), що ускладнює
технологію виготовлення; 2) за малої відстані між електродами можливе за-
140 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

бруднення тонкого шару 510», розміщеного між електродами; 3) значна кількість


перетинів ліній металізації значно ускладнює технологію.
Ці недоліки усуваються в інших конструкціях подібних приладів, наприклад:
двотактних приладах з зарядовим зв'язком, ПІЗЗ з низкою прихованих затворів,
на ланцюжках МДН-транзисторів, поверхнево-зарядовому транзисторі.
До основних параметрів ПЗЗ відносять такі.
Нижня межа тактової частоти, яка визначається часом нагромадження

заряду інформаційного пакета (дірок) у порожніх потенціальних ямах і стано-


вить для реальних приладів одиниці - десятки кіІ ц.
Верхня межа тактової частоти задається часом перетікання заряду з однієї
потенціальної ями в іншу і визначається десятками МГ ц.
У діапазоні робочих частот, далеких від граничних, повного передавання
інформаційного заряду не відбувається. Це пов'язано з явищами захоплення
носіїв заряду поверхневими енергетичними рівнями пасток захоплення. Тому
для кількісного оцінення такого ефекту використовують параметр ефективного
передавання заряду 1). (Ом. - Слух), ЙО - Оп»), , що засвідчує, яка частка за-
ряду переноситься з однієї потенціальної ями в іншу /"Ї. Значення ефективності
передавання т зазвичай близьке до одиниці, тому зручніше користуватися
коефіцієнтом втрат (неефективністю передавання) К., 7 1-7. Для типових 1ІЗЗ
коефіцієнт втрат становить менше 10°.
Щоб усунути втрати інформаційного заряду в реальних ПЗЗ використо-
вують схеми регенерування. Зчитуваний із ПЗЗ сигнал підсилюється, з форму-
ванням його рівнів, а потім записується інформація ланцюжків ПЗ. Для трива-
лого зберігання інформації ланцюжки ПІЗЗ замикають у кільце. Регенерування
інформаційного заряду може бути суміщене з виведенням інформації.
На сьогодні відомі три напрямки практичного використання ГІЗЗ: 1) за-
пам'ятовуючі пристрої ЕВМ; 2)пристрої перетворення зображення в електричні
сигнали; 3) пристрої оброблення аналогової інформації.

2.4. Основи напівпровідникової мікроелектроніки.


Структура інтегральних мікросхем

2.4.1. Типи інтегральних мікросхем

Сучасна комп'ютерна електроніка характеризується всезростаючим викори-


станням в ролі елементної бази інтегральних мікросхем (ІМС). Інтегральними
вони названі так, бо всі елементи в них нероздільно пов'язані між собою, тому
схему розглядають, як єдине ціле. Необхідно зазначити, що ІМС широко вико-
ристовують в електронній апаратурі не як метод комплексної мініатюризації, а
Розділ 2. Основи електроніки. Головні функціональні елементи... 141

насамперед як засіб підвищення надійності електронних пристроїв через


розв'язання проблеми міжз'єднань.
Сама концепція мікросхеми, як мікроелектронного виробу з набором актив-
них і пасивних елементів, була запропонована та практично реалізована у 1958
році незалежно двома американськими дослідниками -Дж. Кілбі (Техас Instru-
ments) ta Po6eptom Hoiicom (Fairchild Semiconductor). Y 1961 році об'єднана
komnania Fairchild 5етісопаисіог Согрогабіоп випустила у вільний продаж перші
мікросхеми, які інтенсивно почали використовувати у калькуляторах та
військових обчислювальних системах.
У сучасному розумінні ІМС - ye конструктивно закінчений виріб
електронної техніки, що виконує певну функцію перетворення інформації та
містить су супність електрично пов язаних між собою електрорадіоелементів
(транзисторів, діодів,конденсаторів та ін.), виготовлених в єдиному техно-
логічному циклі.
Зазвичай мікросхеми класифікують за такими ознаками:
технологією виготовлення (напівпровідникові, гібридні, плівкові);
ступенем інтеграції (малі, середні, великі, надвеликі,ультравеликі);
- типом оброблюваної інформації (аналогові, цифрові, аналогово-
цифрові);
- | конструктивним оформленням (корпусні, безкорпусні);
- | базовими матеріалами (кремнієві, арсенід- тієві).
- | конструктивним оформленням (корпусні та безкорпусні).
Напівпровідникова ІМС -- це інтегральна мікросхема, всі елементи та
міжелементні з'єднання якої виконані в об'ємі та на поверхні напівпровідника
(підкладки).

Рис. 2.57. Загальний вигляд типових сучасних мікросхем


=e
142 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Головними активними елементами напівпровідникових інтегральних

мікросхем можуть бути біполярні або польові транзистори. В ролі польових ви-
користовують МДН-транзистори з індукованим каналом. Відповідно напів-
провідникові ІМС поділяють на БІП (біполярні) та МДН-інтегральні мікро-
схеми. Зазначимо, що фізичні принципи роботи активних елементів в ІМС ма-
ють деякі особливості, не характерні дискретним аналогам, і які необхідно вра-
ховувати при проектуванні ІМС з прогнозованими параметрами.
Частину напівпровідникової ІМС, виконану нероздільно від кристала нази-
вають елементом. Загальноприйнятими параметрами оцінення складності
мікросхеми є: ступінь інтеграції К-І2М та ступінь функціональної складності
Е-іві. Тут М - кількість компонентів, /, - кількість двовходових логічних
елементів (вентилів). Значення Їє округлюють до цілого числа. Промисловість
випускає ІМС від першого (менше 10 комп.) до шостого (більше Імлн. комп.).
Щільність упакування - це відношення кількості компонентів до об'єму
(іноді до площі) кристала. Ця величина в напівпровідникових ІМС становить
10" ел/см' і більше.
Сучасна класифікація мікросхем за ступенем інтеграції приведена у таб-
лиці 2.2
Таблиця 2.2
Класифікація мікросхем за ступенем інтеграції

Скорочене
а по- ана
зшифрування Рік
впровадження | оо
Кількість транзисторів
мала інтегральна схема :
MIC'(SS)) (small-scale integration) en BUA ASLO)
na середня інтегральна схема . 5
від 10 до 300
CIC (MAS) (medium-scale integration) 1968
б , -
велика інтегральна схема
BIC ES) (large-scale integration) 9
inl ee 1 500
Op 1 ani000
HBIC (VLSI) задреника антегральна
(very large-scale схома
integration) 1980 від 20 000 до 1 000 000

VBIG (ULSD; ||. SEE ESR ESTP AIEEE лома.


(ultra-large-scale integration)
1984 від 1 000 000 ї більше

Аналогові та цифрові мікросхеми випускають серіями. Серія - це група МС,


що мають єдине конструктивно-технологічне виконання та відрізняються
фізичними параметрами базових елементів і їхнім функціональним призначен-
ням. Мікросхеми однієї серії зазвичай мають однакову напругу джерел живлен-
ня, погоджені по вхідних і вихідних опорах, рівнях сигналів. Наприклад, серія
74НС-- серія цифрових СМОЗ мікросхем загального призначення з ТТЛ-
Розділ 2. Основи електроніки. Головні функціональні елементи... 143

сумісними входами та виходами, а серія 54НС -- її варіант з розширеним темпе-


ратурним діапазоном військового призначення (також називають підсерією).
Для кількісної характеристики технологічного процесу мікросхеми викори-
стовують мінімальні контрольовані розміри топології схеми (зокрема, довжини
каналу ПТ та його затвору). Для прикладу, у 1970 роках мінімальний контрольо-
ваний розмір становив 2-8 мкм, а у 2010 році - вже 32 нм. Загалом увесь сучас-
ний процес розвитку комп'ютерної елементної бази характеризується неухиль-
ним зменшенням характерних лінійних розмірів елементів і як наслідок - зро-
станням продуктивності комп'ютерних систем.

2.4.2. Структура активних елементів напівпровідникових


інтегральних мікросхем
Структура біполярних транзисторів напівпровідникових інтегральних
мікросхем. Основними схемними елементами напівпровідникових мікросхем є
біполярні транзистори типу п-р-п. Їх формують на напівпровідниковій
підкладці р-типу в ізольованих від неї локальних областях п-типу, які називають
кишенями. Ізоляція кишень від підкладки може бути забезпечена декількома
способами. Найбільш ефективною є ізоляція за допомогою двоокису кремнію
(див.: рис. 2.58, а).
SiO, п-р-перехід

n
— oT
on [ut
i} | й |
ї І
— Loome a | | Brass 1

Si-p Si-p Si-p

6 в
a

Рис. 2.58. Способи ізоляції біполярних транзисторів

Однак такий спосіб технологічно складний. Найбільш простий спосіб


ізоляції за допомогою зворотно зміщеного р-п-переходу (лив.: рис. 2.58, б), але
він недосконалий через наявність зворотного струму. Основним методом
ізоляції в сучасних ІМС є метод комбінованої ізоляції, що поєднує ізоляцію
діелектриком і зворотно зміщеним р-лп- переходом (див.: рис. 2.58. в).
Найбільш поширені транзистори, що мають вертикальну структуру, в якій
всі виводи від областей транзистора розміщені в одній площині на поверхні
підкладки (див.: рис. 2.59). Таку структуру назвали планарною. Вона складається
з емітерної (1), базової (2), і колекторної (3) областей. Під колекторною областю
розміщений прихований п" шар (4). Від зовнішніх впливів структура захищена
144 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

оксидним шаром SiO, (5), в якому сформовані вікна (6) для приєднання
металічних контактів (7) до відповідних областей структури.
Робочою областю транзистора є область, розміщена під дном емітера. Решта
областей структури пасивна. Вони збільшують об'ємний опір колекторної і
базової областей транзистора. Опір базової області // інтегрального транзистора
приблизно такої ж величини, як в дискретного (10- 20 Ом), а опір колекторної
області у; суттєво більший: у дискретного транзистора він становить 1-2 Ом, в
інтегрального - 10-50 Ом. Унаслідок цього вихідні характеристики інте-
трального транзистора в режимі насичення будуть більш пологими, ніж у дис-
кретного.

Si-p
1 2 |З |4
Рис. 2.59. Планарна структура
біполярного транзистора п-р-п- типу

Для зменшення опору г! у структуру введений прихований п" шар (4), який
не має зовнішніх виводів. Цей шар шунтує розміщений над ним високоомний
колекторний шар п-типу, забезпечуючи низькоомний шлях струму від активної
області до колекторного контакту. Зменшення вдвічі опорів // і г/ досягається
також за рахунок створення двох виводів від кожної з областей транзистора.
Вертикальна структура інтегрального | транзистора, (ізольованого | від
підкладки р-п-переходом, є, по суті, чотиришаровою, тобто її можна представи-
ти як таку, що складається з двох транзисторів активного транзистора УТІ типу
п'-роп і паразитного транзистора /72 типу р -пер. Тому еквівалентна схема,
крім опорів пасивних областей і паразитного транзистора р-л-р, містить
розподілені ємності трьох переходів. Наявність цих ємностей визначає частотні
та імпульсні властивості інтегрального транзистора, які дещо гірші, ніж у дис-
кретного. Практично гранична частота вертикальних транзисторів типу р-п-р
лежить у межах 600-800 МГц.
Багатоемітерні транзистори. Транзистори цього типу, володіючи спільним
колектором і базою, містять до восьми емітерів. Структура багатоемітерного
транзистора (БЕТ) зображена на рисунку 2.60.
Розділ 2. Основи електроніки. Головні функціональні елементи... 145

Рис. 2.60. Структура типового багатоемітерного транзистора

Особливість роботи БЕТ полягає в тому, що в довільному стані схеми колек-


торний перехід завя ди відкритий, а емітерні переходи можуть бути або відкриті,
або закриті. Водночас можливі три комбінації станів р-п-переходів. Якщо всі
емітерні переходи відкриті, то в транзисторі є режим насичення і струми
протікають так, як показано на рисунку 2.61, а, причому І, суттєво менше
струмів /л та Іл, оскільки послідовно з колекторним переходом увімкнений опір
г/, що більший від опору г/. Якщо на емітерні перехоли подані зворотні напру-
ги від джерела керуючих сигналів, то транзистор працює в інверсному режимі
(див.: рис. 2.61, б).

Ein Ein Ein

fet їк їк із ix
a
e
| 4 о
4
о о-
+ Fe
12 Б о K
a 6 в
Рис. 2.61. Напрямки струмів у БЕТ-транзисторі
146 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Оскільки на відкритому колекторному переході напруга - 0,7B, To B


емітерних колах будуть протікати великі від'ємні струми від джерел керуючих
сигналів. Для зменшення цих струмів необхідно зменшити інверсний коефіцієнт
передачі транзистора є,, що досягається шляхом штучного збільшення опору

пасивної бази. Для цього зовнішній вивід бази з'єднують з активною областю
транзистора через вузький перешийок (див.: рис. 2.60, а). Струм бази створює на
ньому спад напруги, внаслідок чого пряма напруга на колекторному переході
буде більша в області пасивної бази і менша в області активної бази, тому
інжекція електронів з колектора в базу відбуватиметься переважно в област
пасивної бази (див. рис. 2.60, б). Водночас зростає довжина шляху, що прохо-
дять електрони крізь базу, в результаті чого інверсний коефіцієнт передачі а,
зменшується до 0,005--0,05.
Якщо один з сусідніх переходів відкритий, а другий закритий (лив.:
рис. 2.61, б), то відчувається вплив горизонтальної паразитної структури типу р-
пор (див.: рис. 2.61, в), утвореної сусідніми емітерами та розділяючою їх р-
областю. Через цю структуру протікає струм, який споживається від джерела
керуючих сигналів, під'єднаного до закритого переходу. Для послаблення пара-
зитного транзисторного ефекту необхідно збільшувати відстань між сусідніми
емітерами до 10-15 мкм. БЕТ становлять основу цифрових ІМС транзисторно-
транзисторної логіки (ТТЛ).
Структура діодів | у напівпровідникових інтегральних мікросхемах. У
напівпровідникових ІМС для створення діодів не використовують спеціально
сформовані діодні структури. З цією метою в ролі діода використовують один із
переходів вертикального транзистора типу п-р-п.
Використовуючи схемні закорочення в транзисторній структурі (див.:
рис. 2.62, а), можна отримати п'ять різних варіантів діодного використання
транзистора.
Для кожного з варіантів є своя еквівалентна схема (див.: рис. 2.62, б). Всі
варіанти характеризуються різною швидкодією, яка визначається величиною
нагромаджених у базі та колекторі надлишкових зарядів.
Розділ 2. Основи електроніки. Головні функціональні елементи... 147

Рис. 2.62. Варіанти діодного ввімкнення п-р-п- транзистора (а),


їхні еквівалентні схеми (б)

Параметри типових схем діодного ввімкнення біполярних транзисторів


подані в таблиці 2.3
148 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Таблиця 2.3
Параметри типових схем діодного ввімкнення біполярних транзисторів

Схеми ввімкнення
Параметри
00 120 T= ооо
1. Напруга пробою U,,, B 57 5-7 35-55 5-7
2. Пряма напруга Пр В 05 096 095 092
3. Зворотний струм Гу, НА 5 5 20 25
0,5 0,5 0,7 0,7 12
4. Ємність діода С.,, пФ
3 12 3 3 3
5. Паразитна ємність Си, ПФ

б.Чає відновлення зворотного опору 9 56 53 85 100


від» НО

Встановлено, що оптимальним варіантом використання транзистора в ролі


діода є перший варіант, зокрема при короткозамкнених база-колектор (Ов,
див.: рис. 2.62, а). Час перемикання такого діода з відкритого стану у закритий
становить лише декілька не.
Структура | МДН-транзисторів | напівпровідникових інтегральних
мікросхем. У МДН-інтегральних мікросхемах найбільш широко вико-
ристовувані транзистори з індукованим каналом п-типу. Транзистори з каналами
ретипу та з вбудованими каналами використовують значно менше і головно як
пасивні елементи схем. МДН - транзистори мікросхем мають менші розміри, ніж
біполярні, що дає змогу значно підвищити степінь інтеграції. Є декілька
різновидів МДН-транзисторів, які реалізується МДН-технологією.
МДН-транзистори з полікремнієвим затвором. У транзисторах цього типу
замість алюмінісвого затвору використовуваний полікремнієвий затвор. Це дає
низку суттєвих конструктивно-технологічних переваг та значно підвищує
електричні параметри транзисторів. Зокрема, такі транзистори володіють мен-
шою пороговою напругою, внаслідок чого знижується напруга живлення до 5B:
Зменшення товщини підзатворного діелектрика різко підвищує крутість харак-
теристики транзистора. Крім того, сумісність матеріалу затвора з матеріалом
захисного шару дала змогу значно наблизити контакти витоку і стоку, тим са-
мим зменшити розміри цих областей та всієї структури загалом. Застосування
полікремнію дозволило зменшити перекриття кремнієвого затвора з областями
витоку і стоку, що суттєво зменшило паразитні ємності. В таких транзисторах
також зменшена глибина залягання областей витоку і стоку. Структура транзи-
стора зображена на рисунку 2.63.
Розділ 2. Основи електроніки. Головні функціональні елементи... 149

Транзистори формують на 510. | Витік Затвор Спік


кремнієвій підкладці р-типу з пи-
томим опором 1-10 Ом ta
орієнтацією (100). Сусідні транзи-
стори розділені шаром товстого |
поглибленого оксиду, під яким | | Р Si-p
Roa : )
розміщені сильнолеговані проти нн
канальні шари р-типу. Такі шари Рис. 2.63. Структура інтегрального МДН-
необхідні для виключення мож- транзистора з полікремнієвим затвором
ливості | появи | паразитних | /-
каналів, що з'єднують п "Області, сусідніх транзисторних структур. Чим
товстіший шар діелектрика і чим вища конпентрація домішок у р -області, тим
складніше індукувати інверсний канал. Виводи від витоку і стоку виконують
звичайним способом через вікна в плівпі 5105. Вивід від полікристалічного
кремнію виконується за межами МДН-структури.
Комплементарні | МДН-структі ри. Такі структури становлять собою
поєднання транзисторів з каналами /- і р-типів, з'єднаних послідовно. На рисун-
ку 2.64 зображена схема і будова такої структури з алюмінієвим затвором.

Bex B3G с. ЗВ,


eee]

т
в,

Рис. 2.64. Схема та будова комплементарної МДН-структури

У цій структурі транзистор з п-каналом формується безпосередньо на


кремнієвій підкладці р-типу, а транзистор з р-каналом у спеціальній кишені п-
типу товщиною 3-Амкм.Площа, що припадає на один транзистор, в компле-
ментарній структурі більша, ніж у структурі на однотипних п-канальних транзи-
сторах, що зумовлює необхідність збільшувати відстань між р-/т переходом ки-
шеня підкладка і р-п-переходом найблищого п-канального транзистора. Вона
має бути більша від суми значень товщини збіднених шарів цих переходів, щоб
не було замикання п - областей з п-кишенями. Реально товщина збідненої
області становить приблизно 3 мкм.
150 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Контрольні запитання до розділу 2

Опишіть структурну модель БІП-транзистора.


Нарисуйте схеми ввімкнення транзисторів та порівняйте їхні функціональні
N

можливості.
Напишіть диференціальні параметри БІП-транзистора.
NOOARW

Нарисуйте фізичні еквівалентні схеми БІП-транзистора.


Транзистор Дарлінгтона.
У чому полягає суть роботи польового транзистора ?
Наведіть стокозатворну та вихідну характеристики ПТ з керованим р-л пере-
ходом.
Запишіть диференціальні параметри ПТ.
о

Нарисуйте структури ПТ з ізольованим затвором. Охарактеризуйте їхні


різновиди.
140. Розкажіть про ВАХ ПТ з різними типами каналів.
11. Опишіть сім'ю вихідних та перехідних характеристик для МДН-транзистора з
індукованим каналом.
12. Запишіть малосигнальні параметри ПТ.
13. Які фактори обмежують власну швидкодію ПТ?
14. Нарисуйте схему підсилювального каскаду на ПТ.
15. Нарисуйте схему ввімкнення ПТ для реалізації ключового режиму.
16. Нарисуйте схему комплементарної МДН-структури у мікроелектронному
виконанні.
17. Охарактеризуйте основні напрямки розвитку субмікро- та наноелектроніки.
18. Нарисуйте принципову схему електронного ключа | на біполярному
транзисторі та поясніть його роботу.
19. Нарисуйте принципову схему електронного ключа на польовому транзисторі
та поясніть його роботу.
20. Опишіть спосіб оцінення завадостійкості ключа.
Розділ 3. АНАЛОГОВА ЕЛЕКТРОНІКА

3. 1. Аналогові інтегральні мікросхеми:


застосування та класифікація
Аналогові інтегральні мікросхеми (АТМС) при значені для перетворення
електричних сигналів, що змінюються за законом неперервної функції.
Найбільш поширеним і відомим перетворенням аналогових сигналів є
підсилення електричних коливань, у результаті чого отримують на виході при-
строю (т.зв. підсилювача) коливання, потужність яких перевищує потужність,
підведену до входу підсилювача. Підсилення відбувається за рахунок того, що
схема підсилювача містить джерело енергії (джерело живлення) й активний
підсилювальний елемент (зазвичай транзистор) за допомогою якого енерг ія
джерела живлення перетворюється в енергію електричних коливань необхідної
потужності.Аналогові інтегральні мікросхеми застосовують також для пере-
аналогових сигналів, зміни їхнього спектрального складу Таїн.
множення

Схемотехніка АЇМС характеризується низкою особливосте:


- | для стабілізації режиму роботи широко використовують зворотні зв'язки
і генератори стабільного струму:
- для підвищення коефіцієнта підсилення напруги використовують каска-
ди з динамічним навантаженням та транзистори Дарлінгтона;
- для живлення в багатьох випад х застосовують двополярні джерела з
заземленою середньою точкою;
- необхідний режим роботи за постійним струмом забезпечують викори-
стовуючи схему зсуву потенціалу.
На відміну від дискретних схем аналогові ІМС дають змогу більш точно об-
робити аналоговий сигнал, оскільки всі елементи виконані в єдиному
технологічному циклі, та є, отже, можливість реалізувати схемотехнічні
рішення, що складно відтворюються на дискретпих компонентах. Загалом такі
мікросхеми з точки зору практичного використання володіють хорошою
універсальністю.
Розглянемо найбільш поширені схемотехнічні приклади аналогових схем,
використовуваних у сучасних пристроях оброблення інформації.

3.2. Різновиди АІМС за характером перетворень

За характером виконуваних перетворень ЛІМС можна розділити на декілька


груп: підсилювачі; перемножувачі; компаратори; стабілізатори | напруги;
152 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

аналогові комутатори; аналогово-цифрові(АЦП) і щифрово-аналогові (ЦАП)


перетворювачі; спеціалізовані ІМС.
Підсилювачі призначені для підсилення сигналів. До них відносять під-
силювачі низьких, проміжних і високих частот, відеопідсилювачі, підсилювачі
імпульсних сигналів та ін. Найбільш поширеним типом АМС є операційні
підсилювачі, які виконують функції базового елемента для побудови багатьох
аналогових вузлів.
Перемножувачі призначені для перемноження двох аналогових сигналів. За
їхньою допомогою виконують різні перетворення сигналів - модуляцію |
демодуляцію, множення і ділення частоти і т.д. Функцію перемноження можна
зобразити у вигляді у з Дух, , де Хі і х» - перемножувані сигнали, А - масштаб-
ний коефіцієнт.Найпростіша схема перемножувача може бути реалізована на
основі диференціального каскаду, напруга на виході якого 1
= kya sae

Тут Ір - струм, що живить диференціальний каскад. Якщо сигнал х» подати на


базу транзистора генератора струму, то цей струм буде пропорційний хо, тобто
I, =k,x,. Ore,

= вна,
С,

Описана проста схема перемножувача | володіє невеликим | динамічним


діапазоном, дрейфуванням струмів і похибкою масштабного коефіцієнта. Тому
практично застосовують більш складні схеми, позбавлені цих недоліків.
Схема балансного модулятора реалізується таким чином. Нехай на входи пере-
множувача подані сигнали:
x, =U,, sina ;

x, =U,, . таці.

Тоді на виході схеми буде отриманий сигнал:

1
yekyx, = воля Г сох| @, ~ @,)t + cos

що відображає принцип балансного модулятора.


Розділ 3. Аналогова електроніка 153

Якщо на входи перемножувача подані сигнали:

0 СОЇ:

то на виході схеми буде отриманий сигнал

1
ЯКО, 0, , COS@L + shu, U q [cos(o. —@,)t+cos(a, +a)t].

Він і відображає схему амплітудного модулятора. Застосування двох пере-


множувачів, які перемножують сигнали, зсунуті за фазою на 907, та їхнє суму-
вання дає можливість здійснити схему однополосного модулятора.
Крім модуляції і демодуляції сигналів перемножувачі реалізовувують
подвоєння частоти, квадратичне детектування, а також ділення двох сигналів,
видобування квадратичного кореня, виконання тригонометричних функцій
та ін.
Компаратори призначені для порівняння аналогових сигналів з опорною
напругою. Їхню основу становлять операційні підсилювачі (ОП). На один вхід
компаратора подають аналоговий сигнал, а на другий - опорну напругу. Якщо
миттєве значення напруги аналогового сигналу менше опорної, то на виході
компаратора формується високий рівень потенціалу. Якщо миттєве значення
напруги аналогового сигналу перевищує опорне, то на виході формується низь-
кий рівень потенціалу. Величини вхідних напруг компаратора відповідають
рівням напруг цифрових ІС, тобто компаратор перетворює порогові сигнали в
цифрову форму.
Стабілізатори напруги призначені для отримання стабільних напруг, що
живлять ЇМС. Структурно стабілізатор напруги складається з керуючого еле-
мента (складовий транзистор, увімкнений між входом і виходом схеми) та
диференціального каскаду, що виробляє сигнал помилки і який подається на ба-
зу складового транзистора. На один із входів диференціального каскаду надхо-
дить високостабільна опорна напруга, на другий - частина напруги з виходу
системи. Сигнал помилки пропорційний різниці напруг, що подаються на вхід
диференціального каскаду. При зростанні напруги на виході схеми сигнал по-
милки частково закриває складовий транзистор і спад напруги на ньому
збільшується. Це веде до зменшення вихідної напруги, завдяки чому зростає
стабільність вихідної напруги.
Аналогові компаратори призначені для поділу в часі сигналів, які надходять
на оброблення від декількох джерел. В основі лежить схема електронного клю-
ча на базі біполярного транзистора або польового транзистора.
154 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Аналогово-цифрові (АЦП) і цифро-аналогові (ЦАП) перетворювачі при-


значені для перетворення аналогових сигналів у цифрові і цифрових сигналів в
аналогові. Такі ІМС виконують у вигляді ВІС, які містять декілька тисяч
елементів, з яких утворюються аналогові і цифрові вузли.
Спеціалізовані ІМС призначені для використання в побутовій РЕА. До них
відносять генератори електричних коливань різної форми, детектори амплі-
тудно-модульованих і частотно-модульованих коливань, підсилювачі та пере-
творювачі частоти та ін.
Номенклатура АМС постійно розширюється. На сьогодні склад серій
включає в себе широкий клас мікросхем різноманітного схемотехнічного вико-
нання, що узагальнено дають можливість реалізувати окремі групи пристроїв
аналогового типу в мікроелектронному виконанні.

3.3. Операційні підсилювачі

Операційним підсилювачем (ОП) називають підсилювач напруги, призначе-


ний для виконання різних операцій з аналоговими сигналами: їх підси-
лення/послаблення, складання/віднімання інтегрування/диференціювання, лога-
рифмування/потенціювання, перетворення їхньої форми та ін.Всі ці операції ОП
виконує за допомогою кіл додатного і від'ємного зв'язків, до складу яких мо-
жуть входити опори, ємності та індуктивності, діоди, транзистори та ін.
Оскільки всі операції, що виконує ОП, мають певну похибку, то до його харак-
теристик ставлять певні вимоги.

Зокрема, ОП має якнайближче бути рівнозначний ідеальному джерелу жив-


лення, керованому джерелом з безмежно великим коефіцієнтом підсилення. А це
означає, що вхідний опір ОП має бути рівний безмежності, а отже вхідний струм
дорівнюватиме нулю. Вихідний опір має бути рівний нулю, а отже, наванта-
ження не має впливати на вихідну напругу. Частотний діапазон підсилюваних
сигналів має "тягнутися" від постійної напруги до дуже високої частоти.
Оскільки коефіцієнт підсилення ОП дуже великий, то при кінцевому значенні
вихідної напруги напруга на його вході має бути близька до нуля.
Вхідне коло ОП виконують за диференціальною схемою, тому вхідні сигна-
ли можна подавати на довільний з двох входів, один з яких змінює полярність
вихідної напруги (інвертуючий), а другий не змінює полярності вихідної напру-
ти (неінвертуючий). Умовне схематичне позначення диференціального ОП зоб-
ражене на рисунку 3.1,а. Інвертуючий вхід можна відзначати колечком або
позначати знаком (-). Неінвертуючий вхід або зовсім не позначають, або близько
нього ставлять знак (1). Два виводи ОП використовують для подання на нього
напруги ЗЕ» і -Кож- Додатна і від'ємна напруги живлення мають одне ітеж
Розділ 3. Аналогова електроніка 155

значення, а їхнє спільне | виведення одночасно є


спільним виведенням для вхідних і вихідних сиг-
налів.
Якщо один з двох входів ОП з'єднати зі
спільним виводом, то можна отримати два ОП з
одним входом, один з яких буде інвертуючим, а
Рис. 3.1. Схематичне
другий - неінвертуючим. Вихідну напругу для
. б зображення
диференціального підсилювача визначають за по диференціального
формулою: операційного підсилювача

бо (а 0) 4;
де А-зюо - коефіцієнт підсилення ОП.
Для інвертуючого ОП вихідна напруга рівна /,, 2 -(,
, 4, а для неінвер-
туючого | (,, - 0,4. Різницеву напругу (0, -U,.3) =U, р називають
диференціальним вхідним сигналом. Насправді, ця напруга прикладена між
інвертуючим і неінвертуючим входами ОП.
Щоб зрозуміти | призначення
контактних виводів застосовують | Р!
більш повне умовне позначення ОП >
Bx2
(див. рис. 3.2).Символами Мо позна-
чають виводи балансування, симво-
лами Ес - виводи частотного коре-
тування.
з

Основні параметри ОП. Вони


характеризують експлуатаційні мо-
жливості ОП. Основними є: Рис. 3.2. Повне умовне позначення ОП
з типовими контактами
- коефіцієнт підсилення напруги
без зворотного зв'язку Ку, який показує, у скільки разів напруга на виході ОП
перевищує напругу сигналу, поданого на диференціальний вхід. Реально
ky=10°-10°;
- коефіцієнт послаблення синфазного сигналу Кослсфь ЯКИЙ ПОКАЗУЄ У СКількиИ
разів диференціальний сигнал сильніше синфазного. Залежить від властивостей
вхідного диференціального каскаду і становить від 80 до 100 дБ;
- вхідні опори для диференціального Вих ср СИГНАЛІВ;
- вихідний опір Кудх Щодо зовнішнього навантаження, ОП поводить себе як
тенератор напруги, що володіє внутрішнім опором, який є вихідним опором ОП.
чина цього опору становить десятки сотні Ом;
- напруга змішення нуля Лу - Постійна напруга, яку потрібно подати на вхід ОЇ,
немає вхідного сигналу, для того, щоб напруга на виході стала нульовою;
156 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

- температурне
Р
дрейфування напруги
з
з зміщення
mp
| ТКІ/,
со АГ
«Сай

характеризує зміну напруги (/, зі зміною температури, становлячи |—


30 MKB/ °C:
- вхідні струми - струми, що протікають у вхідних виводах при їхньому
приєднанні до корпусу. У довідниках подають серелнє значення вхідного струму:

- | різниця вхідних струмів А/,, - 1, - Ї,,, Характеризує степінь дисбалансу


оп;
- частота одиничного підсилення /; характеризує частотні властивості ОП
у режимі частоти, на якій коефіцієнт підсилення напруги стає рівним одиниці;
практично /-10-20 Гц, /170,5-5 МГц;
- | швидкість наростання вихідної напруги у; характеризує швидкодію ОП у
режимі великого сигналу. Це швидкість зміни вихідної напруги при поданні на
вхід ОП ступінчастої напруги.
Приклад схемотехніки ОП.
Найбільш просту схемну реалізацію має ОП типу КІ40УДІ, до складу якого
входить декілька каскадів (див.: рис. 3.3 ).

Fi R8 б lip
бе VLтя 12-\2 дж.

RL ва
вхід 2
неїнв. 3 Ler 5
10 -
— VT1 VT2}—-
вхід м Кто
інв RG VD
9 5
e -еВихід

:
заг. и R1O
L RS VTS
VT3 Ko | Ru
VTA it
яз ВА R12
1
о
1 каскад 2 каскад каскад Ї Вихідний аз
потенціалу | Каскад

Рис. 3.3. Принципова схема ОП К140УДІ


Розділ 3. Аналогова електроніка 157

Конструктивно | ІМС виготовлена |на кремнієвій | пластині | розміром


ДИР мм і містить дев'ять транзисторів. Вхідний диференціальний каскад на тран-
зисторах УТІ та /Т2 живиться від генератора стабільного струму на транзисторах
У13, УТА. Другий-на транзисторах М75, ИТ6. Схема зсуву потенціалу утворена
транзистором МУ77, резистором БУ і генератором стабільного струму /78. Вихідний
каскад на транзисторі У79 -- це емітерний повторювач, охоплений неглибоким до-
датним зворотним зв'язком, що компенсує послаблення сигналу схемою зсуву
потенціалу. Зворотний зв'язок відбувається шляхом подачі частини вихідного сиг-
налу на емітер /78, а потім на базу емітерного повторювача М79. Схема забезпечує
невисокий р коефіцієнт підсилення А. - 2:10, послаблює синфазний сигнал
Кослоф" 60 дБ 1 володіє невисоким вхідним опором Кух7 4 КОм.
Основні характеристики ОП ділять на дві групи: статичні та динамічні. До
статичних відносять характеристики, що визначають роботу ОП у встановле-
ному режимі: коефіцієнт підсилення на постійній напрузі K(0)=AU,,, /AU, й
напруга зміщення нульового рівня е,, - яку потрібно прикласти до входу ОП,
щоб зробити (/,,, ; вхідні струми /,, та І, - це струми, протікаючі через
вхідні кола ОП; різниця вхідних струмів Л/, з =, | Температурний
коефіцієнт напруги зміщення нульового рівня Ле, /АТ; температурний
коефіцієнт різниці вхідних струмів ДД/,,/АТ; коефіцієнт ослаблення синфазно-
го сигналу Косе З Ко / К,.: максимальний вихідний струм ІГлихмаке-
Динамічні властивості ОП, описують за допомогою швидкості наростання вхідної
напруги Иип,,х.. Ураховуючи, що (/,,, 7 М , знайдена швидкість наростання (,,, :
Wry 2, _ 29,8
G.1)
de Cy
З формули (3.1) очевидно, що для збільшення швидкості наростання потрібно
збільшувати крутизну 5; дифереціального каск: та знижувати ємність корек-
тування Ск. Швидкість наростання пов'язана з граничною частотою підсилення
ОП. Наприклад, чим вища гранична частота, тим вища швидкість наростання
вихідної напруги.
У довідкових даних на ОП подають значення максимальної частоти підсилення
сигналу. Суть цього параметра полягає в тому, що він дає змогу встановити мак-
симальну частоту гармонічного сигналу, при якому на виході ОП можна отри-
мати без значних спотворень вихідний сигнал синусоїдальної форми з заданою
амплітудою. Цей параметр пов'язаний з максимальною швидкістю наростання
гармонічного сигналу на виході ОП. Якщо вважати, що (, =U,, -sin@t, то його
швидкість зміни буде рівна «Ї/,,, / «І - а, собам і при созам - | матиме мак-
симальне значення:
158 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

фо
З цієї формули знайдено максимальну частоту підсилення великого сигналу:

pq. na =
(au
U
iat), =
(Vita)
U

Отриманий вираз свідчить, що на виході ОП можна отримати неспотворений


сигнал амплітудою (/,,. З підвищенням частоти амплітуда неспотвореного сиг-
налу на виході зменшується за гіперболічними законом.
Динамічні характеристики ОП описують двома параметрами: граничною
частотою (частотою одиничного підсилення) /- /; і максимальною швидкістю
наростання вихідної напруги Ииших.мак-: Параметри динамічного режиму головно
залежать від кіл частотної корегування, яке відбувається за допомогою КС-кіл,
під'єднаних до відповідних затискачів ОП. Основне призначення корегування
запобігати виникненню автоколивань в ОП при охопленні його колом
від'ємного зворотного зв'язку.
Класифікація ОП. Відповідно до Держстандарту всі ОП поділяють на групи
за сукупністю їхніх параметрів: універсальні (К (0) - 10! - 10);
Л, 750-10МГи, 2, 2 0, 5МВ), прецизійні(інструментальні); швидкодіючі;
(пи 2 20В/мке, /, 2 15МГиц); мікропотужні (/,,,,,,, <IMA).
ОП ще вдосконалюють, впроваджують у виробництво нові типи, що
володіють унікальними властивостями. Зокрема, використовують ОП з
внутрішньою гальванічною розв'язкою входу та виходу. Для цього в ОП
уведений оптрон, за допомогою якого вхідні та вихідні кола ОП
розділяються.
Застосування ОП. Застосовують їх у схемах з глибоким від'ємним зво-
1 ротним зв'язком. Тип виконуваних ОП
операцій залежить від зовнішніх щодо ОП
пої елементів. Від параметрів самого ОП за-
ни, і лежить лише ТОЧНІСТЬ виконуваних

операцій. Розглянемо найбільш типові


Ry пристрої на основі ОП.
Іивертуючий | підсилювач | підсилює
аналогові сигнали з поворотом фази на
Рис. 3.4. Схема інвертуючого
підсилювача на базі ОП
180°. Ha рисунку 3.4 зображена схема
підсилювача, а на рисунку 3.5 - еквіва-
Розділ 3. Аналогова електроніка 159

лентна схема, на якій зображений вхідний опір ОПО Ку, а підсилювальні


властивості ОП відображені генератором К. (/,. з внутрішнім опором Вих

Рис. 3.5. Еквівалентна схема підсилювача з інверсією

У вхідному колі протікає змінний струм, діюче значення якого рівне:

bx
U, -U, me дуUn. . (3.2)
В В

Він розгалужується на два кола:


L=1,+1,,%1,

Струм 1 визначаюєть за вхідною і вихідною напругами:


СО, Мак. з —U, шо
-Ук (3.3)
В, в,

Оскільки / є 1,, то Op. Yous


В R,

Тобто коефіцієнт підсилення рівний:

Ke ws a (3.4)
Ur, В
Отож, коефіцієнт Ку підсиленння визначається зовнішніми резисторами ків.
Неінвертуючий підсилювач виконує підсилення електричних сигналів без пово-
роту фази. У схемі цього підсилювача (див.: рис. 3.6), сигнал подається на
неінвертуючий вхід, напруга зворотного зв'язку - на інвертуючий. Величина
напруги оберненого зв'язку рівна
160 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Напруга на диференційному вході


pisua: U,. =U, 7 Моз Вважаючи

власний коефіцієнт підсилення ОП


достатньо високим, можна вважати,

mo U,,, =0. Orme, U,, =U,,. Toni


Рис.3.6. Схема неінвертуючого А
підсилювача на ОП

Якщо Б» - 0, то Ку 7 І, тобто схема перетворюється у повторювач напруги з


високим вхідним і низьким вихідним опорами.
Логарифмуючий підсилювач. Такий підсилювач отримують шляхом
увімкнення в коло зворотного зв'язку замість резистора К.» (див. рис.3.7)
напівпровідникового діода.
ур Постійний струм у вхідному колі

I , рівни: Постійний струм

5 С,
через діод:
/, = 1, а Ze] ypu
г

ховуючи, що отримаємо:

Puc. 3.7. Логарифмічний підсилювач на ON

Звідси:

Ug. =U In 9 б
RI,
Вихідна постійна напруга пропорційна логарифму вхідної постійної напруги.
Інтегруючий підсилювач будують шляхом заміни резистора у колі зворотно-
го зв'язку конденсатором (див.: рис. 3.5, а).
161
Розділ 3. Аналогова електроніка

СО can9
ві dt

Оскільки /, - І,, отримаємо:

. С
1 fu...
Зві дси О Г
заміни резистора В кон-
підсилювач отримують шляхом
Диференціюючий
денсатором ( див.: рис. 3:9).
іш ой
h-= й -
Tr

ho
—_
c
fie -
a
o—Ll_t— - паot З
+
ик 1)
При 1)

підсилювач на
Рис. 3.9. Диференціюючий
Рис. 3.8. Інтегруючий підсилювач
оп

duit Си
J, = со, І, = Yan lO
Разом зтим dt . Ry

Оскільки /, - 1,, отримаємо:


dU, ох ()
С
aOR,
dU, (0)
Звідси 0, ()2 -КС dt
К» вмикають частот-
і філ ьтр и буд уют ь тоді , коли замість резисторів Кі ї
Активн алельно резистор Ві
КС-елементи. о замість К» ввімкнені пар
Якщ
но-залежні
частот З граничною частотою
С», то утворюється фільтр нижніх
ємність
денсатор
включені резистор В; і кон
оо
яз
лС,В,
Якщо замість ВК! послідовно
1
частотою /, 7
верхніх частот з граничною 2nC,R,
С, то утворюється фільтр
162 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Якщо ЕС-ланки ввімкнені одночасно замість R; i К» , то утворюється смуговий


фільтр.

3.4. Аналогові компаратори напруг

Будова та принципи дії. Компараторами напруг називають ІМС, призначені


для порівняння двох напруг та видавання результату порівняння в логічній
формі: більше чи менше. Напруга на виході буде мати високий рівень (Лиш. ЗАВ-
жди, коли різниця напруг між нсінвертуючим і інвертуючим сигнальними вхо-
дами додатна 1, навпаки, коли різницева напруга від'ємна, то вихідна напруга
компаратора відповідає логічному нулю Ма. Це правило формулюють як:

Ше прий,» ЦИ, , a60 AU,, >0


Yau = 0, при... «0,., абодо,, «0

Графічна залежність вихідної напруги від різниці вхідних напруг зображена на


рис. 3.10, а, а умовне схематичне позначення компаратора, зображене на рисун-
ку 3.10, 6. Очевидно, компаратор напруги, крім основних сигнальних входів,
може мати службові входи різного призначення: стробування, балансування,
узгодження рівнів та ін.
Ueu.
1"рих. ен
Jax
+
ди!
| ©
—4

a 6 в

Рис. 3.10. Передавальна характеристика (а) та умовне зображення стробованих


компараторів зі стробуванням по рівню (б) та по фронту (в)

Спрощена структурна схема компаратора напруги зображена на рисунку


3.11. Bona складається з вхідного дифенціального каскаду ДК, пристрою
зміщення рівнів та вихідної логіки. Вхідний диференціальний каскад формує і
забезпечує основне підсилення різницевого сигналу. Крім того, він дає змогу
здійснювати балансування виходу за допомогою зовнішнього підлашто-
вувального резистора і дає змогу скорегувати напругу зміщення нульового рівня
в межах 1-2 мВ, що виникає у диференціальному каскаді.
Розділ 3. Аналогова електроніка 163

Входи стробування призначені для фіксування моменту часу, коли викону-


ються порівняння вхідних сигналів і видавання результату порівняння на
вихід. Для цього на вхід стробування подають імпульсний сигнал дозволу
порівняння. Результати порівняння можуть появлятися на виході компаратора
лише під час стробу або можуть фіксуватися в елементах пам'яті і компаратора
до приходу чергового імпульсу стробу. Отже, стробовані компаратори можуть
бути без пам'яті та з пам'яттю. Крім того, стробування може бути виконане за
рівнем імпульсу або по його фронту.
Приклади такого позначення стробування зображені на рисунку 3.10, в.
Оскільки імпульс стробу прохо-
дить одночасно зі змінюваним + Bae. “Bane
вхідним сигналом, то мінімальна
тривалість стробу має бути такою,
щоб вхідний сигнал встиг пройти Пристрій
| й зміщення
крізь диференціальний каскад до
того, як спрацює комірка пам'яті. Вихідна

ba
Цей час називають часом дозволу ey > нирка.
вибірки. Застосування стробуван-
ня підвищує завадозахищеність

компаратора, оскільки завада мо-

же змінити стан виходу лише у


вузький час дозволу вибірки. Балансування
Коло зміщення, під'єднане до
диференціального каскаду, забез-
печує отримання оптимальних Рис. 3.11. Спрощена структурна схема
рівнів струмів в елементах дифе-
компаратора
ренціального каскаду та запобігає його насиченню при великому рівні вхідних
сигналів.
Характеристики аналогових компараторів. Основні параметри поділяють
на статичні і динамічні. До статичних відносять такі, які визначають стан ком-
паратора у встановленому режимі:
-
порогова чутливість - мінімальний різницевий сигнал, який можна вия-
вити компаратором та зафіксувати на виході як логічний сигнал;
Pe напруга зміщення Cay. Визначає зміщення передавальної характеристики

компаратора щодо ідеального положення;


- вхідні струми /; та Г,;
- | різниця вхідних струмів Д/,, 2 Ї; - І, - струм крізь заморочені вхол:
- напруга гістерезисну (/. Різниця вхідних напруг, які спричиняють спра-
цювання компаратора при збільшенні або зменшенні вхідної напруги:
164 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

-
коефіцієнт послаблення синфазного сигналу Косс- відношення синфаз-
ного сигналу (ли до диференціального сигналу ДИ/,х, який зумовлює спраціо-
вання компаратора Кгс 7 201g(U,,,,/AU,,. ):
- вхідний опір;
- вихідні логічні рівні - М, і 07, :
- | вихідний струм Іл - струм, що віддається компаратором у навантаження.
Основним динамічним параметром компаратора, що визначає його
швидкодію, є час затримування поширення стрибкоподібного вхідного сигналу.
Іноді цей час називають часом перемикання компаратора. Його відраховують
від моменту подання вхідного сигналу ДИ. до моменту, коли вихідний сигнал
досягне рівнів (/),, або (9, . Час затримування поширення суттєво залежить від
рівня вхідного диференціального сигналу ЛГ,
Застосування аналогових компараторів напруги. Основні особливості ана-
логових компараторів пов'язані з браком у них частотного корегування їі всли-
ким коефіцієнтом підсилення.
На відміну від ОП в компараторах практично не застосовують від'ємний зво-
ротний зв'язок, оскільки він понижує стабільність їхньої роботи. Спеціалізовані
компаратори напруг мають малі затримування, високу швидкість перемикання,
стійкі до великих перемикаючих сигналів.
Для усунення багатократних перемикань у момент порівняння сигналів в
компараторах часто використовують додатний
Uon User зворотний зв'язок. Він забезпечує над не пе-
У ремикання компаратора та усуває коливання
вихідної напруги в момент порівняння. Однак
R при введенні додатного зворотного зв'язку
створюється зона невизначеностей, зумовлена
р ХК; тістерезисом.
I. з
г 0» Швидкодія компаратора суттєво залежить
R
від рівня вхідного диференціального сигналу.
Зі збільшенням вхідного сигналу до певного
U3 значення час перемикання зменшується, однак
> дальше збільшення вхідного сигналу може

спричинити насичення компаратора та зни-

п ження його швидкодії.


R 2 Відмова від від'ємного зворотного зв'язку
І веде до ще однієї особливості застосування
компараторів напруги - зниження їхнього
Рис.3.12. Аналогово-цифровий
перетворювач на компараторах вхідного
й опору та збільшення вхідного струму.
напруги Зі зі льшенням вхідної напруги вище порого-
Розділ 3. Аналогова електроніка 165

вого значення в компараторів може різко зрости вхідний струм та понизиться


вхідний опір. Головно компаратори напруги застосовують у пристроях спря-
ження цифрових та аналогових сигналів. Простим прикладом такого застосу-
вання є аналогово-цифровий перетворювач паралельного типу (див.: рис. 3.12).
В ньому використані чотири компаратори К)... К; і резистивний подільник
опорної напруги оц. За однакових значень опорів у резистивному подільник
на інвертуючі входи компараторів подана напруга п(/ор/4, де п - порядковий
номер компаратора. На неінвертуючі входи компаратора подана напруга (/... В
результаті порівняння вхідної напруги з опорною напругою на інвертуючих вхо-
дах компараторів на виходах компараторів утворюється унітарний цифровий код
вхідної напруги. За допомогою цифрового перетворювача коду його можна пе-
ретворити у двійковий.
Для компарування аналогових сигналів застосовують також операційні
підсилювачі.

3.5. Аналогові перемножувачі напруг

Будова та принцип дії. Аналоговими перемножувачами напруг називають


ІМС, призначені для виконання операції перемноження двох сигналів та видачі
результату перемноження у формі напруги:

ne U, =K ' — масштабуюча напруга.


Особливістю операції перемноження є те, що полярність вихідної напруги зале-
жить від полярності двох вхідних напруг, кожна з яких може бути додатною або
від'ємною. Якщо вхідні і вихідні сигнали є обох полярностей і вони узгоджені,
то такий перемножувач називають чотириквадратним. Графічно залежність
вихідної напруги від вхідних напруг (/., /, зображено на рисунку 3.13.
Якщо вихідний сигнал змінює полярність при зміні полярності лише одного
вхідного сигналу, то перемножувач називають двоквадратним. Якщо всі сигна-
ли є лише однополярні, то перемножувач називають одноквадратним.
Масштабуюча напруга U, зазвичай є постійною, але в більшості мікросхем
перемножувачів значенням цієї напруги можна керувати, подаючи струм або
напругу управління на третій вхід. Оскільки в аналогових перемножувачах
вихідна напруга залежить від двох вхідних напруг, то його характеристики мо-
жуть складним чином залежати від цих напруг.
166 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Рис. 3.13. Передавальні характеристики перемножувача напруг

У реальному перемножувачі вихідна напруга виявляється пропорційною не


лише добутку вхідних сигналів, але й самим вхідним сигналам, тому для її
оцінення користуються формулою:

Uy, =KUU, + KU, +K,U,+ Ky,

де К - постійний коефіцієнт передавання repemnoxysaya, K,, K, - коефіцієнти,


що визначають зміщення, залежне від рівня вхідних сигналів (, і, К, «0, -
зміщення нульового рівня.
Щоб отримати високу точність перемноження сигналів у МС
перемножувачів вводять, наприклад, чотири типи регулювання, що дають змогу
встановити необхідний коефіцієнт передавання К та усунути пряме проходжен-
ня сигналів 2, ї У. Крім того, вводять регулювання зміщення нульового рівня.
У більшості випадків масштабуючий коефіцієнт вибирають таким, щоб викону-
валося співвідношення:

- 0, ЛО,
тобто К - 0,18". Ця умова використана при побудові трафіка, зображеного на
рисунку 3.13.
Розроблено декілька способів побудови аналогових перемножувачів напруги:
логарифмуючі, квадратуючі, з широко імпульсною модуляцією та ін., однак в
Розділ 3. Аналогова електроніка 167

ІМС перевагу надають перемножувачам, які функціонують за принципом


змінної крутизни.Базова схема перемножувача зі змінною крутизною зображена
на рисунку 4.30, а, а його спрощена структурна схема - на рисунку 3.14, 6
Метод грунтується на залежності крутизни біполярного транзистора від струму
емітера. З цією метою в схему введений диференціальний каскад, на вхід якого
подається сигнал (/. Вихідна напруга диференціального каскаду пропорційна
добутку крутизни 5 на вхідну напругу (/:

ous, = SRyUss
де Ку - опір навантаження.
Для регулювання крутизни диференціального каскаду в схему введений пере-
творювач напруги (/, в струм /, на транзисторі Т3. При достатньо великому
значенні опору В, струм емітера транзистора Т3 можна визначити за формулою:

1,=U,/R.

"Диференціаль-
ний каскад

Te

о--Ї Перетворювач
фом| | напруги
5--| (вструм

а 6

Рис. 3.14. Спрощена схема перемножувача зі змінною крутизною:


принципова (а) і структурна (б)

Щоб знайти знаходження крутизну транзистора, використаємо рівняння Еберса-


Молла:

I, =1,= Lee, (3a Use> 7).


168 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Тоді крутизна транзистора запишеться у вигляді:

за ані,
OU 5, Or
Підставляючи значення крутизни і струму емітера, знайдемо вихідну напругу
перемножувача:
І
ТЕ,
Pr
Ru uy =KUU,,
Or
де К В, /(К,Ф,) - коефіцієнт передавання перемножувача.
Практично, для усунення низки недоліків, притаманних | базовій схемі,
зображеній на рисунку 3.14 користуються ускладненою схемою перемножувача.
Зокрема, для створення симетричного входу за сигналому М, базову схему до-
повнюють другим диференціальним каскадом, входи якого ввімкнені паралель-
но входам першого. Колекторні виходи другого каскаду з'єднані перехресно з
колекторними виходами першого каскаду (див.: рис. 3.15).Завдяки симетрично-
му входу сигналу (/, удосконалений перемножувач може працювати у всіх чо-
тирьох квадрантах, тобто стає чотириквадратним.

о
15 76 в
|e У =
Ly Coun
від nepesmoxyeana
і 5
® 1.

а 6

Рис. 3.15. Спрощена схема чотириквадратного перемножувала напруг (а) і перетворювач


симетричного вихідного сигналу в несиметричний (б)
169
Розділ 3. Аналогова електроніка

ножувача да-
Розрахунки вихідної напруги для схеми чотириквадратного перем
ють таку формулу:
UU,R,
и i =KUU,,
Фу,

звідки слідує, що K =R,[(R, ФІ ї


симетричного виходу перемножувача в несиметричний у
Перетворення
підсилювача
спеціалізованих ІМС виконують за допомогою диференціального
рисунку 3.15, 6.
на ОП. Схема під'єднання такого підсилювача подана на
Вихідний сигнал перемножувача надходить на симетричний вхід ОП. Кос-
К, /в.
фіцієнт передавання такого підсилювача рівний відношенню опорів
.
Для розширення діапазону вхідних сигналів та виключення нелінійності
напруги ба-
зумовленої експоненціальною залежністю колекторних струмів від
орення
заземітер, у схему перемножувача вводять каскад попереднього перетв
навантаженням).
напруги (наприклад, диференціальний підсилювач з діодним
Основними параметрами перемножувачів напруг є: похибка перемноження
(Ap =Uyy ОПО бу о о ПО-П009о ПОДА 0); нелінійність перемножен-
ого
я максимальна різниця між фактичним і теоретичним значеннями вихідн
напруга зміщення нульового рівня (на входах (, -(/, -0); вхідні
сигналу;
струми ххх Та Їду; СМУГа пропускання; максимальне значення вихідної напруги
Usec max; MAKCHMQIDHH вихідний струм Я кій
Ky та типи перемножувачів. Перемножувачі напруг
Класифікація
оження.
поділяють за ознаками: принцип дії, смуга частот та похибка перемн
фмічні
За принципом дії перемножувачі поділяють на три основні групи: логари
Серійно випуск а-
з широтно-імпульсною модуляцією та зі змінною крутизною.
ною
ють лише перемножувачі, що діють за принципом управління крутиз
лиференціального каскаду, розглянуті попередньо. За похибкою перемноження
теремножувачі поділяють на групи малої, середньої та високої точності. Прила-
ли першого типу є самими простими, зазвичай вони є балансними модулятора-
для перетворення частоти сигналів. Їхня похибка не
ми і їх використовують
нормується.
фматор,
Перемножувачі середньої точності зазвичай містять вхідний логари
оження вище
що дає змогу збільшити вхідний сигнал до 10В. Похибка перемн
всі слементи
зілсотка. Перемножувачі високої точності мають у своєму складі
вихідний ОП,
сля побудови схеми перемноження: вхідний логарифматор,
йні. Похибка
стабілізатор напруг живлення. Навантажувальні резистори прецизі
ееремноження, зазвичай не перевищує відсотка.
170 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Для прикладу, на рисунку 3.16 зображене ввімкнення ІМС аналогового пе-


ремножувача напруг типу К525ПС2.

KXY
кетоз | 72 Шик.

Соч7 y KXY
Tour.
° © | xsosnice | у

о 1
12 Roe
o— AY]

o— AZ

a 6
Рис.3.16. Умовне позначення перемножувача напруг (а)
та його типова схема ввімкнення (б)

Перемножувач має симетричні входи для (/, і (/,. Вихідний ОП також має
виведення для подачі напруги коректування нульового рівня (напруги
зміщення). Всередині МС є резистор зворотного зв'язку ОП, один вивід якого
при значенні К-0, 1 потрібно під'єднати до виходу ОП (вивід 2). За необхідності
змінити масштабуючий коефіцієнт послідовно з цим резистором можна, вклю-
чивши додатковий зовнішній опір.
ІМС перемножувачів застосовують у різних електронних простроях. Крім
того, вони є складовою частиною багатьох спеціалізованих мікросхем та вузлів.
Перемножувачі можна використовувати також для обчислення активної та
реактивної потужності, визначення фазового зсуву двох напруг, ділення частоти
сигналів та ін.

3.6. Комутатори аналогових сигналів

Будова аналогових ключів та комутаторів сигналів. Комутація сигналів -- це


поширений метод, за допомогою якого сигнали, що надходять від декількох
джерел, об'єднуються в певному порядку в одній лінії. Після відповідного об-
роблення їх за допомогою другого комутатора можливо буде спрямувати в
Розділ 3. Аналогова електроніка 171

ловільні виконуючі пристрої. Упорядкований ввід і вивід сигналів здійснюють


здебільшого за допомогою адресації джерел та приймачів сигналів, а також
пов'язаних з передаванням сигналів комутаторів. Загальна структурна схема
зв'язку джерел і приймачів сигналів через комутатор зображена на рисунку 3.17.
Комутатор складається з певним чином пов'язаних електронних ключів, ви-
KOHAHMX Ha діодах або транзисторах. Ключі аналогових сигналів мають забезпе-
чувати неспотворене передавання сигналів від джерел до приймачів.

Джерело - приймачі
сигналів дом ae 77" 5a] cnrnams
Вибір Управління Budi
1 джерела комутацією приймача
сигналів сигналу
Пристрій
управління

Рис. 3.17. Структурна схема комутації джерел та приймачів сигналів.

Зазвичай пристрій управління комутатором є цифровим і діє або за


заздалегідь заданою програмою, або керується мікропроцесорами. Для вибору
певного ключа і призначення його функції використовують адресний дешифра-
тор команд.
З метою запобігання втрат при передаванні сигналів, а також для узгоджен-
я опорів джерел та приймачів сигналів у склад комутаторів можуть входити
різні узгоджуючи або нормуючі підсилювачі.
Спрощені схеми ідсальних та реальних ключів у замкнутому та
гозімкнутому станах зображені на рисунку 3.18. Ці схеми відображають роботу
ключів у статичному режимі, та не можуть бути використані для аналізу переш-
жод з кола управління або динамічних режимів самих ключів. Замкнутий ключ
(лив.: рис. 3.18, а) має внутрішній не постійний опір го, який складним чином
може залежати від струму Їх через ключ. Послідовно з опором діє джерело на-
пруги ер, яке також залежить від струму.
Розімкнутий ключ (див.: рис. 3.18, б) можна замінити опором витоку 75 і
ажерелом струму витоку І», які в загальному випадку можуть залежати від на-
пруги на розімкнутому ключі М.
Динамічні моделі ключів можуть включати різні паразитні ємності та
анлуктивності. За допомогою щих схем заміщення можливий аналіз швидкодії
ключів або розрахунок комутаційних втрат з кола управління. Індуктивності
хлючів можуть проявитися на високих частотах.
172 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

п 2

З НН =Ur Р

a 6
Рис.3.18. Схема заміщення ключа в замкнутому (а)
ї розімкнутому станах (б)

Діодні ключі. Застосовують для точного і швидкого перемикання напругі


струмів. Схеми різних діодних ключів зображені на рисунку 3.19.

Рис. 3.19. Схеми діодних ключів на двох діодах (а), мостового (б)
та на шести діодах (в)

Дводіодний ключ (див.: рис. 3.19. а) у тому разі, коли немає керуючої на-
пруги, закритий. При подачі на | аноди додатної керуючої напруги діоди
відкриваються і ключ замикається. Напругу зміщення такого діодного ключа
визначають | за різницею прямих напруг на діодах ДІ і Д2. При підібраних
діодах напруга зміщення лежить в межах 1-5 мВ, Час комутації визначають за
Розділ 3. Аналогова електроніка 173

швидкодією діодів. Для діодних ключів зазвичай використовують діоди Шоткі, в


яких недостатньо виражені ефекти нагромадження посіїв.
Основним недоліком такого ключа є пряме проходженння струму управляю-
чого сигналу через навантаження Ку, та джерело сигналу е,. Для зниження на-
пруги завади цю схему раціонально використовувати при малих опорах лжерела
сигналу опору навантаження.
Для зниження втрат з кола управління можна використовувати місткову
схему (див.: рис. 3.19, б). У схемі коло управління розв'язане від кола переда-
вання сигналу. Якщо напруга управління рівна нулю або має полярність, що
запирає діодний міст, то ключ розімкнутий. При додатній полярності джерела
управляючого сигналу ключ замикається, а струм управління проходить тільки
через діоди й опір R,. Ураховуючи, що для кола передавання сигналу діодні
пари 01 02, 03, 04 ввімкнені зустрічно, напруга зміщення також буде рівна
різниці прямих спадів напруг на діодах, тобто приблизно рівна напрузі зміщення
дводіодного ключа.
Недоліком схеми "6" є брак загальної точки в джерела сигналу та джерела
управління. Цього недоліку немає у схемі на рисунку 3.19, в. Тут використову-
ють два симетричні джерела управління гу! і е,г. Сигнали цих джерел підводять
до діодного мосту через розділюючі діоди 05, 06. Для підтримання діодного
мосту в запертому стані, без сигналів управління, на нього подають запираючу
напругу через резистори Ку і К,г від джерел постійної напруги «ЕЕ. У цій схемі,
аналогічно як і в попередній, забезпечується розв'язка джерела управління від
кола джерела сигналу.
Ключі на біполярних транзисторах є більш досконалі, ніж діодні, їх частіше
використовують у схемотехніці. Найпростіший ключ на одному транзисторі зоб-
ражений на рисунку 3.20, а. Вік складається з ключового транзистора ТІ і схеми
управління на транзисторі 12.
У тому разі, коли немає струму бази, ТІ закритий ї ключ розімкнутий, а при
проходженні через базу струму управління /, 2 І ключ замкнутий, У цьому
випадку колекторний та емітерний переходи відкриті та діють аналогічно, як
відкриті діоди у схемі 3.19, а.
Для зниження напруги зміщення використовують інверсне ввімкнення тран-
зистора, тобто напругу управління прикладають між базою і колектором. У цьо-
му разі напругу зміщення можна понизити до 5-10 мВ. Схема транзисторного
ключа з інверсним ввімкненням транзистора зображена на рисунку 3.20, 6. Для
зниження залишкової (остаточної) напруги два інверсно ввімкнені транзистори
під'єднаніні послідовно, спричиняючи додаткове зниження залишкової напру-
ги, яка буде рівна різниці напруг зміщення транзисторів ТІ і Т2. Такий транзи-
174 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

сторний ключ називають компенсованим. Недоліком ключів є їхній досить вели-


кий опір у ввімкненому стані.
Іноді для створення компенсованих ключів використовують двоемітерні
транзистори. Такі транзистори у ввімкненому стані мають достатньо малу за-
лишкову напругу.

Пристрій
управління

Рис. 3.20. Схема простого (а) та компенсованого (б) транзисторних ключів

У схемі компенсованого транзисторного ключа (див. рис. 3.20, б), у


вихідному стані, коли управляючі напруги (р і 0, рівні нулю, джерела
постійної напруги Б; і Е, відпирають транзистори Т3 і 74 та діоди Д1, 02. Разом
зтим через 01, 02 бази транзисторів ТІ і Т2 замкнуті з їхніми колекторами i
ключ розмикається.
Розділ 3. Аналогова електроніка 175

При запиранні діодів Д1 і 2 віл джерел (Ла ї С струм транзистора Т3 про-


ходить через відкриті переходи база-колектор ключових транзисторів ТІ, Т2 і
далі через транзистор ТА та джерело 2. У результаті обидва транзистори ТІ і Т2
набувають насиченого стану, 1 ключ замикається. Описаний ключ таким чином

буде двополярним.
Компенсовані транзисторні ключі входять до складу різних мікросхем, їх
використовують в оптренах з гальванічною розв'язкою.
В аналогових ключах використовують польові транзистори з каналами р і
п-типу. Оскільки рухливість електронів більша від рухливості дірок, то опір
каналу у ввімкненому стані у транзисторів з п-каналом нижчий. На швидкодію

ключів суттєво впливають перехідні процеси в транзисторах. 3 огляду на сказа-

не, здебільшого застосовують ПТ з ізольованим затвором, паразитні ємності в

яких менші. Найбільш поширені ключі на комплементарній парі польових


транзисторів.

Особливістю ключів на ПТ з ізольованим затвором є сильна залежність опо-


ру, що веде до модуляції провідності каналу вхідним сигналом і виникнення до-
даткових нелінійних спотворень. Для зниження спотворень, спричинених
модуляцією провідності каналу, в цих ключах обмежують рівень вхідних
сигналів та використовують великий опір навантаження ключа. Аналогічний
ефект с і в польових транзисторах з керованим р-п -переходом, однак для його
зниження на затвор подають сигнал управління, залежний від вхідного сигналу.
ті Т а ключ
ключ

Пристрій
управління
а 6

Рис. 3.21. Схема ключа на ПТ з керованим р-п-переходом /2)


та з ізольованим затвором (б)

На рисунку 3.21,а зображенана схема ключа на ПТ ТІ з управляючим р-п-


переходом і каналом р-типу.Схема управління ключем виконана на транзисторі
Т2, а живиться вона від джерела живлення 2. Діод 0 необхідний для того, щоб
напруга ор-витік алишалася рівною нулю при довільних значеннях
176 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

вхідних сигналів, Пристрій управління функціонує таким чином. Якщо напруга


управління рівна нулю, то транзистор 72 запертий і напруга ЗЕ через опір Ко і
1101 D надхолить до затвору транзистора ТІ, запираючи його. Наслідком є зами-
кання ключа. Якщо напруга управління вмикає транзистор 72, то анод діода 0
через насичений транзистор Т2 з'єднується з загальною шиною, в результаті чо-
го напруга на затворі ТІ знижується майже до нуля і транзистор ТІ
відкриваєт ься, що еквівалентно замиканню ключа.
При створенні комутаторів | широко використовують ключі на ПТ з
ізольованим затвором та індукованим каналом ро і потипу. Особливістю цих
ключів єте, що у вихідному стані за нульової напруги на затворі вони заперті.
Збагачується канал носіями зарядів лише при поданні на затвор напруги, що
перевищує порогову напругу. Струм витікання таких транзисторів визначається
струмами, які протікають у закритому транзисторі від витоку і стоку до
підкладки та мають значення 1-10 мА за нормальної температури.
Схема простого ключа на ПТ з ізольованим затвором ї каналом р-типу зоб-
ражена на рисунку 3.21,6. Для відкривання ключового транзистора Т на його
затвор необхідно подати напругу (шу Для запирання ключового транзистора Г
на його затвор необхідно подати напругу від'ємної полярності, що перевищ
ує
порогову напругу Шо Для запирання ключового транзистора 7 напруга на
затворі має бути додатною (або 0). Пристрій управління для схеми (див.:
рис. 3.21,б) виконаний на компараторі напруги К (або ОП). Якщо напруга
управління рівна нулю, то на виході компаратора буде додатна напруга, близька
до Е. За додатної керуючої напруги компаратор перемикається, на його виході
виникає від'ємна напруга, також близька до напруги живлення Е.
Крім окремих транзисторів, у ролі ключів широко поширені схеми на
КМон-транзисторах. У цих ключах усунено багато недоліків, притаманних
ключам на одиночних транзисторах, зокрема усунена модуляція опору каналу
вхідним сигналом, знижений опір ключа у відкритому стані та зменшений струм
витікання. Схема ключа на КМОН- -транзисторах зображена на рисунку 3.22, а.
Для одночасного перемикання транзисторів 3 ввімкненог о стану x вимкнений
сигнал управління подається на затвор іншого -- через інвертор.
Зі збільшенням вхідної напруги опір р-канального транзистора збіль-
шується, а п-канального зменшується. В результаті паралельне з'єднання цих
транзисторів володіє майже незмінним опором у відкритому стані (лив.:
рис. 3.22, 6). Оскільки транзистори ключа керуються сигналами протилежної
полярності, то імпульси завад взаємно компенсуються, даючи змогу знизити
рівень вхідних сигналів.
Розділ 3. Аналогова електроніка 177

п-канал
-Е 12

Lil
tzЧТ
| [| аа
Lti
(p> Kanai

a 6
Рис. 3.22. Схема ключа на комплементарних транзисторах (а)
та залежність його опору у відкритому стані вхідної напруги (б)

Контрольні запитання до розділу 3

Охарактеризуйте різновиди АІМС за характером перетворень.


2. Сформулюйте означення ОП, основні характеристики, нарисуйте умовне по-
значення на функціональних та принципових схемах, схеми ввімкнення.
3. Опишіть принцип роботи аналогового компаратора напруг, нарисуйте його
умовне позначення та передавальну характеристику.
Нарисуйте спрощену структурну схему компаратора.
тк

Опишіть принцип роботи аналогового перемножувача та нарисуйте його


спрощені принципову та функціональну схеми.
6. Нарисуйте типові схеми комутаторів аналогових схем.
Розділ 4. ЦИФРОВА ЕЛЕКТРОНІКА

4.41. Цифрові логічні елементи ІМС

Параметри та характеристики логічних елементів. Логічними елемента-


ми (ЛЕ) називають електронні схеми, що виконують логічні операції з цифрови-
ми сигналами, які мають два значення: (7 (логічний нуль) і (/ (логічна одини-
ця). Основними логічними операціями є: логічне заперечення (інверсія, операція
НЕ), логічне складання (диз'юнкція, операція АБО) і логічне множення
(кон'юнкція, операція І).
Одні і ті ж перетворення логічних змінних можна задати в різних формах: за.
допомогою операцій І, АБО, НЕ (булевий базис), операції І-НЕ (базис Шефера).
операції АБО-НЕ (базис Пірса), а також іншими способами. Вибір базису зале-
жить від простоти реалізації довільної операції за допомогою електричних схем.
обраної схемотехнології. Найчастіше застосовують базиси Шефера і Пірса.
Параметрами ЛЕ є:
- коефіцієнт розгалуження за виходом;
- коефіцієнт об'єднання за входом;
- | середній час затримання поширення сигналу Ітлпс 3
- | логічний перепад /, 2 0'- 0";
- порогові напруги 1/7,nop і (/ nop >
1
- | статична sananoctiiikicrh U? i U, nop >
- споживана потужність: Р, nomen-(р' on +P), /2
) де РФ,on і Р',о - потужності.
що споживаються при поданні на вхід напруг 1" і (0;
- робота перемикання: А =P. of. тс.
Коефіцієнт розгалуження за вихо»
дом М, характеризує навантажуваль».
спроможність логічного елемента, тобто.
максимальне число входів ідентичних
схем, яке може бути одночасно залучено
до виходу елемента без порушених
його працездатності (див.: рис. 4.1).
Коефіцієнт об'єднання за входом 7
характеризує число логічних вхолоя
Рис. 4.1. Схема, що ілюструє коефіцієнт логічного елемента (1, 2, 3, 4 або 8).
розгалуження за виходом М,24
Розділ 4. Цифрова електроніка 179

Основні параметри логічних елементів визначають за допомогою вхідної,


вихідної та передавальної характеристик. Типові характеристики цього типу для
інвертуючих елементів логіки типу ТЛЛ зображені на рисунку 4.2.

ti, MA ов
7 Uormin

Uo imax
-1,5

ПВА

мо | М
р
Uirmax Un. Uns Ui min зв
в
Рис. 4.2. Вхідна (а), вихідна (б) і передавальна (в) характеристики ЛЕ, реалізованого за
технологією ТТЛ

Тут використовують стандартні позначення:


- вхідні (У, і вихідні U, рівні напруг;
вхідні напруги низького (,, і високого (/,, рівнів:
вихідні напруги низького (/,, і високого (/,,, рівнів;
вхідний Г, і вихідний |, струми;
вхідний струм /, - при низькому рівні напруги на вході, Й, - при ви-
сокому;
вихідний струм 1,, - при низькому рівні напруги на виході, Іру; - при
високому.
Вхідна характеристика ЛЕ - це залежність вхідного струму від зміни вхідної
напруги: 12 / (U,) (aup.:puc. 4.2, а).Ця характеристика служить для визначення
вхідних струмів /, для напруги ца
(/,, ТА Ту для напруги Пул
Вихідна характеристика U, = /(1,) - це залежність вихідної напруги від
струму навантаження для станів високого і низького рівнів (див.: рис. 4.2. 6). З
180 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

цієї характеристики визначають допустимі значення струмів: 1 /,, - при низь-


кому рівні вихідної напруги (/, OL max ії-Лун - при високому рівні напруги (у у:
Передавальна характеристика (, - / (U,) - це залежність вихідної напруги від
вхідної (див.: рис. 4.2, в). Ця характеристика слугує для визначення значення
завадостійкості для низького рівня на вході М; і для висого рівня на вході Му:

м, «Ор птах?

м, = Usp min —Uny я

Для визначення часових параметрів сигналів визначені умовні рівні в част-


ках від амплітуди: 0.1; 0,5; 0,9.
Апаліз амплітудної перелавальної характеристики логічного інвертора.
Розглянемо типову амплітудну передавальну характеристику (АПХ) ЛЕ -
інвертора (див.грис. 4.3).

Chex.
Max. Hop.

Ue

Usur. nop

Рис. 4.3. Амплітудна передавальна характеристика ЛЕ-інвертора

У статичному стані вихідний сигнал ЛЕ може знаходиться або на верхньому


(0) або на нижньому (М, ) рівнях напруги. Асимптотичний верхній (В) і асим-
птотичний нижній () рівні логічних сигналів знаходяться як точки перетину
ЛИХ (крива 1) зїї дзеркальним відображенням (крива 2) щодо прямої одинично-
го підсилення (ж 7 Ох. Різниця 05, —U" - це логічний перепад (/, вихідних
рівнів ЛЕ, На практиці через вплив перешкод і розкидування амплітудних пере-
Розділ 4. Цифрова електроніка 181

давальних характеристик для кожного типу ЛЕ встановлюється лінійний пере-


пад:
-U" exce.nop.?
U, in =U auce.nop. ~~

NE Use nop. 1 Сллоь - ВОРХНІЙ та нижній рівні вихідної напруги порогу. Вихідні
порогові напруги знаходять за допомогою (порогових точок 6 і а на
характеристиці, в якій диференціальний коефіцієнт підсилення за напругою
Ky=-l.
Зони статичної завадостійкості JIE 3a з нижнім (ux nep )ra верхнім

(сх, ) рівнями напруг у комбінаційних логічних схемах визначають за вираза-

ми:

(ом о
учи
де (0»,) х (ох) характеризують максимально допустимі рівні статичних завад

на вході ЛЕ в комбінаційних логічних схемах: (//.,, - поріг вихідної напруги

нижнього рівня; (/7,чалор " ПОРіг вихідної напруги верхнього рівня; (/., - поріг

квантування.
Однак унаслідок наявності схем з додатним зворотним зв'язком у всіх ІМС
зони статичної завадостійкості за входом обмежуються вхідними напругами по-
рогу: ("рax.nop. - за нижнім рівнем і (/; - за верхнім. Ці порогові напруги нази-
вають напругою порогу зони перемикання нижнього рівня і напругою порогу зони
перемикання верхнього рівня. В зоні перемикання, обмеженій напругами порогів,
робота ЛЕ в статичному режимі заборонена.
Отже, статичну завадостійкість ЛЕ за нижнім рівнем вхідного сигналу ви-
значають виразом

Реалізація характеристик, наближених до ідеальних, пов'язана з відомими


труднощами внаслідок технологічного розкидування параметрів МС при
виготовленні; зміни напруги порогів, залежно від зміни напруги живлення і тем-
182 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ператури оточуючого середовища в процесі експлуатації. Тому реально зони


статичної завадостійкості для кожного типу ЛЕ встановлюють на основі статич-
ного аналізу амплітудних передавальних характеристик.
Динамічні параметри. Швидкодія ЛЕ при перемиканні визначається слек-
тричною схемою, технолог ією виготовлення і характером навантаження.

На рисунку 4.4. зображена часова діаграма, яка пояснює зміну характеристик


вихідного імпульсу залежно від параметрів вхідного імпульсу та властивостей
елементу.

Us.
1,0
09

0,5

01
о

і
Une: |
1,¢
0,9)

0,5

01
0

Рис. 4.4. Основні динамічні параметри

Рівні відліку напруг для визначення динамічних параметрів встановлюють


щодо вихідних напруг порогів 717 до 707.Основними динамічними параметрами
ЛЕ є: затримування поширення сигналу при перемиканні і тривалості додатного
(наростаючого) та від'ємного (спадаючого) фронтів вихідних сигналів.
Затримування поширення сигналу при переході вихідної напруги від "1" до
707 г, (при додатній логіці це відповідає від'ємному фронту, при від'ємній -
додатному фронту вихідного сигналу) визначають як інтервал часу між вхідним
і вихідним сигналами ЛЕ, виміряними на рівні 0,5 логічного перепаду вхідного
та вихідного сигналів.

Затримування поширення сигналу при переході вихідної напруги від "0" до


"17, зад (для додатної логіки це відпові, є додатному фронту, для від'ємної -
Розділ 4. Цифрова електроніка 183

від'ємному фронту вихідного сигналу) визначають як інтервал часу між


вхідним і вихідним сигналами ЛЕ, виміряний на рівні 0,5 логічного перепаду
вхідного і вихідного сигналів.
Час переходу 1" "І" у стан "0" - інтервал часу, впродовж якого напруга на
виході ЛЕ переходить від рівня 717 до рівня 707, виміряних при значеннях 0,9 і
0,1 логічного перепаду.
Час переходу І" на виході ЛЕ зі стану "0" у стан 71" - інтервал часу,
впродовж якого напруга на виході ЛЕ переходить від рівня 70" до рівня 717,
виміряних при значеннях 0,| і 0,9 логічного перепаду.
Час переходу Гу,10 ввімкнення ЛЕ - інтервал часу між вхідним і вихідним
сигналами при переході напруги на виході ЛЕ від напруги 71" до напруги 70",
виміряного на рівні 0,1 логічного перепаду вхідного сигналу та на рівні
0,9 вихідного сигналу.
Час затримування 1? ЛЕ - інтервал часу між вхідним і
вихідним сигналами при переході напруги на виході ЛЕ від напруги 70" до на-
пруги 717, виміряного на рівні 0,9 логічного перепаду вхідного сигналу та на
рівні 0,1 вихідного сигналу.
Характеристики динамічної завадост ості - залежність амплітуди завади
від її тривалос Складність отримання сім'ї характеристик динамічної
завадостійкості елементів не дає змоги використовувати їх у ролі основної або
довідкової характеристики в ТУ на ЛЕ.
Гранична робоча частоти елемента задає діапазон робочих частот сигналів,
переданих елементом без спотворення так, щоб за час одного такту в схемі всти-

гали завершитися перехідні процеси.


Частота перемикання -- максимальна частота, на якій за найгірших умов
гарантується спрацювання лічильного тригера, складеного з логічних елементів
цієї серії.
Інтегральні параметри відображають розвиток технології та схемотехніки,
якість цифрових ІС. Основними інтегральними параметрами IC є енергія пере-
микання (Рг )та рівень інтеграції М. Енергію перемикання обчислюють:
Ра, camp cp.” 4 sam.ncp. - При заданій технології та схемотехніці або при заданій
енергії перемикання (Рг 7 сопзі) можна створювати різні серії ІС, які володіють
або високою швидкодією і великою потужністю, або низькою швидкодією і ма-
лою споживаною потужністю. За цим параметром оцінюють рівень розвитку
цифрової мікроелектроніки та порівняння різних типів ІС.
184 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

4.2. Схемотехніка логічних елементів


інтегральних
мікросхем

4.2.1.Транзисторна логіка з безпосере


дніми зв'язками
Схема транзисторної логіки з безпосе-
редніми зв'язками (ТЛБЗ) грунтується на
паралельному з'єднанні транзисторних
ключів зі спільним колекторним наванта-
женням (див. рис. 4.5).
Керуючі сигнали x; ії х» подають на бази
транзисторів V7, i МТ» з колекторів попе-
редніх ЛЕ. Якщо на входи х; і х» подані
Рис. 4.5. Схема транзисторної логіки curva Ul, To Tpansuctopu VT, i VT>
з безпосередніми зв'язками закриті, струм від джерела Бр, тече через
резистор К, у базове коло транзист ора /75, На
виході ЛЕ встановлюється напру-
та 0), 20,7 В. Якщо на одному з входів діє
високий рівень С, хто відповідний
транзистор відкривається, і на виході ЛЕ
встановлюється напруга (/?,, недос-
татня для відкривання транзистора МТУ.
Аналогічний рівень отримують при відкриванні
обидвох транзисторів. Отож,
ЛЕ виконує операцію АБО- НЕ.

4.2.2. Діодно-транзисторна логіка


Більш досконалим, порівняно з логічним елем
ентом ТЛБЗ, є логічний сле-
мент діодно-транзисторної логіки (ДТЛ), схема
якого зображена на рисунку 4.6.

R в,

ID, FD, VD,


т

Ry

Puc. 4.6. Cxema діодно-транзисторної логіки


185
Розділ 4. Цифрова електроніка

функціональні части-
У цій схемі можна виділити дві послідовно ввімкнені
ни: у першій вхідні сигнали х) і х» надходять на діодний елемент (ИД) і У До і К),
на транзисторі V7), ¢
що виконує операцію Ї; друга частина, виконана
і операції І та НЕ, тобто
інвертором. Отже, в схемі роздільно виконуються логічн
входів ЛЕ).
схема реалізує логічну операцію 21-НЕ О - кількість
між двома частинами схе-
Діоди И Ду і Да відіграють роль елемента зв'язку
ми та підвищують її завадостійкість.
сигнал UL... -0, то один з діодів
Якщо на один з входів х; ЧИ Х» поданий
відкритий і в схемі протікає струм від джерела Ер» через резистор Кі та
відкритий діод. Разом з тим У точці А встановиться потенціал UL, =0,7B,
послідовно ввімкнених діодів Из ї ур У
недостатній для відкривання двох
схеми встановиться напруга
результаті транзистор УТ; буде закритий і на виході
схема буде
U1 * Ei що відповідає логічній одиниці. В такому стані
буде поданий високий
незмінною до тих пір, допоки на обидва входи хі іх» не
ИДі і ИО» закриваються,
рівень сигналу ULI"). У цьому випадку діоди
відкривання діодів /Д5 і
потенціал точки 4 збільшується ставши достатнім для
А», діоди Из iVDyy
УДу, і в колі потече струм від джерела ож Через резистор
встановлюється низький
базу транзистора ИТ; відкривається і на виході схеми
рівень напруги (/ о «0, я 0,1 В (707), тобто в схемі ДТЛ виконується операція

створити коло розсмокту-


1-НВ. Резистор Кз необхідний у схемі для того, щоб
у (при перемиканні VT) 3
вання нагромадженого в базі транзистора УТ заряд
відкритого стану в закритий).
володіють високою швидкодією і великим логічним
Логічні елементи ДТЛ
перепадом (І, з Ці З Мох Я Ву Однак у напівпровідникових ІМС схема ДТЛ
(транзистор у діодному
має суттєвий недолік - велику кількість діодів
ючої кишені. Тому площа,
ввімкненні). Кожний такий транзистор потребує ізолю
є досить-таки великою. Звідси виникла ідся
зайнята схемою на підкладці,
та діодів ИДз і Уа одним
замінити сукупність логічних діодів (ИД| і ИРу)
ізолюючій кишені. Таким
багатоемітерним транзистором, виконаним в одній
льш поширених сімейств
чином був здійснений перехід до одного з найбі
логіки (ТТЛ).
логічних ІМС - схем транзисторно-транзисторної

4.2.3. Транзисторно-транзисторна логіка


діодів ЙО; і /)» виконують
У базовому елементі ТТЛ (див.: рис. 4.7) функції
aionis VD3, VD4 — його колектор-
емітери багатоемітерного транзистора, а роль
операцію, що і схема ДТ,
ний перехід. Отже, схема ТТЛ виконує ту ж логічну
низького рівня С) є 0
тобто І-НЕ. Дійсно, якщо на вході х; або хо діє сигнал
186 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

(207), то у колі протікає струм від джерела живлення Ех. Через резистор В та
відповідний відкритий емітерний
перехід. Потенціал бази МТ| стає рівним
-0,7 В. Цей потенціал розподіляється
приблизно порівну між колекторним
переходом УТ; і емітерним переходом.
транзистора УТ». Тому напруга (/. не-
достатньо для відпирання транзистора
УТ», і на виході схеми встановлюється
високий рівень напруги
Рис. 4.7. Принципова схема базового еле-
Важ (ЗІ7).Якщо на входах хі і хо
мента транзисторно-транзисторної логіки Uh, я

діє високий рівень сигналу 0/, (717), то емітерні переходи УТ, закриті, струм
тече від джерела Бр» через резистор В, колекторний перехід УТ; та емітерний
перехід И7». Потенціал бази транзистора УТ стає рівним 0,,, 2 0,7В, а

потенціал /, «1,4 В. Транзистор УТ» відкривається, і на виході схеми

встановлюється низький рівень напруги (/,, я 0,1 В.


Схема ТТЛ, зберігаючи всі позитиви
ДТЛ, крім того суттєво виграє за пло-
щею. Тому ця схема практично витіснила
схеми ДТЛ. Однак у розглянутому
варіанті схема ТТЛ через малу наванта-
жувальну здатність на низьку швидкодію
має обмежене застосування. З метою
усунення цього недоліку в схемах ТТЛ
замість простого інвертора використову-
Рис. 4.8. Схема транзисторно- ють складний інвертор (див.грис. 4.8).
транзисторної логіки зі складним У цій схемі транзистор УТ» виконує
інвертором функцію "фазорозщеплювача".
Розглянемо роботу інвертора. Нехай на вході інвертора (на базі ИТ») діє
низький рівень напруги СРО"). Транзистор УТ» закритий, потенціал точки А
високий, а точки В - низький. Отже, транзистор УТУ закритий, а МТ; - відкритий.
Навантажувальна ємність Су швидко заряджається від джерела Важ. Через рези-
Ко, відкритий емітерний перехід МТ; і діод УЮ. На виході схеми
стор
встановлюється високий рівень напруги п о па

=5-0,7-0,7=3,6B (“I”). Резистор В, призначений для обмеження струму в


послідовній ланці ИТ; - VD,— VT.
Якщо на вході інвертора встановлюється високий рівень ПЛСЗТ"), то транзи-
стор УТ» відкритий, /7; закритий і /Т; відкритий. Ємність Су розряджається че-
електроніка 187
Розділ 4. Цифрова

рез відкритий транзистор ИТу і на виході схеми встановлюється низький рівень


напруги (79, 2 0,1 В(70").

уп
x
& a
УТ, Б &

% gk 1 у
Xs
и

x «
x &

6 в
Puc. 4.9.:
а -ТТЛ з розширеними функціональними можливостями;
б - функціональна блок-схема; е- умовне позначення на блок-схемах

Діод ИД); забезпечує надійне закривання МТ; при відкритому транзисторі 75


завдяки тому, що заряд і розряд паразитної ємності Су; проходять через транзис-
тори МИТ», УТ, з низьким опором у відкритому стані, схема ТТЛ зі складним ін-
вертором володіє високою швидкодією. Середній час затримання поширення
сигналу в цій схемі становить - 10 не. Більш висока швидкодія схеми ТТЛІЙ, в
якій замість звичайних біполярних транзисторів застосовують транзистори з
бар'єром Шоткі. У цьому випадку час затримання 7 3 He.
188 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Для розширення функціональних можливостей ТТЛ-логіки промисловість


випускає логічні елементи, що виконують три функції: ИАБО-НЕ. Схема такого
комбінованого логічного елемента подана на рисунку 4.53, а, а на рисунку 4.9, б
- його функціональна блок: хема, яка містить два двовходові елементи І на
транзисторах УТ» і УТ», а також двохвходовий елемент АБО-НЕ на транзисторах
VT, i VT;, вихідний каскад на VT; i VT. YMospue позначення на блок-схемах
описаної логіки зображене на рисунку 4.9, в.

4.2.4. Емітерно-зв'язана логіка


Робота схеми ТТЛ грунтується на використанні режиму глибокого насичен-
ня транзистора, який характеризується нагромадженням зарядів у базовій і
колекторній областях. Для розсмоктування цих зарядів при переході в закритий
стан необхідний деякий час, що обмежує швидкодію схеми. У варіанті схеми
емітерно-зв'язаної логіки (ЕЗЛ) транзистори не переходять у режим глибокого
насичення, завдяки чому швидкодія зростає (2 2 нс). Основу схеми ЕЗЛ стано-
вить перемикач струму (див.грис. 4.10, а).
+E se

Puc. 4.10.
а - принципова схема перемикача струму в ЕЗЛ:
6 - зміна колекторного струму при перемиканні

Схема є аналогом диференціального каскаду, в якому до другого входу


під'єднанене джерело постійної напруги Є, (опорної). Джерело стабільного
струму забезпечує струм /», що відповідає нормальному активному режиму. Як-
що (/х. Бо, То обидва транзистори відкриті і через кожний протікає струм
1, =I, = 1» - 1,/2. Напруга на емітерах (, - Е, - 0", Відомо, що в активно-
му режимі струм колектора дуже залежить від напруги (/., I, =al,(U,../U;).
Tomy 3i 3Minoro Us. Ha величину 5U = 2,3U; (60 MB 3a Т -259С) колекторний
струм змінюється на порядок. У разі зміни вхідної напруги менше Б на величи-
Розділ 4. Цифрова електроніка 189

ну 5, напруга (/,, -,, 7 . Також зменшиться, що приведе до різкого зменшення

струму /,, (див.грис. 4.10, б). Оскільки сумарний струм транзистора заданий генера-
тором струму /, , то струм 1, зростає, тобто відбудеться перемикання струму в пра-
ве плече схеми. Транзистор УТ) буде закритий і на першому виході встановиться на-
пруга високого рівня (/, "ск транзистор МТ» буде відкритий і на другому виході вста-
новиться напруга низького рівня 1/7. .. Однак транзистор /7» не перейде в режим
насичення, оскільки його струм задається генератором струму /,, величина якого
менша від струму насичення транзистора.
Якщо напругу на вході збільшити щодо величини Б на д(/, то струм пере-
микатиметься в ліве плече схеми. Отже для перемикання струму Ї, з одного
плеча в друге достатньо змінити вхідну напругу на величину 26(/ 2 0,12 В щодо
рівня Ко.
Схема базового елемента ЕЗЛ відрізняється від розглянутої схеми перемика-
ча струму тим, що вона містить у лівому плечі не один, а декілька транзисторів,
які працюють на загальне навантаження в колі колектора. При подачі на довіль-
ний з входів сигналу /,, - У, 2|Е, ди) струм перемикається в ліве плече, а
при наявності на всіх з входах 4 сигналів U,, =U. <(E,-dU) струм
перемикається у праве плече. У разі знімання вихідної напруги з лівого плеча
схема виконує операцію АБО-НЕ, а при зніманні сигналу з правого плеча -
операцію АБО. Принципова схема двовходового елемента ЕЗЛ зображена на
рисунку 4.11.
Я -Е-У

Ва Ка R
ут
Рг
гу
т, in іт a PB Us
У GA
Es ча
В, в R. RB аа

i
Рис. 4.11. Принципова схема двовходового елемента емітерно-зв'язаної логіки
190 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Особливістю схеми ЕЗЛ є живлення від джерела з заземленим плюсовим по-


люсом. Таке ввімкнення дає змогу підвищити завадостійкість схеми.
За ланцюгового ввімкнення логічних елементів вихідна напруга попередньо-
го елемента є вхідною для наступного. Водночас наступний слемент не має пе-
реходити в режим насичення при поданні на його вхід логічної одиниці. З цією
метою схема ЕЗЛ містить розділюючі смітерні повторювачі ИТу і УТ, завдяки
яким вихідні потенціали схеми ЕЗЛ понижуються на 0,78 щодо потенціалів
колекторів VT, i УТ» Оскільки емітерні повторювачі володіють низьким
вихідним опором, то під'єднання до виходу схеми зовнішніх навантажень слаб-
ко впливає на її роботу, тому коефіцієнт розгалуження для елемента ЕЗЛ до-
сягає 25.

4.2.5. Логічні елементи з інжекційним живленням

У ЛЕ цього типу (ГЛ) застосовують транзистори з інжекційним живленням,


структура та принцип роботи яких розглянуто у п. 2.4.2. розд. 2. В основі ро-
боти схеми ГЛ є схема ТЛБЗ (див. рис. 4.5), у якій резистор А, замінений р-п-р -
транзистором УТ», увімкненим за схемою зі СБ (див.: рис. 4.12 а).

а 6

Рис. 4.12. Принципова (а) та еквівалентна (б) схеми ЛЕ з інжекційним живленням

Цей транзистор виконує функції джерела струму, який живить колекторні


кола транзисторів VT, i УТ», коли вони знаходяться у відкритому стані. Якщо ж
УТ 1 УТ, закриті, то він є джерелом струму базового кола наступного логічного
елемента. Бази транзисторів МТ! і УТ» під'єднаніні до колекторів попередніх ЛЕ
ї живляться також від своїх джерел струму /,.
Розділ 4. Цифрова електроніка 191

Схема ГЛ функціонує таким чином. При надходженні на входи схеми


логічних нулів від попередніх ЛЕ, генератори /,, і І, живлять колекторні кола
попередніх елементів (див. рис.4. 126). Транзистори УТ) і УТ» закриті, і генератор
Із, живить базове коло наступного ЛЕ. Якщо на вхід х; надходить напруга ол;
то транзистор УТ! відкривається і його базове коло живиться від генератора /,,;
а колекторне коло - від генератора /,, Транзистор переходить у режим наси-
чення і на виході ЛЕ встановлюється напруга, рівнозначна логічному нулю.
Аналогічно процес відбувається за подання (/ на вхід х. Таким чином
схема виконує логічну операцію АБО-НЕ.
Зазначимо, що вмикати у кожне базове коло транзистор р-п-р, що виконує
функції джерела струму /,, нераціонально. Тому, враховуючи, що бази всіх
транзисторів р-п-р заземлені, а емітери (інжектори) під'єднані до джерела жив-
лення через резистори, в ІМС замість великої кількості індивідуальних джерел
струму використовують один багатоколекторний транзистор типу р-п-р, кожний
колектор якого під'єднаний лише до
одної бази відповідного транзистора.
Для реалізації логічної операції І за-
стосовують | схему, зображену | на
рис. 4.13.
У разі подання на входи хі 7 7 о
транзистори УТ; і УТу закриті, а транзи-
стори ИТ» і УТ, відкриті; на виході
у - (?, Якщо на одному з входів (х; або
хз) діє сигнал (/, а на другому (/, то
стан схеми не змінюється. Якщо ж з ;
xy=x= U', To tpansucropn VT; i VT; Рис. 4.13. Схема ІЛ, що реалізує

відкриті, а ИТ» і УТ4 закриті; Y= ол Для операцію |


виконання операції І НЕ до виходу схе-
ми під'єднують додатковий інвертор.
Зважаючи на низку переваг, ЛЕ ГЛ застосовують у ВІС. Зокрема, вони займа-
ють невелику площу, споживають незначну потужність, володіють високою
швидкодією.

4.2.6. Логічні елементи на МДН-транзисторах


ЛЕ на МДН-транзисторах будують на основі ключів з динамічним наванта-
женням; вони складаються з однотипних або комплементарних МДН-тран-
зисторів.
192 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

При побудові ЛЕ на однотипних МДН-транзисторах перевага надається


транзисторам з індукованим каналом п-типу, які забезпечують більш високу
швидкодію ЛЕ. На рисунку 4.14, а зображена схема ЛЕ, що реалізує функцію
АБО-НЕ.
+ Bo +E

VE,

a 6
Рис. 4.14. Принципова схема ЛЕ АБО-НЕ, виконана на однотипних транзисторах (а);
схема ЛЕ, що реалізує функцію І-НЕ (б)

У разі подання на обидва входи х; і хо, або на довільний з них високого


рівня напруги (7, обидва транзистори МТ і УТ», або один з них, відкриті. На
виході встановлюється низький рівень напруги (/(70"). Якщо на обидвох вхо, x
хі ї хз діє напруга низького рівня (/, то транзистори МТ) і УТ) закриті, і на виході
встановлюється високий рівень напруги (/ E jy. TaKMM YHHOM JoriMnnit mepe-
пад становить 0, -0'-0"ж В,
ЛЕ, схема якого зображена на рисунку 4.14, б, реалізує функцію І- НЕ. Якщо
хоча б один з керуючих транзисторів закритий (ИТ; або УТ»), тобто на одному з
входів діє низький рівень напруги (/, то на виході схеми буде високий рівень
напруги (я Е,. Схема перемикається в другий стан (низький рівень на
виході) лише тоді, коли на всіх входах діють високі рівні напруги U! (VT, У
відкриті). Швидкодія ЛЕ на однотипних МДН-транзисторах, як і аналогічних
ключів, обмежується швидкістю перезарядження ємності навантаження Су, ве-
личина якої пропорційна кількості навантажувальних ЛЕ.
Двовходова схема ЛЕ на комплементарних (КМДН) транзисторах, що
реалізує функцію АБО-НЕ, приведена на рисунку 4.15, а.
Розділ 4. Цифрова електроніка 193

+ Boose.

ув VE,

VE,

VP, 4

VT, VE; ї ув
Р не
2 x хз
ж
& в 8 + @

a 6

Рис. 4.15. Схема ЛЕ на комплементарних транзисторах (АБО-НЕ) (а); схема ЛЕ, що


реалізує функцію І-НЕ (б)

Дія на входах транзисторів ИТ| і УТ» рівня логічного нуля 0? зумовлює їхній
закритий стан. Водночас послідовно з'єднані наванжувальні транзистори УТ» і
УТ, відкриті і на виході схеми встановлюється напруга (/ '. Якщо хоча б на один
з входів надходить сигнал логічної одиниці (/, то відкривається відповідний ке-
руючий транзистор (МТ, або УТ»), а пов'язаний з ним навантажувальний транзи-
стор (ИТ5 або УТА) закривається. На виході схеми встановлюється напруга Uw.
У схемі І-НЕ (див.: рис. 4.15, б) при дії на входах схеми рівня ОС") обидва
керуючі транзистори ИТ; і УТ, закриті, а навантажувальні транзистори VT; ado
УТ) відкриті. Тому на виході схеми буде високий рівень напруги U' wE,,,. Cra
схеми не зміниться, якщо напруга (/ надійде лише на один з входів, оскільки
один з послідовно ввімкнених керуючих транзисторів залишається закритим.
При поданні на обидва входи високого рівня напруги U' керуючі транзистори
УТ і УТ» відкриті, а навантажувальні VT; i VT, закриті, і на виході схеми
встановлюється низький рівень напруги о.

4.3. Функціональні логічні вузли

Функціональні логічні вузли виконують типові для цифрових пристроїв мік-


рооперанції, що відповідають найнижчому ієрархічному рівню внутрішньої мови
цифрового пристрою.
194 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Як і всі цифрові пристрої загалом, функціональні вузли поділяють на


комбінаційні та послідовнісні. Комбінаційні вузли позначають через КК
(комбінаційні кола), а послідовнісні через АП (автомати з пам'яттю).
Відмінності між КК і АП мають фундаментальний характер.
Вихідні величини КК залежать лише від поточного значення вхідних вели-
чин (аргументів). Передісторія не має значення. Після завершення перехідних
процесів у КК, на їхніх виходах, встановлюються вихідні величини, на які ха-
рактер перехідних процесів впливу не виявляє.
Функціональні логічні вузли складаються з простих логічних елементів типу
І-НЕ, АБО-НЕ і виконують більш складні логічні операції.

4.3.1. Дешифратори
Дешифратором називають функціональний вузол, призначений для пере-
творення кожної комбінації вхідного двійкового коду у керуючий сигнал лише
на одному зі своїх виходів. У загальному випадку дешифратор має п однофазних
входів і т. 2" виходів, де п-розрядність (довжина) коду, який дешифрується.
Дешифратор з максимально можливою кількістю виходів уп - 2" називають
повним. Функціонування повного дешифратора описують за системою логічних
виразів вигляду:

ae Y)...¥, - вхідні двійкові змінні; Хо, Хі...Ху - вихідні логічні функції, що є


мінтермами (конституєнти 1) п-змінних. Індекс функції У; визначає номер обра-
ного виходу і відповідає десятковому еквіваленту вхідного колу. Вихід, на яко-
му виникає керуючий сигнал, називають активним. Двійковий код, який вміщує
завжди лише одну одиницю, а інші нулі, називають упітарним. Тому дешифра-
тор є перетворювачем вхідного позиційного коду в унітарний вихідний код.
До основних характеристик дешифратора відносять: кількість ступенів
(каскадів) дептифрації, кількість використаних логічних елементів (МС), загаль-
ну кількість входів ЛЕ, час дешифрації і споживану потужність. Умовні графічні
позначення дешифраторів на схемах зображені на рисунку 4.16.
Розділ 4. Цифрова електроніка 195

І,

W, 2 1 fa
Li
2
І,
У 34

a 6 в

Рис. 4.16. Умовні графічні позначення дешифратора:


а- на функціональних схемах: б, в - на принципових схемах

У дешифраторах в інтегральному виконанні стан активного виходу часто


відображається значенням 70", а на інших пасивних виходах установлюється
717, Функціонування повного дешифратора з інверсними виходами описується
системою:

де Lo, ложа - вихідні логічні функції, що є макстермами (конституанти 0)


пзмінних.
Індекс функції І; визначає номер вибраного виходу, він відповідає
десятковому еквіваленту вхідного коду.
Між двома видами вихідних функцій є простий зв'язок: Є - 1,.
Логічну функцію дешифрування позначають РС (десодег). Мітки лівого до-
даткового поля в умовному позначенні відображають десяткові ваги вхідних
змінних, а мітки правого додаткового поля - десяткові еквіваленти вхідних
комбінацій двійкових змінних. У схему дешифраторів вбудовують один або два
стробуючих (дозвільних) входи, наприклад М. За допомогою сигналу И/ визна-
чають момент спрацювання дешифратора: крім того, вхід И/ використовують
лля нарощування розрядності вхідного коду. На практиці повний дешифратор на
т входів і т виходів для стислості називають дешифратором "з п в т" або
“n— m”.
196 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

(або
Схемотехнічно дешифратор становить собою сукупність кон'юкторів
між со-
елементів І-НЕ в дешифраторах з інверсними виходами), не пов'язаних
бою.
й. Крім
Кожний кон'юктор (або І-НЕ) виробляє одну з вихідних функці
и для
елементів, для вироблення вихідних функцій дешифратор володіє схемам
на входи
вироблення парафазних сигналів з однофазних (прямих), що надходять
вхідна 4 пряма змінна безпосередньо у схемі He
IC. Зазначимо,що
.
використовується, а виробляється повторно як подвійна інверсія від вхідної
Структурна схема тривходового дешифратора зображена на рисунку 4.17.
о
& 0

Рис. 4.17. Структурна схема тривходого дешифратора

овому
Нехай на входи поступає кодова комбінація 101, що відповідає десятк
також надхо-
числу 5. Ці сигнали слідують на 8 тривходових елементів І, на які
комбінац
дять інвертовані сигнали. Схема побудована так, щоб при довільній
дять три
нулів та одиниць на вході дешифратора лише на один елемент Інадхо
ви-
«І, а на входах решти буде хоча б один 70". Тому лише на п'ятому виході
никне 717, а на всіх інших буде "0".
Розділ 4. Цифрова електроніка 197

Малорозрядність стандартних дешифраторів змушує вирішувати питання


про нарощування їхньої розрядності.З малорозрядних дешифраторів можна по-
будувати схему, еквівалентну дешифратору більшої розрядності. Для цього
вхідне слово ділять на поля.Розрядність поля молодших розрядів відповідає чис-
лу входів наявних дешифраторів. Решта поля старших розрядів с. жить для от-
римання сигналів дозволу роботи одного з дешифраторів, декодуючих поле мо-
лодших розрядів.
Дешифратор відносять до швидкодіючих вузлів, однак з точки зору
технології - це "невдала" схема, оскільки в ній при простій внутрішній структурі
ї малій кількості схемних елемнентів наявна велика кількість зовнішніх виводів.

4.3.2. Шифратори

Шифратором називають функціональний вузол комп'ютера, призначений


для перетворення вхідного т-розрядного унітарного коду у вихідний п-
розрядний двійковий позиційний код. Двійкові шифратори виконують функцію,
обернену до функції дешифратора. Внаслідок активування однієї з вхідних ліній
дешифратора, на його виходах формується код, який відображає номер активно-
го входу. Повний двійковий шифратор має т 2" входів і п виходів. Умовні
графічні позначення шифраторів на схемах зображені на рисунку 4.18.
ж оо о о о lol, %
141)” :
52 ї
x

a 6
Рис. 4.18. Умовні позначення шифратора:
а- на функціональних схемах; б - на принципових

Функцію шифратора позначають СР (содег). Входи шифратора нумерують


послідовними 0 десятковими 4 цифрами 0, 1,..., т-І, а позначки виходів
відображають ваги вихідних двійкових змінних на і,
У цифрових пристроях шифратори використовують для таких операцій: пе-
ретворення унітарного вхідного коду у вихідний двійковий позиційний код; уве-
дення десяткових даних з клавіатури; передавання інформації між різними при-
строями за обмеженої кількості ліній зв'язку.
198 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Позначення на рисунку 4.18: Xp, X), .. Хо - вхідні сигнали, записані у по-


рядку зростання пріоритету; Хо - найнижчий Хз - найвищий; У», У; - вихідний
позиційний код.
Розглянемо принцип будови шифратора на прикладі перетворення восьми-
розрядного одиничного коду в двійковий код. Схема шифратора зображена на
рисунку 4.19, а, а його умовне схематичне позначення на рисунку 4.19, б. Якщо
всі вхідні сигнали мають нульове значення, то на виході шифратора буде нульо-
вий код ¥, =Y, = ¥, =0.

Хі Mio AG WIG WE He
9

Хоч 1
Хуан 2
Хуан 3 1

Хо 4 2
Ху 5 3
Хво-- 16
Yo-47

a 6

Рис. 4.19. Схема шифратора восьмирозрядного одиничного коду (а)


та його умовне схематичне позначення (б)

Молодший вихід, тобто вихід з ваговим коефіцієнтом, рівним один, має


збуджуватися при вхідному сигналі на довільному з непарних входів, оскільки
всі непарні номери у двійковому представленні містять одиницю в молодшому
розряді. Отже, молодший вихід -- це вихід схеми АБО, до входів якої під'єднані
всі входи з непарними номерами.
Розділ 4. Цифрова електроніка 199

Наступний вихід має вагу два. Він повинен збуджуватися при поданні
сигналів на входи з номерами 2, 3, 6, 7, тобто з номерами, що мають у
двійковому представленні одиницю у другому розряді. Зважаючи на сказане,
входи елемента АБО мають бути під'єднані до вхідних сигналів, що мають ці
номери.
Старший розряд двійкового коду формується з вхідних сигналів з номерами
4, 5, 6, і 7, тобто з чотирьох старших розрядів одиничного коду.
Як слідує з виконаної побудови, за допомогою шифратора можна скоротити
(стиснути) інформацію для передання її по меншому числу ліній зв'язку,
оскільки К « п. Зворотне перетворення, тобто відновлення інформації в початко-
вому вигляді можна виконати за допомогою дешифратора. Очевидно, що мак-
симальна кількість входів шифратора не може перевищувати кількість можли-
вих комбінацій вихідних сигналів, тобто необхідне виконання умови n <2‘.
У цифрових схемах за допомогою шифраторів забезпечується зв'язок між
різними пристроями засобом обмеженої кількості ліній зв'язку.
Аналіз станів виходів шифратора, зображеного на рисунку 4.19, а, свідчить,
що для шифраторів має виконуватися умова ХХ, «0 при із /.
Якщо сигнали, що надходять на вхід шифратора, незалежні (наприклад, при
натисканні одночасно декількох кнопок на пульті управління), то умова
X,X,=0 не виконується. У цьому випадку кожному входу Ж, шифратора на-
значають свій пріоритет. Зазвичай вважають, що чим вищий номер входу, тим
вищий його пріоритет. У цьому випадку шифратор має видавати на виході
двійковий код числа і, якщо Х, І, а на всі входи Х,, що мають більший

пріоритет, подані нулі. Такі шифратори називають пріоритетними.

4.3.3. Мультиплексори і демультиплексори

Мультиплексором називають функціональний вузол, який забезпечує пере-


давання цифрової інформації, що надходить декількома | вхідними лініями
зв'язку, на одну вхідну лінію. Вибір вхідної лінії, інформація з якої надходить
на вихід, відбувається за допомогою сигналів з адресних входів.
Узагальнена схема мультиплексора зображена на рисунку 4.20.
Мультиплексор (МОХ) узагальнено можна зобразити як комутатор, керований
вхідною логічною схемою. Вхідні логічні сигнали Х; надходять на входи комута-
тора і через комутатор передаються на вихід У. Управління комутатором
відбувається вхідною логічною схемою. На вхід логічної схеми подаються
адресні сигнали АК (Адгез8). Мультиплексори можуть мати додатковий керую-
чий вхід Е (Епабіе), який виконує стробування виходу У. Крім того, деякі МОХ
мають вихід з трьома станами: 0 і 1 і третій - від'єднанийний вихід
200 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Ім MUX
(вихіднийопір-безмежності
Перетв
).
орення мультиплексора в третій
стан відбувається за допомогою
сигналу ОЕ (Ошіриї Епабіг).
Мультиплексори зі стробуючим
входом Е виконують функції пере-
давання сигналів Х) -» У лише в
разі отримання сигналу стробу Б.
Мультиплексори, що мають три
стани виходу, можна каскадувати.
Для позначення комутаційних
Рис.4.20. Узагальнена схема мультиплексора можливостей МУХ можна користу-
ватися умовним записом (п -» 1), де
п - число входів. Наприклад (4 -» 1), має чотири входи і один вихід.
Залежно від співвідношення кількості інформаційних входів п і кількості
адресних входів т мультиплексори ділять на повні і неповні. Якщо ж п« 2"
,то
він неповний.
Як приклад розглянемо функціонування мультиплексора (4-51), стан входів
і виходів якого наведено у таблиці 4.1. Використовуючи таблицю станів,
отримаємо вираз для його вихідної функці

УХА ААУ
(А А) ХА) 1)
Таблиця 4.1.
Стан мультиплексора
(451
Ao A У Вихідна функція мультиплексора (п-з 1) може бути
0 0 Хо представлена:
0 1 Yj іа
7 5 2 Y= ух К,, (42)
1 1 28
де К; - мінтерм (К, - 0 або 1) і рівне логічному добутку
сигналів на адресних лініях, що відповідають сигналу Ж. Для розширення
кількості вхідних ліній використовують каскадування мультиплексорів.На ри-
сунку 4.21 приведене пірамідальне каскадування мультиплексорів.
Проаналізуємо | роботу двокаскадного мультиплекса типу (16-з1) з
управлінням за чотирма адресними лініями Ао ... Аз. Перша група МОХО
...
МОХЗ керується молодшими розрядами адресних сигналів Ло і А;. Вихідн
ий
МОХА- старшими розрядами адресних сигналів А, і Ду.
201
Розділ 4. Цифрова електроніка

Ae ty
мультиплексорів (4 -» 1)
Рис. 4.21. Пірамідальне каскадування
1)
для реалізації вихідної функції (16 -»

ра можна записати рівняння:


Наприклад, для двовходового мультиплексо

узхА ХА
Т та АБО, як показано на рисунку
яке реалізується на двовходових елементах
4.22, a.
однак для нього необхідні
Аналогічно реалізується чотиривходовий МИХ,
ривходовий елемент АБО. Схема
чотири тривходові елементи І та один чоти
зображена на рисунку 4.22, 6. Для
такого МОХ, побудованого за рівнянням (4.1)
лів використовуються два додаткові
отримання прямих інверсних адресних сигна
кою кількістю входів необхідні
інвертори. Оскільки для побудови МОХ з вели
чотирьох, то їх простіше викону-
елементи І та АБО з кількістю входів більше
вати шляхом каскадування.
ми ознаками: за кількістю
ІМС МОХ можна розділити на групи за таки
за кількістю мультиплексорів в одному
входів (2 5, 4 5 8 - і16 - входові);
буючого входу Е; за наявністю ви-
корпусі (числом розрядів); за наявністю стро
202 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ходу з трьома станами (вхід ОЕ); за здатністю передавати сигнали в обох на-
прямках (одно- та двонапрямлені).
Крім основного призначення комутації вхідних сигналів, МИХ застосовують
у зсуваючих пристроях, подільниках частоти, тригерних пристроях та ін.

MUX 1)

а 6
Рис. 4.22. Виконання мультиплексора (2 -» 1) (а) та (4 -» 1)
(б) на елементах | та АБО

Демультиплексори. Демультиплексором (УМХ) називають функціональний


вузол, за допомогою якого передають цифрову інформацію, що надходить по
одній лінії на декілька вихідних ліній. Вибір вихідної лінії відбувається за допо-
могою сигналів, які надходять на адресні входи. Таким чином демультиплексор
виконує перетворення, зворотне дії МОХ.
Узагальнена схема демультиплесора зображена на рисунку 4.23, близька до
схеми мультиплесора. Вхідний сигнал Х надходить на вхід комутатора і через
нього передається на виходи У) ... У,. Адресні сигнали 40 ... А; мають те ж при-
значення, що і в МОХ. Сигнал стробування Е дозволяє | передавати вхідний
сигнал через комутатор.
Розділ 4. Цифрова електроніка 203

Комутатор
Вхідна
логіка

Рис. 4.23. Узагальнена схема демультиплексора

Для позначення комутаційних можливостей демультиплексорів використу-


ють запис, аналогічний мультиплесорам: (1-» п), де п - число кількість виходів
демультиплексора.
На приклад, розглянемо функціонування демультиплексора (1-» 4), стан
входу і виходів якого приведені в таблиці 4.2.

Таблиця 4.2.
Стани мультиплексора (1-» 4)

Ao А Yo У, 7, їз Використовуючи дані
0 0 x 0 0 0 таблиці, отримаємо вираз для
вихідних сигналів демультип-

0 І 0 x 0 0 лексора:
1 0. 0 0 x 0 зо за ло
у я ХА А) ХНА, КА;
i 1 0 0 0 x — ==
Y=X(4,-A)=X+4,+4;

кА А Хна БАХА
Ада ХА,
Схема демультиплексора (1-» 2), виконана на елементах І, зображена на ри-
сунку 4.24, а. Структура демультиплексора, що реалізує рівняння (16-» 3), вико-
нана також на елементах І, зображена на рисунку 4.24, б.
Інвертори в цих схемах формують необхідні сигнали управління. В кожній
схемі І два входи задіяні для адресних сигналів Ло і 40, а на третій подається
вхідний сигнал Х.
Реалізація демультиплексора можлива також на елементах АБО.
204 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

DMX
fol

On

Рис. 4.24. Будова демультиплексорів (1-52) (а) | (4-» 1) (б) на елементах І

4.3.4. Тригери
Тригерами називають великий клас електронних пристроїв, які можуть пере-
бувати в одному з двох або більше стійких станах і чергувати їх під дією
зовнішніх сигналів. На відміну від комбінаційних логічних схем, тригери - це
логічні пристрої з пам'яттю. Їхні вихідні сигнали узагальнено залежать не лише
від сигналів, прикладених до входів у певний момент часу, але й сигналів які
впливали на них попередньо. Залежно від властивостей, кількості і призначення
входів тригери можна поділити на декілька видів.
Види тригерів. Тригер Т можна зобразити як пристрій, що складається з
комірки пам'яті КП і логічного пристрою ЛП управління, що перетворює вхідну
інформацію в комбінацію сигналів, під впливом яких КП набуває один з двох
стійких станів (див.: рис.4.25).
Розділ 4. Цифрова електроніка 205

bd
B

Soeur nee nena 1


Рис. 4.25. Узагальнена будова тригера

Інформаційні сигнали надходять на входи А і В ЛП і перетворюються у сиг-


нали, що поступають на внутрішні входи 5" і КВ" КП. Процес перетворення
інформаційних сигналів відбувається у разі дії сигналів, які подаються на вхід
предвстановлення 7 та вхід синхронізації С. Вхід Т зазвичай використовується
для дозволу приймання інформації, а виконавчий вхід С забезпечує тактоване
приймання інформації. У найпростішому тригері ЛП може й не бути, а
інформаційні сигнали подаються безпосередньо на входи 5 і К КП.
За наявності входу С тригер називають синхронним, а в разі його браку -
асинхронним Зміна стану асинхронного тригера проходить відразу ж після
відповідної зміни потенціалів на його інформаційних входах А і В. В синхрон-
ному тригері стан змінюється лише в момент наявності сигналу на вході С.
Синхронізація може відбуватися імпульсом (потенціалом) або фронтом (перепа-
дом потенціалу). В першому випадку сигнали на інформаційних входах вплива-
ють на стан тригера лише за дозвільного потенціалу на вході С. У другому ви-
падку вплив інформаційних сигналів проявляється лише в момент зміни
потенціалу на вході С, тобто при переході його від 1 до 0 або від 0 до 1.
Універсальні тригери можуть працювати як в синхронному, так і в асинхронно-
му режимах.
У ехемотехнічному виконанні основу тригера становить кільце з двох
інверторів, яке позначають як електронну засувку. Вихід О називають прямим,
а вихід О - інвертуючим. Електронна схема може бути в одному з двох стійких
станів: або 0 - 0, 0-1, або 0 , 0-0. Переведення тригерної засувки з од-
ного стану в інший відбувається шляхом впливу управляючих (інформаційних)
імпульсів напруги, що виконують функції спускового гачка (курка), звідки і по-
ходить термін "тригер" ("мгіврет" -- курок).
206 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Залежно від способу управління електронною засувкою розрізняють


декілька різновидів тригера: 5К-тригер, ЛК-тригер, Т-тригер.
5К-тригер має два інформаційні входи 5 і В. Подання на вхід 5 сигналу І,а
на вхід К сигналу 0 встановлює на виході О тригера сигнал І. Навпаки, за
сигналів 5- 0 і Ю - 1 сигнал на виході тригера 0 - 0. Функціонування 5А-
тригера визначається рівняннями:

0, «(5-- КО), SR=0.


Для 5К-тригера комбінація 5 - 1,Ю - І заборонена. Після такої комбінації
інформаційних сигналів стан триггера буде невизначеним: на його виході О мо-
же бути 0 або І.
Різновиди 5К-тригерів, називають Е, К-, 5-тригерами, для яких поєднання
S=R=1 une 3a6oponene. Е тригер при 5 - ЮК - І не змінює свого стану
(0, «0, ,). 5-тригер при 5 - В - 1 встановлюється в стан 0 - 0.
5В-тригери можуть бути асинхронними або синхронними (наявний вхід С).
Найпростіший асинхронний 5К-тригер складається з двох комірок І-НЕ (або
АБО- НЕ), замкнутих у кільце (див. рис. 4.70, а) і насправді є КП без додатково-
то ЛП, Аналогічний 5К-тригер в інтегральному виконанні зображений на рисун-
ку 4.70, б. Перемикання 5К-тригерів (лив.: рис. 4.26) відбувається сигналом "0",
поданим на входи 5 або К. Такі найпростіші схеми входять у всі типи більш
складних тригерів.

| KIT
| І
зо-1--Їє 1 9
І ї
| о--15| | eho
І
| і
І І Б б
5 Oo—R о
& р б 9
ko
рн 4
a 6

Puc. 4.26. AcuHxpoxHui SR-tTpurep (а) та його


умовне схематичне позначення (б)
Розділ 4. Цифрова електроніка 207

Найпростіший синхронний 5К-тригер містить, окрім КП ще й ЛП двох комірок І-


HE (див.грис. 4.27, а). Аналогічний 5А-тригер в інтегральному виконанні зобра-
жений на рисунку 4.27, б.

а б

Рис. 4.27. Синхронний 5Е-тригер (а) та його умовне схематичне позначення (б)

Перемикання 5А-тригерів (див.: рис. 4.27) відбувається сигналом 717, пода-


ним на входи 5 або В, але лише за наявності сихроситналу С - 1.
Певним різновидом А5-григера є К57-тригер. Він відрізняється від RS-
тригера тим, що має тактовий вхід С (сіосК- час), і його стан змінюється лише за
наявності напруги на цьому вході.
К-тригер має також два інформаційні входи ./ і К. Подібно 5К-тригеру, в Ji
К - це входи встановлення виходу О тригера в стан І або 0. Однак, на відміну
від 5К-тригера, в ./К-тригері наявність. / - К - | зумовлює перехід виходу три-
гера у протилежний стан. К-тригери синхронізуються лише перепадом
потенціалу на вході С. Умова функціонування //К-тригера має вигляд:

Q, =(JQ+ KO)
К-тригер виконується за двоступінчастою структурою, що складається з
двох синхронних 5/-тригерів і двох логічних схем І-НЕ (див.рис. 4.28, а).
Для цієї схеми 51-.0 і ВІ- КО. Якщо /-1і і Коб, то при 0-0
отримаємо 51-1, і після з кінчення першого тактового імпульсу на вході С
тригер встановиться у стані 0 =
Якщо /-1 і К 0, то при 0-1 обидва вхідні сигнали тригера першого
ступеня 51 І КІ будуть рівні 70" і, тому, весь двоступеневий тригер не буде
змінювати свого стану при надходженні синхроімпульсів на вхід С. Отже, при
208 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

J=1i K=0 вихід тригера 0, - І, якщо 0 -0 або 0, , -1. Подібним же чи-


ном щодо стану 0- Р діє сигнал К - І при J =0.
Якщо ж /-
К - І, то отримаємо 51-1 ії КІ-0 при 0-0 або 51-0 і
Ві-і при 0-0 або 51-0 і КІ-І при 0-1. Унаслідок цього при J = K =1
тригер перемикнеться у протилежний стан при відповідному фронті сигналу на
вході С.
Аналогічний ЛК-тригер у інтегральному виконанні зображений на рисунку
4.28,6.

L &
то a 51| Т | о1 52| 7 | о:
CO +001 co.
ко & М RI gto Ry |e

Рис. 4.28. JK-rpurep (a) та його умовне позначення (б)

Д-тригер, або тригер затримування, при надходженні синхросигналу на вхід


С встановлюється у стан, рівнозначний потенціалу на вході Р. Рівняння
функціонування Д-тригера має вигляд 0, - 0, . Це рівняння свідчить, що
вихідний сигнал змінюється не відразу після зміни вхідного сигналу Д, а лише З
надходженням синхросигналу, тобто з затримуванням на один період імпульсів
синхронізації.

9
TH

a 6
Рис. 4.29. О-тригер (а) та його схематичне позначення (б)
Розділ 4. Цифрова електроніка 209

Синхронізація 0-тригера може відбуватися імпульсом або фронтом.


Конструктивно Д-тригер складається з КП і ЛП на двох комірках І-НЕ,
ввімкнених за схемою (див.: рис. 4.29). Д-тригер, зображений на рисунку 4.29, а
встановлюється у стан 0-0 лише при С-і. Аналогічний Д-тригер в
інтегральному виконанні показаний на рисунку 4.29, 6.
Д-тригер, тактований фронтом і побудований на двох Д-тригерах, тактова-
них імпульсом, зображений на рисунку 4.30, а.На цій схемі інформаційний вхід
02 з'єднаний з виходом О1. Синхросигнал подається на обидва ступені, але в
тригері другого ступеня він інвертується. Завдяки цьому за довільного сигналу
на вході С (С - 0 або С - 1) один з двох тригерів не реагує на сигнал, що надхо-
дить на його інформаційних вхід. У результаті, як при С - 0, так і при С- 1
зміна сигналу на вході БД не приведе до безпосередньої зміни вихідного сигналу
О. Ї лише в той момент часу, коли потенціал С переходить з 71" в 707, можлива
зміна сигналу 0.
За С- 1 тригер першого ступеня сприймає інформацію з входу Д. Коли ж
змінюється сигнал на вході С і стає рівним 70", інформація сприймається триге-
ром другого ступеня з виходу тригера першого ступеня. Перехід сигналу на
вході С з "1" в "0" відображений косою рискою (/) на вході С.

DO. pT lar p2)T |oz2L- 9 2 ї


о р о о

cl O1 C2} a ala 2
= oT о oe
с
а б

Puc. 4.30. О-тригер, тактований фронтом (а) та його умовне схематичне позначення (б)

Лічильний Т-тригер можна побудувати на ./К-тригері або на Д-тригері. Для


побудови Т-тригера потрібно зробити входи ./ - К- 1 (див.: рис. 4.31). Тоді кож-
ний імпульс на вході С змінюватиме стан тригера на протилежний, тобто він
перетвориться у Т-тригер.
Для побудови лічильного Т-тригера на Д-тригері необхідно з'єднати його
вхід Ю-інверсним виходом 0, тобто зробити Д «0 (див.грис. 4.30). У такій
схемі кожний перехід 1/0 на вході С спричинятиме перехід тригера у протилеж-
ний стан. Наприклад, якщо 0, -1І, то 0, - ), -0, і тому черговий тактовий
імпульс переведе тригер у новий стан, тобто зробить Q, nel =D,=0.
210 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

чи

з нів
)
а б

Рис. 4.31. Лічильний Т-тригер на /К-тригері (а) та на О-тригері (б)

Промислові варіанти ІМС тригерів будують на ДТЛ-, ТТЛ-, ЕЗЛ-логіках та


на КМОН-транзисторах. За функціональним призначенням тригери позначають:
ТР-5К-тригери, ТВ-./К-тригери, ТМ--В-тригери, ТТ- Т-тригери.
Основні параметри ІМПС-тригерів поділяють на дві групи: статичні та
динамічні. До статичних параметрів відносять: вхідні напруги високою (//, 1
низькою, струм споживання від джерела (/?, живлення, напругу живлення

О их» Навантажувальну здатність (струм // через навантаження або кількість К.


МС тієї ж серії, під'єднаних до виходу тригера), споживану потужність Рипож. ДО
динамічних параметрів тригерів відносять: час /"" перемикання з низького рівня
Ж високий, час по перемикання з високого рівня У низький, максимальну частоту

перемикання. Більшість описаних параметрів визначається серією МС та типом


застосовуваної логіки.

4.3.5. Лічильники
називається функціональний вузол, призначений для лічби
вхідних імпульсів.
Лічильник - це один з основних функціональних вузлів комп'ютера, а також
різних цифрових управляючих та інформаційно-вимірювальних систем.
Лічильник характеризується модулем і ємністю лічби. Модуль лічби К..
визначає кількість станів лічильника.Модуль 1 двійкового | п-розрядного
лічильника визначається степенем двійки М -2", в лічильниках інших типів
справедлива нерівність К, 5 М.Після лічби кількості імпульсів М, а
лічильник повертається у початковий стан.
Ємність лічби Мах вИЗНачає максимальну кількість вхідних імпульсів, яку
може зафіксувати лічильник при одному циклі роботи.
У лічильниках використовують три режими роботи: керування, накопичення
і ділення. У режимі керування зчитування інформації виконується після кожного
вхідного лічильного імпульсу. У режимі накопичення головним є підрахунок
Розділ 4. Цифрова електроніка 211

заданої кількості імпульсів або лічба впродовж певного часу. У режимі ділення
основним є зменшення частоти надходження імпульсів в Ки, разів.
Лічильники можуть бути з попередньою установкою і без неї. Для
установки початкового стану використовують спеціальні входи
попередньої

предустановки. Установку початкового стану лічильника виконують лише за


спеціальною командою запису.
Лічильники з попереднім установленням називають програмованими,
оскільки вони дають змогу змінювати модуль лічби К.,, який можна розрахува-
ти за формулою:
надо 5,2 6, 2'+5,2° (43)
Кио8

Загалом лічильники класифікують за такими ознаками: способом кодування


(позиційні та непозиційні); модулем лічби (двійкові, десяткові, з довільним,
постійним або змінним модулем); напрямом лічби (прості і реверсивні); спосо-
бом організації міжрозрядних зв'язків; типом використовуваних тригерів - Т,
УК, Р у лічильному режимі; елементним баз-исо ьні, імпульсні та
потенціалм
потенціально-імпульсні.
Швидкодія лічильника визначається максимальною частотою Й), надход-
ження вхідних імпульсів у режимі ділення, і обчислюють її за формулою
Кз. Час встановлення КОДУ Іст відраховують від початку вхідного

імпульсу до моменту отримання нового стану. Параметр цей дає можливість


обчислювати швидкодію лічильника в режимі керування зі співвідношення
Ед По) де 1, - час зчитування інформації.
Узагальнена схема лічильника зображена на рисунку 4.32. Лічильник СТ
можна представити як пристрій, який містить вхідну логіку, що керує роботою
лічильника, і вихідну логіку, яка використовується для вказування закінчення
лічби або формування сигналу перенесення Р. Для того, щоб установити
У початковий стан, використовують сигнал скидання, що надходить
лічильник
на вхід К.
Паралельний код для попередньої установки лічильника надходить на вхід
52...5. Сигнал дозволу паралельного навантаження М зупиняє лічбу і дає мож-
ливість підготовленим на входах 50...5, даним завантажитися в лічильник у мо-
мент надходження чергового тактового імпульсу С. Лічильник рахує тактові ім-
пульси, що надходять на вхід С, якщо наявний сигнал дозволу лічби на вході Й.
Вихідними сигналами лічильника є сигнали, що знімаються з виходів окре-
мих розрядів О1...О,, , і сигнали закінчення лічби або перенесення Р.
212 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

є=
=
В2
5

Рис. 4.32. Узагальнена схема лічильника імпульсів

Асинхронні лічильники. Асинхронний сумуючий лічильник можна виконати


на лічильних тригерах довільного типу. Переважно для цього використовують
К- або Д-тригери в лічильному режимі. Простий чотирирозрядний лічильник на
Д-тригерах складається зі з'єднаних послідовно чотирилічильних тригерів та-
ким чином, що вихід О, кожного тригера з'єднаний з входом Су. наступного
(див.: рис. 4.33, а).
При надходженні лічильних імпульсів на вхід С; тригери лічильника будуть
змінювати свої стани, описані послідовно зростаючими двійковими числами Для
встановлення лічильника у початковий стан використовують сигнал скидання
В, який надходить одночасно на всі входи К-тригерів.
Для побудови асинхронного віднімаючого лічильника достатньо замінити виходи
0, тригерів на прямі виходи Q. У цьому випадку при надходженні імпульсу ски-
дання БК на всіх виходах лічильника встановляться одиничні рівні, а за надходження
лічильних імпульсів на вхід С), тригери лічильника змінюватимуть свої стани,
описані послідовно спадаючими двійковими числами.
Для побудови асинхронного реверсивного лічильника, який може працювати
як у режимі сумування, так і в режимі віднімання, можна за допомогою логічної
схеми забезпечити подання сигналів з інверсного виходу О при сумуванні або з
прямого виходу 0 - у разі віднімання від попереднього тригера на лічильний
вхід наступного, як зображено на рисунку 4.33, в. Ця схема вмикається між ви-
ходом одного розряду лічильника і входом другого 1, залежно від управляючих
Розділ 4. Цифрова електроніка | 213

сигналів - складання (0) / віднімання (2), на вхід наступного розряду надходить


сигнал перенесення Р або сигнал запозичення /.
2 о, Q.2,

С Са
М

Лічильні
а | імпульси

Установка "0"
6 7 8 9 10 п
.ПООДОЙоОЙоаропопоо,

5
=
5
о !
І 1 I 1 1 І ї 1 І 1 І 1 tT I I

O— t

й бо
Ер
і
1 ї 1
Teal '
1 т т т т 1
'
1 1
!
' 1 1
|
1
Я

1 1 ї 1 CL... | 1
(Ds A Mena i і я

{ars Seam ані Во зі


0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111 0000

Сумування
дз &

90 o>— |_| PQ)


6
& Вихід
О ось перенесення
D o>
Віднімання

Рис. 4.33. Схема асинхронного сумуючого лічильника на О-тригерах (а), графіки його
вихідних сигналів (б) і схема перенесення (в)

В асинхронному лічильнику з надходженням кожного наступного імпульсу


на вхід С, перемикаються відразу декілька тригерів. Однак перемикання цих
тригерів відбувається неодночасно, а з деяким затримуванням щодо один одно-
го. Це веде до затримування у встановленні вихідного колу після надходження
214 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

лічильного імпульсу на вхід С. При великій кількості розрядів лічильника за-


тримування вихідного сигналу може бути значним і порівняним з періодом над-
ходження лічильних імпульсів на вхід Су.
Як видно з часових діаграм (див.: рис. 4.33, б), тригери в асинхронному
послідовному лічильнику працюють з різною частотою перемикання. Макси-
мальну частоту має перший тригер, а частоти перемикання кожного наступного
тригера вдвічі менші. Тому в ролі першого тригера потрібно використовувати
самий швидкісний тригер, а швидкодія інших тригерів може бути нижча.
Синхронні лічильники. Щоб побудувати синхронні лічильники використову-
ють різні типи лічильних синхронних тригерів. Схеми одно- розрядних син-
хронних лічильників зображені на рисунку 4.34. Вони реалізовані на синхрон-
них лічильних тригерах і логічних елементах 7" для формування сигналів пере-
несення Р або позики 7. Схема однорозрядного синхронного сумуючого
лічильника, зображеного на рисунку 4.34, a, реалізована
під'єднанням лічильного входу С; до лічильного входу С-тригера. Для форму-
вання сигналу перенесення Р використано логічний добуток сигналу дозволу Й і
вихідного сигналу 0, тобто Р- /ИО. Перемикання тригера відбувається за до-
датного перепаду сигналу на вході С та за наявності сигналу дозволу лічби Й.
Разом з тим на виході тригера О і на виході перенесення Р встановлюються
рівні логічної 71".За від'ємного перепаду сигналу на вході С стан тригера не
змінюється. Чергове перемикання тригера можливе лише за нового додатного
перепаду імпульсу на вході С, за наявності сигналу дозволу на вході /. Отже,
лічильна комірка забезпечує синхронний поділ на дві частоти вхідних імпульсів.

9 о,

Рис. 4.34. Схеми однорозрядних синхронних лічильників:


сумуючого (а) і віднімаючого (б)
електроніка 215
Розділ 4. Цифрова

комірка відрізняється від сумуючої тим, що прямий


Двійкова віднімаюча
сигнал "запози-
вихід О замінений на інверсний вихід О . На виході формується
чення" 2 - ГО.
Однорозрядний реверсивний лічильник будують за схемою, зображеною на
ів перенесення
рисунку 4.35. Для зміни напрямку відліку та формування сигнал
напрямку лічби
або позичення використана логічна схема 21-АБО. Для зміни
вхід U/D(Up/Down): за С/Р -1 схема працює
введений (спеціальний
аналогічно лічильнику, зображеному на рисунку 4.78.4 (тобто сумуючим
тобто перехо-
лічильником), а за (/) - 0 - аналогічна схемі на рисунку 4.34, б,
дить у режим віднімання.

Рис. 4.35. Однорозрядний синхронний реверсивний лічильник

Виростання цих комірок дає змогу реалізувати багаторозрядні синхронні


лічильники.
ви
Сьогодні запропоновані також інші схемотехнічні рішення побудо
лічильників імпульсів.Зокрема, на оспові сукупності тригерів з певними
за яких вони працюють як єдиний пристрій, можна побудувати
зв'язками,
В цих регістрах організація цих зв'язків така, що при
регістри зсуву.
ий стан
надходженні тактового імпульсу, загального для всіх тригерів, вихідн
ів зсуву як
кожного тригера зсувається у сусідній. Однак застосування регістр
- п, тоді як
лічильників дуже нескономічне, оскільки модуль лічби в них К,,
для двійкових лічильників К.,, - 2".
з-поміж паралельних лічильників кільцеві лічильники, виконані
Поширені
на базі регістрів зсуву. Найпростішу схему кільцевого лічильника отримують
такому
при замиканні прямого виходу регістру зсуву з його входом. У
м 0,
аїчильнику одиниця, записана в регістр на першому такті, з виходо
216 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

лічильника знову потрапляє на його вхід, і ввесь цикл повторюється. К,, такого
лічильника 7 п. Основними недоліком кільцевих лічильників є їхня низька
завадостійкість.
Різновидом кільцевих лічильників є лічильники Джонсона. В них вхід
регістра з'єднаний не з виходом 0, а з інверсним виходом 0. У результаті над-
ходження на вхід лічильника тактових імпульсів | спочатку всі розряди
лічильника заповнюються одиницями, а потім-нулями. К,, лічильника Джон-
сона у два рази більше простого кільцевого лічильника, тобто 2",
Описані лічильники широко застосовують у подільниках частоти імпульсів,
тенераторах випадкових чисел, пристроях пам'яті та ін.
Двійково-десяткові, або декадні лічильники можуть бути реалізовані на базі
двійкових лічильників за допомогою взаємного зв'язку між окремих тригерами,
що входять в лічильник.
Сучасні ІМС лічильників будують на ТТЛ, ЕЗЛ та на КМОн-транзисторах.
Основні параметри ІМС лічильників можна розділити на дві групи: статичні
та динамічні. До статичних параметрів відносять: вхідну напругу високого UL
низького (/?. рівнів, струм споживання від джерела живлення, напругу жив-
лення, коефіцієнт розгалуження К,, і модуль лічби Ку, До динамічних
параметрів: час /"" перемикання з низького рівня у високий, час перемикання г"
з високого рівня в низький і максимальну частоту лічби /пах-

4.3.6. Регістри
Регістром називають функціональний вузол послідовнісного накопичуваль-
ного типу, призначений для приймання, зберігання, перетворення та видачі п-
розрядного двійкового слова. Базовими елементами регістра є однотипні триге-
ри, зазвичай 5, /К ї Д-типів. Основне функціональне призначення регістрів -
оперативна пам'ять для багаторозрядних двійкових чисел. Логічну функцію
регістра позначають літерами ВС (гевізіег). Регістри, використовувані для прий-
мання, зберігання і передавання інформації, називають елементарними
(фіксаторами). Регістри, в яких, окрім зберігання даних, можливі мікрооперації
зсуву називають зсувовими.
Конструктивно регістр становить собою системний набір однотипних
тригерів, у кожного з яких зберігається значення одного двійкового розряду ма-
шинного слова. Функціональна схема регістра на Д-тригерах, зображена на ри-
сунку 4.36.
Розділ 4. Цифрова електроніка 217

cot | =
Рис. 4.36. Функціональна схема регістра на О-тригерах

Класифікують регістри за такими ознаками:


1) способом записування |і вилачі двійкових слів (паралельні, послідовні та
універсальні). Зокрема, у паралельних регістрах записування і видача слів
відбуваютьсяя одночасно всіма розрядами, а в послідовних - розряд за розря-
дом у напрямку від молодших розрядів до старших або навпаки. Універс льні
регістри забезпечують як паралельний, так і послідовний обмін інформацією; 2)
типом тригерів, що є базовими; 3) напрямом зсуву (однобічні і двобічні); 4)
способом керування записом - асинхронні та синхронні; 5) кількістю ліній для
репрезентації значення одного розряду слова (однофазні і парафазні); 6) скла-
дом виконуваних мікрооперацій (установлювальні, записування, читання,
логічні та зсуву, перетворення послідовного коду в паралельний і навпаки).

4.3.7. Схемні реалізації основних функцій шифраторів на базі


RS- ma JK-mpuzepie

Запис інформації. У регістрах на В5- або /К-тригерах можливий однофазний


або парафазний спосіб записування інформації. За однофазного записування
значення кожного розряду слова А, 41461 НаДХОДЯТЬ ПО одній лінії зв'язку
на вхід 5 (або ./ ) відповідних тригерів. Обнулюється регістр після зчитування
записаної інформації з використанням спільного входу R (див. рис. 4.37)
Оскільки процеси введення і скидання чергуються, то за однофазного запису
частота обміну інформацією зменшується.
218 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Рис. 4.37. Схема регістра з однофазним записом даних

За парафазного записування інформації значення кожного розряду слова А


передається двома лініями зв'язку. Особливістю такого запису є те, що у схемі
парафазного записування (див. рис.4.38) не потрібне попереднє скидання
регістра в стан 707, тому що таку функцію виконує сигнал А. Водночас пряме
значення А, надходить на вхід 5 (або ./) відповідних тригерів, а інверсне значен-
ня 4, - навхід К (або К).

A,
sj
п
хо

Рис. 4.38. Схема регістра з парафазним записом даних

У регістрах на Д-тригерах, що мають один інформаційний вхід, можливий


лише однофазний запис інформації. З приходом чергового синхроїмпульсу
записується нова інформація або без даних на вхідній шині стан регістра авто-
матично обнуляється.

Зчитування інформації. Збережена інформація в регістрах передається у


зовнішні схеми у вигляді прямого або оберненого коду однофазним або пара-
фазним способом.З цією метою до виходів кожного тригера під'єднують
4. Цифрова електроніка 219
Розділ

комбінаційні схеми, які створюють вихідну логіку регістра, побудовану на


основі таких порозрядних логічних рівнянь:
(4.4)

LO (4.5.)

Тут рівняння (4.4) описує зчитування однофазним прямим або оберненим


кодом, а (4.5) - парафазним прямим / оберненим кодом. Так, Уур і Їоб - керуючі
сигнали видачі прямого або обернено коду; 0; ї О, - пряме та інверсне значення
виходу і-розряду регістра; Ш; - розряд однофазної шини даних; ші і ПІ -роз-
ряди парафазної шини даних. Умовою є те, щоб керуючі сигнали У,» ї Їуб He
збігалися в часі.
Схеми вихідної логіки для і-розрялу, побудовані на основі рівнянь (4.4) ї
(4.5) зображені на рисупку 4.39.

о & {1

&

Yn.
г

а 6
Рис. 4.39. Схеми вихідної логіки і-розряду для зчитування інформації:
а - однофазним кодом: б - парафазним кодом

Логічні операції в регістрах. У регістрах можна виконувати порозрядні


логічні мікрооперації над словами 4 і В такого типу: інверсія слова RG] = A® B;
RG) = АФВ; логічне додавання і множення: КСІ: Av B; RGL=AAB.

Мікрооперації додавання за модулем два та його заперечення реалізуються в


регістрах на Т-тригерах. Насамперед записується слово А, а потім без поперел-
нього скидання по лічильному входу вводиться слово В. Потім на прямих вихо-
дах тригерів фіксується результат операції 0 - А ФВ, ана інверсних виходах -

0 - 498. Мікрооперація інвертування складається з подавання імпульсу на всі


220 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Т-входи тригерів регістра, в яких зберігається слово A, унаслідок | чого


встановлюється на прямих виходах тригерів результат згідно з рівнянням
Q=41=4.
Логічне множення реалізується подаванням інверсних значень розрядів сло-
ва В на вході К (або К) тригерів регістра. Зокрема, якщо значення В «0, то
В, - 1.Тригери обнулюються, що і потрібно для порозрядного логічного мно-
ження.

Зсувові операції. Операції цього типу використовують при виконанні команд.


множення, ділення, нормалізації.За допомогою зсуву, крім того, перетворюється
паралельний код у в послідовний та навпаки.
Арифметичні та циклічні зсуви переважно використовують при виконанні
команд у процесорах, а логічні зсуви забезпечують перетворення послідовного
коду в паралельний, і, навпаки, в пристроях зв'язку з магнітними дисками.
Зсувові регістри виконують на двоступеневих Б5- (або JK-) un D-rpurepax 3
динамічним керуванням по фронту.
4 ,
Yan «рі Saya о,
Є
&
R

a 6
Рис. 4.40. Схема розряду регістра зсуву:
a—Ha RS-rpurepi; 6 — на О-тригері

Для прикладу, нехай у реверсивному регістрі необхідно паралельно записати


слово А за сигналом керування У») та зсуви інформації вліво і вправо під впли-
вом керуючих сигналів 1. і Кл. Функції збудження 5; та R; для кожного розряду
регістра зсуву на двоступеневих А5-тригерах мають вигляд:

ЗА УВО У 140;
електроніка 221
Розділ 4. Цифрова

вам ВО У 0 (4.6)
рівняння
Схема одного розряду регістра зсуву на К5-тригерах відповідно до
(4.6) зображена на рисунку 4.40, а.
Для регістру зсуву на Д-тригерах функції збудження мають вигляд:

Qua У а
V Ry ,
Dy =YA (47)
ена на
Схему регістра зсуву на Д-тригерах згідно з рівнянням (4.7) зображ
рисунку 4.40, б.
паралель-
Перетворення кодів. Операцію перетворення послідовного коду в
ний називають послідовним уведенням слова в регістр.
Типова схема чотирирозрядного регістра зсуву вправо на /К-тригерах, яка
забезпечує перетворення кодів, зображена на рисунку 441.
зб 7 ТТ 2 y| TT 2s yp) ТТ о, gy) TTRH eV

С С с Є
7 і K K K

Рис. 4.41. Схема регістра зсуву

овний
Нехай від накопичувачів на вхід регістра по лінії Ї) надходить послід
х. Значення
код слова А - 1101 у напрямку від молодших розрядів до старши
як
розрядів слова надходить одночасно з синхроімпульсами, які забезпечують
а вправо
приймання коду в старший розряд так і одночасний зсув вмісту регістр
(див.: рис. 4.42).

а б

в паралельний (а) та навпаки


Рис. 4.42. Часові діаграми перетворення послідовного ходу
222 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Після надходження чотирьох синхроїмпульсів на виходах регістра


встановлюється код 1101.Таким чином виконується перетворення послідовного
коду в паралельний (див.: рис. 4.42, а).
Перетворення паралельного коду в послідовний також відбувається зсувом
слова, яке зберігається. Процес перетворення паралельного коду слова 4 - 1101
у послідовний у напрямку від молодших розрядів до старших через зсування
вправо продемонстровано на часових діаграмах (див.: рис. 4.42, б).

4.3. 8. Суматори
Суматором називають функціональний вузол комп'ютерної системи комбі-
наційного типу, призначений для додавання двох п-розрядних слів (чи-
сел).Операція віднімання замінюється на додавання слів в оберненому або до-
повнюючому коді.Операції множення та ділення зводяться до реалізації багато-
разового додавання та зсування. Тому суматор є важливою частиною арифмети-
ко-логічного пристрою. Функцію суматора позначають буквами 5М або 5.
Суматор складається з окремих схем - однорозрядних суматорів. Вони ви-
конують усі дії додавання значень однойменних розрядів двох чисел (опе-
рандів).
Суматори з постійним інтервалом часу для додавання називають синхронни-
ми. Суматори, в яких інтервал часу для додавання визначається моментом фак-
тичного закінчення операції, називають асиихронними.В асинхронних суматорах
є спеціальні схеми, які визначають фактичний момент закінчення додавання |і
повідомляють про це в пристрій керування.
Суматори характеризують за такими параметрами: швидкодією - часом
виконання операції додавання /у, який відраховується від початку подавання
операндів до одержання результату; апаратурними витратами - вартість
однорозрядної схеми додавання визначається загальною кількістю логічних
входів використаних елементів; споживаною потужністю суматора.
Для прикладу розглянемо однорозрядні суматори.
Однорозрядним суматором називають логічну схему, яка виконує додавання
значень і-х розрядів Х; та У; двійкових чисел з урахуванням перенесення 7; з мо-
лодшого сусіднього розряду та виробляє на виходах функції результат 5 та пе-
ренесення Р; в старший сусідній розряд. На основі однорозрядних схем додаван-
ня на три входи та два виходи будують багаторозрядні суматори, що
відображається таблицею істинності.На її основі записують систему логічних
функцій для результату 5, та перенесення Р, у досконалу диз'юнктивну нор-
мальну форму (ДДНОФ). Зокрема:

8, =KFZ,v XYZv XYZ, v XYZ, (48)


Розділ 4. Цифрова електроніка 223

PaXYZ,VXYZ,VXYZ,V XYZ, (4.9)

Для побудови схеми однорозрядного суматора на універсальних ЛЕ типу НЕ-Ї


рівняння (4.8) і (4.9) перетворюються на основі правил подвійної інверсії та де-
Моргана до вигляду:

SSX YZVX VZV XYZ, VX «ХДУ (4.10)

Схема однорозрядного суматора, побудованого на елементах НЕ-І відповідно до


рівнянь (4.10), зображена на рисунку 4.43, а. Її вартість, виміряна кількістю
логічних входів усіх елементів, становить 27, каскадність k=3.
її
Х

а б

Рис. 4.43. Схеми однорозрядних суматорів на елементах НЕ-| (а) та на "Виключальне ЧИ" (б)

Рівняння (4.8) і (4.9) можуть бути виражені через логічну функцію "Виключаль-
не ЧИ"

(X,®¥)Z,v(X,®Y,)Z, =x, OY @Z, (4.11)

раху (Ху м Х,ї)2,


є Хї У(Х, ФІ,)7, (412)
224 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Схема однорозрядного суматора на елементах "Виключальне ЧИ" згідно з


рівняння (4. 11), (4.12) подана на рисунку 4.43, 6.
Напівсуматором називають логічну схему, яка виконує додавання значень і-х
розрядів Х; та У; двійкових чисел Х та У та реалізує на виході значення результа-
ту М) та перенесення у старший сусідній розряд В

M,=XYVvXY=X,@Y; R=XY,. (4.13)


Схема напівсуматора, побудована на основі рівнянь (4.13) зображена на рисунку
4.44,

XxX, & 1 Зно Й =


Гм м. Xi HS R 1 Р,
У
i 7ж LeR
мо ЧУ в 0. м
&
6

&
5, 8
p 4 R

a г в

Рис. 4.44. Схема напісуматора (а) та його умовне позначення (б); однорозрядний
сумматор та його умовне позначення (в, г)

З рівнянь (4.11) і (4.12) очевидно, що схема однорозрядного суматора може


бути побудована на основі двох напівсуматорів і додаткового ЛЕ типу ЧИ (див.:
рис. 4.44, в).

4.3.9. Деякі логічні елементи для реалізації складних функцій


Розробляючи складні логічні пристрої необхідно послідовно виконувати
однотипні операції типу І-АБО-НЕ над різною кількістю змінних. Для спро-
щення технічної реалізації та зменшення кількості зовнішніх з'єднань, що веде
до підвищення надійності пристрою, в серіях ІС є елементи, які виконують
подібні послідовності логічних операцій. Для прикладу розглянемо найваж-
ливіші з них (див.: рис. 4.45).
Розділ 4. Цифрова електроніка 225

в г д

Рис. 4.45, Логічна схема 4-2-3-21-4АБО-НЕ (а), та її умовне графічне позначення (6);
логічний елемент 2-3-3-21-4АБО-НЕ (в); логічний елемент 2-41-4АБО-НЕ (г); два логічних
елементи 4-2-3-21-4АБО-НЕ (д)

Достатньо широко застосовують під час розроблення цифрових пристроїв


операцію "сума за / модулем два". Розглянемо її основні властивості. Цю
операцію (виключаюче АБО, логічна нерівнозначність) позначають символом
Ф і визначають співвідношенням:

X@V=X-¥vX-¥=(Xv¥)-(Xvy¥).
Операція "сума за модуле два" комутативна, асоціативна і дистрибутивна щодо
операції кон'юкції, тобто:
X@Y=Y@X,
X@(Y@Z)=(X GY)OZ,
X(Y ®Z)=(XY
® XZ).

Для цієї операції справедливі тотожності:


X@0=X, XO1=¥, XOX=0,XOX
226 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

хе ХУух У«(Хму)(ХуТ)- ХФу-хеу.

Операцію Х ФУ названо операцією виключаюче АБО" і "виключаюче АБО-


HE”, ski завжди мають лише два входи, тобто операції завжди виконуються над
двома змінними.

а б в
Рис. 4.46. Структурна схема реалізації операції
"виключаюче АБО" (а) та її умовне позначення (б); чотири 2-входових логічних елементи
"виключаюче АБО" (в)

Технічна реалізація ЛЕ "виключаюче АБО?" в базисі "1-НЕ" зображена на ри-


сунку 4.46. На цьому ж рисунку умовно позначені елементи "виключаюче
АБО".

4.3.10. Програмовані логічні інтегральні схеми


Програмовані логіки створюють на базі НВІС і, за своєю суттю, - це цифрові
мікросхеми, що мають програмовану (конфігуровану) користувачем внутрішню
структуру.
Основним програмованим компонентом програмованих матричних логік є
логічні матриці. Топологія забезпечує з'єднання довільного сигналу зі входу або
з довільним кон'юктором/диз'юнктором. Залежно від необхідних логічних
функцій деякі з цих з'єднань розриваються, а решта залишається і служать для
комутації сигналів. Можливість розриву забезпечується наявністю програмова-
ного елемента (перемички) у місцях з'єднання сигнальних ліній.
Засоби / програмованої матричної логіки розвиваються в напрямку
програмовані логічні інтегральні схеми (ПЛІС). Репрезентують цей напрям ІМС
CPLD (Complex Programmable Logic Резісев - складні програмовані логічні
пристрої) та Mixpocxemu FPGA (Field Programmable Gate Arrays — BeHTHJIbHi
матриці, де під вентилем розуміють довільний ЛЕ). Розвиток технології
Розділ 4. Цифрова електроніка 227

мікроелектроніки дає змогу створювати на кристалі схеми, складність яких


відповідає системним пристроям (5ОС -- Зузіста Оп Сбір - система на кристалі).
Програмована матриця логік має п парафазних входів аї, а, ..., ап, матрицю І,
матрицю АБО, т вихідних буферів та кола зворотного зв'язку. Матриця І програмо-
вана і дає можливість отримати на своїх виходах довільні елементарні кон'юнкції
змінних а, а», ...» ду. Виходи матриці І з'єднані зі входами матриці АБО, яка створює
диз'юнкції елементарних кон'юнкцій, сформованих матрицею І.
Виходи матриці І називають проміжними шинами (ргодисі тегтз), або тер-
мами (terms). Ha відміну від програмованих логічних матриць (ПМЛ-РІ А) у
ПМЛ матриця АБО має фіксоване налаштування, за якого кожна проміжна шина
матриці І з'єднана лише з одним виходом, що дає змогу реалізувати матрицю
АБО у вигляді сукупності 4-входових диз'юнкторів. Вихідні буфери становлять
собою програмовані мікрокомірки МК, які й визначають архітектуру ПМЛ.
Макрокомірки можуть складатися з вихідного інвертора з трьома станами (0, 1, Ї
7-стан), тригерів різного типу.
Розглянемо архітектуру ІМС типу СРІГ) на приклалі мікросхеми ХС 9500.
Загальна структура цієї МС зображена на рисунку 4.47

JTAG- 3 ПАС Контролер програмування


порти «ЦУНО-Ї контролер в системі

зач
lom4 18 aS ФБ
wo я 21 MKI..18
oO 36
Seton >| Ts | _ 98,
see BBB б MK 1.18
no ре
MIT
oO
1m
36
оскерчж- | >| id
GSR Oy
GTS @- г
Le ry

Рис. 4.47. Загальна структура СРІО-мікросхеми

Розглянута МС має такі групи виводів: І) порти вводу/виводу (1/0);


2) управляючі виводи:сигнал тактування ССК, установки/скидання СВ, управ-
228 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ління третім станом GTS; 3) виводи СТАС-порту для програмування та перифе-


рійного сканування.
Кожний функціональний блок містить 18 макрокомірок зі структурою 736
входів - І вихід". Він дає змогу формувати 18 логічних функцій для довільної
комбінації з 36 змінних. Блоки вводу/виводу забезпечують буферизацію всіх
входів та виходів. Матриця перемикань (МП) забезпечує подання вхідних та
вихідних сигналів на входи інших функціональних блоків. Крім того, вона
реалізує подачу вихідних сигналів функціональних блоків на блоки вво-
ду/виводу.
FPGA — програмовані користувачем вентильні матриці (іноді ЕРСА-
технологія) дозволяють проектувати на своїй основі перетворювачі кодів,
периферійні контролери, процесори, пристрої цифрової обробки сигналів та ін..
Структура такої ІМС приведена на рисунку 4.48.
КЛБ

Область програмованих міже'єднань Тіньовий запам'ятовуючий пристрій

Рис. 4.48. Узагальнена структура ЕРСА-мікросхеми

У центрі чіпа у вигляді матриці розміщені конфігуровані логічні блоки,


структура яких залежить від серії мікросхем. Швидкодія МС задається часовим
затриманням "вхід-вихід" одного конфігурованого логічного блоку.
Блоки вводу/виводу розміщуються по периферії верхнього шару кристала.
Вони можуть бути запрограмованими для виконання функцій буферів: вхідного,
вихідного, з запам'ятовуванням стану та ін..
Розділ 4. Цифрова електроніка 229

У нижньому шарі кристала розміщений тіньовий запам'ятовуючий пристрій,


інформація в елементах якого і визначає логічні функції логічного блоку,
конфігурацію блоку вводу/виводу на маршрути міжз'єднань.
Область між конфігурованими логічними блоками названа областю програ-
мованих міжз 'єднань: розвинена ієрархія металічних ліній зв'язку, в місцях пе-
ретину яких розміщені швидкодіючі транзистори. Функція області міжз'єднань
полягає у забезпеченні зв'язку між довільними виводами логічних блоків та
блоків вводу/виводу. Маршрут міжблокових з'єднань у ЕРСА-ПЛІС здійс-
нюється комутацією відповідних ліній за допомогою транзисторів.
Проектування логічної структури ПЛІС відбувалося за трьома напрямами:
1) логічного проектування; 2) схемою проектування; 3) за допомогою мов опису
апаратного забезпечення. Цей напрямок найбільш перспективний. Суть цього
підходу полягає в тому, що насамперед створюється поведінкова модель цифро-
вого пристрою на функціональному рівні, а потім система автоматизованого
проектування синтезує логічну структуру, що задана розробником.
На сьогодні створено низку моделей, які застосовують у процесі проек-
тування. Зокрема: функціональна модель, що описує функції системи без
вказаного способу реалізації цих функцій; поведінкова модель, що
репрезентує реакцію моделі на зміну вхідних сигналів, але без конкретного
опису апаратної реалізації пристрою; структурна модель, що описує
фізичну структуру конкретної реалізації з вказівкою компонентів та
топології їхніх взаємозв'язків; модель "віртуального прототипу" - клас
моделей імітаційного типу кільцевого продукту.
Засоби забезпечення програмованості ПЛІС. Програмованість стандартної
НВІС забезпечується заданим розімкненням певної кількості ключів. У
результаті стани ключів (замкнуті і розімкнуті) задають певну конфігурацію
схеми, створеної на кристалі. Кількість таких ключів залежить від її складності.
В сучасних ПЛІС використовують такі типи програмованих ключів: 1) перемич-
ки типу “antifuse”; 2) ЛІЗМОН-транзистори 3 подвійним 1 затвором;
3) ключові транзистори, керовані тригерами пам'яті конфігурації ("тіньовим" ЗП).
В однократно програмованих ЗЕ використовують пробій діелектричної пе-
ремички. Перемички типу "апійїияає" створюють у вигляді тришарового
діелектрика з чергуванням шарів "оксид - нітрид - оксид". У початковому стані
він має дуже великий опір (крізний струм 10"7А). Програмований імпульс на-
пруги пробиває перемичку та створює провідний канал. Величина струму
імпульсу програмування впливає на діаметр провідного каналу, що дає змогу
керувати параметрами провідної перемички.Такий тип перемичок також
забезпечує одноразове програмування НВІС.
ПЛІС з програмованими перемичками типу "апіійи5е" виробляють фірми Ас-
tel ra Lattice Semiconductor.
230 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Замість перемичок можуть бути використані ЛІЗМОН-транзистори з пла-


ваючим затвором, як УФ-стиранням заряду, так і електричним способом.
Однак ПЛІС на основі схемотехніки ЕРБОМ з УФ-стиранням потребують
витягування НВІС з пристрою. Внаслідок деградації властивостей матеріалу під
дією випромінювання число циклів програмування обмежена. У ПЛІС на основі
EEPROM з електричним стрианням процес програмування не потребує вилу-
чення мікросхеми з пристрою. Час стирання старої інформації і запису нової
становить декілька мілісекунд, а число циклів сягає 107-102, Останнім досяг-
ненням в репрограмованих ПЛІС є використання як ключів схемотехніки на
основі енергонезалежних осередків флеш-пам'яті.
Наступним схемотехнічним рішенням, що використовується в ПЛІС для
програмування конфігурації НВІС, є транзисторні ключі, керовані тригером
пам'яті конфігурації (див.: рис. 4.49).
Лінія вибірки

!
ен |
| Лінія В
запис читання
Рис. 4.49. Ключовий транзистор, керований тригером пам'яті конфігурації

У схемі ключовий транзистор М72 замикає і розмикає ділянку АВ залежно


від стану тригера,вихід якого під'єднаний до затвору транзистора И72.При
програмуванні на лінію вибірки подається високий потенціал і транзистор УТІ
вмикається. З лінії запису/читання подається сигнал, що встановлює тригер в
стан 717 або 707. У робочому режимі транзистор закритий і тригер зберігає вста-
новлений стан.

Пам'ять конфігурації - це статичний ОЗП, тому при вимкненому живленні всі


тригери пам'яті конфігурації скидаються, у зв'язку з чим кожен раз при ввімкненні
необхідне програмування ПЛІС. Цей процес називають (ініціалізацією, і на нього
затрачається певний час (лесятки-сотні мілісекунд). ПЛІС з програмуванням на
основі статичного ОЗП продукують фірми Хіїпх8 АПега.
Підсумовуючи, зазначимо, що завдяки регулярній структурі ПЛІС
реалізується з рівнем інтеграції, близьким до максимального. Споживач ПЛІС
формує необхіду конфігурацію пристрою, програмуючи його самостійно. Як
наслідок ці НВІС випускають великими тиражами, що забезпечує їхні високі
економічні показники.
Розділ 4. Цифрова електроніка 231

4.4. Напівпровідникова пам'ять

4.4.1. Основні відомості.


Принцип побудови запам'ятовуючих пристроїв

Запам'ятовуючий пристрій (ЗП) служить для збереження інформації (даних і


програм) та обміну її з іншими цифровими пристроями. На сьогодні МС пам'яті
з загальному об'ємі випуску ІМС займають майже 4090 та відіграють важливу
Функцію у багатьох системах різного призначення.
Особливістю таких мікросхем є те, що найважливіші параметри ЗП перебу-
вають у протиріччі. Зокрема, вслика інформаційна ємність не поєднується З ви-
сокою швидкодією, а своєю чергою , швидкодія не відповідає низькій вартості.
Щоб отримати в ЕВМ одночасно велику інформаційну ємність та високу
швидкодію, використовують т. зв. ієрархічний принцип побудови ЗІ (див.:
тис. 4.94), за якого логічна організація потоків інформації така, що все
інформаційне none ввичислювальної системи представляється у вигляді
енутрішнього абстрактного віртуального ЗП.Адресація його комірок відбу-
ззається засобом абстрактних математичних адрес.
У найбільш розвинутій ієрархії пам'яті ЕВМ виділяють такі рівні (див.:
тис. 4.50).
Тут: 1) НОЗП - надоперативний "Вичислювальний
запам'ятовуючий пристрій, що має пристрій
отвилкодію, співмірну зі швидкодією
зичислювального пристрою; 2) ОЗП - ноз 1 рівень
еперативні запам'ятовуючі пристрої -4--~----- |---- ---
служать для першочаткового збере-
оження введеної інформації. Енерго-
оалежні, тобто при втраті живлення
еформація втрачається; 3) ПЗП - по- ee З рівень
стійні запам'ятовуючі пристрої. Це
шенергонезалежні ЗП, що служать для 3311 А рівень
Обереження | незмінної | інформації Рис. 4.50. Ієрархічний принцип побудови
управляючих програм і програм, на- зп
заголжених користувачем); 4) БЗП - буферні запам'ятовуючі пристрої, призна-
і для проміжного збереження інформації при обміні між пристроями, що
ють з різною швидкістю. Цю функцію виконують регістрові схеми або
малого об'єму; 5) ЗЗП - зовнішні ЗП служать для збереження великого
єму інформації на зовнішньому, щодо вичисліювальної системи, носії.
232 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

4.4.2. Основні параметри ЗП


Інформаційна ємність -- максимально можливий об'єм збереженої
інформації. Виражається в бітах або словах (байтах).Біт зберігається у за-
пам'ятовуючому елементі (ЗБ), а слово - запам'ятовуючою коміркою (ЗК), тоб-
то групою ЗЕ, до яких можливе лише одночасне звертання.
Організація ЗП - добуток числа збережених слів на їхню розрядність. Це дає
інформаційну ємність ЗП, однак за однієї і тієї ж інформаційної ємності
організація ЗП може бути різною. Тому організація є самостійним параметром.
Швидкодію (продуктивність) ЗП оцінюють часами зчитування, запису та
тривалостями циклів читання/запис. Час зчитування - інтервал між моментами
появи сигналу читання і слова на виході ЗП. Час запису - інтервал після появи
сигналу запису, достатній для скеровування ЗП у стан, що задається вхідним
словом. Мінімально допустимий інтервал між послідовними читаннями або за-
писами утворює відповідний цикл.
Крім описаних основних параметрів ЗП, наявний ще великий набір часових
інтервалів.Усі розглянуті динамічні параметри є експлуатаційними (вимірю-
ваними). Крім них, є низка режимних параметрів, забезпечення яких необхідне
для нормального функціонування ЗП, оскільки він має декілька сигналів
управління, для яких має бути забезпечене певне взаємне розміщення в часі.
'
цикл читання
|
1 і І
Let

І і
роко-о-3- (дарма о
і ites}
CS ' tert
|
й

Рис. 4.51. Типові сигнали (а) та їхні часові діаграми (б)

Один з можливих наборів сигналів ЗП (див.: рис. 4.51,а) складається з таких


сигналів:
4. Цифрова електроніка 233
Розділ

1) А-адреса, розрядність якої п визначають за кількістю комірок ЗП, тобто


максимально можливою кількістю збережених у ЗП слів. Адреса є номером
комірки, до якої йде звернення. Розрядність адреси пов'язана з кількістю збере-
жених слів М співвідношенням й - Іов, М:
2) CS~(Chip Select) a6o (Chip Enable), axuii aae змогу або забороняє роботу
MC;
3) R/W — (Read/Write) 3agac BukOHYyBaHy операцію (за одиничного значення
-- читання, за нульового - запис):
4) DI i DO (Data Input) i (Data Output) — unm вхідних і вихідних даних,
розрядність яких т визначається організацією ЗП.
Час перед встановленням сигналу 4 відносно сигналу В Іуил-р) - Це інтервал
між початками обох сигналів.
Час утримання //(4 в) - інтервал між початком сигналу А та закінченням

сигналу В.
Час утримання /,,; в - інтервал між закінченням сигналу А та закінченням
сигналу В.
Тривалість сигналів позначають /у/ ЛАН --ширина).
Для ЗП характерним є така послідовність сигналів. Насамперед подається
адреса, щоб наступні операції не впливали на інші комірки, крім вибраної. Потім
дозволяється робота МС сигналом С5 (СЕ) та подається строб читання/запис
ВУ. Задній фронт сигналу ВЛУ, положення якого за часом має забезпечувати
встановлення правильних даних на виході ЗП, зчитує дані.
Приклад часової діаграми для розглянутого набору сигналів ЗП їі операці
читання поданий на рисунку 4.51, б.
Індексом А (Ассез8) позначають, згідно зі стандартом, часи доступу від поя-
на
ви того чи іншого управляючого сигналу до появи інформаційного сигналу
виході. Час доступу щодо сигналу адреси позначають, як (ла іноді просто гл.
Аналогічно, час доступу щодо сигналу CS, тобто ІС» іноді просто позначають
AK tes. Час І; називають також часом вибірки, час Іся - Часом вибору.
Крім зазначених параметрів, для ЗІ використовують інизку інших, які є
традиційними для цифрової схемотехніки.

4.4.3. Класифікація напівпровідникових ЗП


класифікації ЗП головною ознакою є спосіб доступу до даних (див.:
В
рис. 4.52).
За адресного доступу код на адресному вході вказує комірку, з якої ведеться
обмін. Всі комірки адресної пам'яті в момент звертання рівнодоступні.
234 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Адресні ЗП поділяють на КАМ (Капот Ассе85 Метогу) і КОМ (Веад-Опіу


Метогу). Синоніми ВАМ: ОЗП (оперативні ЗП) або ЗПДВ (ЗП з довільною
вибіркою). ОЗП зберігають дані, що беруть участь в обміні при виконанні
поточної програми, і можуть бути змінені в довільній момент часу. ЗП ОЗП
зазвичай не володіють енергозалежністю.
В ВОМ (ПЗП, тобто постійні ЗП) інформація взагалі не змінюється, або
змінюється, але рідко, та в спеціальному режимі. Для робочого режиму це
"пам'ять для читання".
Напівпровідникові ЗП
I
Адресні. Послідовнісні Асоціативні

Повністю
вом RAM ERO. асоціативні
Стекові З прямим
ROM) розміщенням,
PROM Файлові HagipHo-
асоціативне
Циклічні
EPROM
EEPROM|
FLASH
Динамічні
Статичні I
І Підвищеної
Асихронні Стандартні. швидкодії
тактовані ГІ ЕРМ
Я Квазістатичні НІ єБоваАм
рен НІ вЕРОВАМ
НІ мовам
L{_SRRAM.
-| RDRAM
|] _DRRAM
(| срвам
Рис. 4.52. Класифікація напівпровідникових ЗП

RAM поділяють на статичні та динамічні. У першому варіанті за-


пам'ятовуючими елементами є тригери. У другому дані зберігаються у вигляді
конденсаторів МОН-структур. Саморозряд конденсаторів веде до руйнування
даних, тому вони мають періодично (декілька мкс) регенеруватися. Щільність
упакування динамічних елементів пам'яті в декілька разів перевищує щільність
у статичних ВАМ.
Регенерування даних у динамічних ЗІ відбувається за допомогою
спеціальних контролерів. Відомі також ЗП з динамічними елементами пам'яті,
що мають внутрішню вбудовану систему регенерування.
Розділ 4. Цифрова електроніка 235

Статичні ЗП називають З5КАМ, а динамічні DRAM.


Статичні ОЗП поділяють на асинхронні, тактовані та синхронні (конвеєрні).
В асинхронних сигнали управління можуть задаватися як імпульсами так i
рівнями. В тактованих ЗП деякі сигнали обов'язково мають бути імпульсними.
Цей тип ЗП іноді називають синхронним
Динамічні ЗП характеризуються найбільшою інформаційною ємністю та не-
великою вартістю, тому саме їх використовують як основну пам'ять EBM.
Оскільки для цієї пам'яті необхідна висока швидкодія, то на сьогодні розробле-
на низка архітектур підвищеної швилкодії.
Статичні ЗП у чотири-п'ять разів дорожчі від динамічних і приблизно в
стільки ж разів менша їхня інформаційна ємність. Їхньою перевагою є висока
швидкодія, а типовою областю використання - схеми кеш-пам'яті.

Постійна пам'ять типу ВОМ(М) програмується при виготовленні методами


інтегральної технології за допомогою масок. Її називають "ПЗП-масочною".
У інших різновидах ВОМ у позначеннях вводять літеру Р (Programmable).
Це програмована користувачем пам'ять (РБОМ - ППЗП). ї вміст записується
або однократно (в РКОМ), або може бути замінений шляхом стирання старої
інформації та запису нової (в ЕРКОМ і ЕЕРКОМ). В EPROM стирання
виконується за допомогою опромінення кристала УФ-світлом. В ЕЕРКОМ сти-
рання виконують електричними сигналами.

Пам'ять типу Еа5ії за запам'ятовуючим елементом. подібна до пам'яті ти-


пу EEPROM (Е"РВОМ), але має структурні та технологічні особливості, що да-
ють змогу виділити її в окремий тип.
У ЗП з послідовнісним доступом записувані дані утворюють деяку чергу.
Зчитування відбувається почергово: слово за словом, або в порядку запису, чи у
зворотному порядку. Моделлю такого ЗП є послідовний ланцюжок за-
пам'ятовуючих елементів, в яких дані передаються між сусідніми елементами.
Прямий порядок зчитування має місце в буферах ЕІКО з дисципліною "перший
прийшов - перший вийшов" (Ріг5! І - Еіг5і Оці), а також у файлових і циклічних
ЗП,
Відмінність між пам'яттю ЕТРО і файловим ЗП полягає в тому, що в ЕІРО
запис у порожній буфер відразу ж стає доступним для читання. В файлових ЗІ
дані надходять у початок ланцюжка та появляються на виході після деякої
кількості звернень, рівного числа елементів у ланцюжку.За незалежності
операцій "зчитування/запис" фактичне розміщення даних у ЗП на момент зчиту-
вання не пов'язане з якою-небудь зовнішньою ознакою. Тому записувані дані
об'єднуються у блоки, позначені спеціальними символами кінця та початку
(файли).
236 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

У циклічних ЗП слова доступні одне за одним з постійним періодом, що


задається ємністю пам'яті.До такого типу серед напівпровідникових ЗП
відносять відеопам'ять (УБАМ).
Зчитування у зворотному порядку властиве стековим ЗІ, для яких
реалізується дисципліна "останній прийшов-першим вийшов". Такі ЗП назива-
ють буферами ШКО (1.а5і Їп -- Еїгяї Out).
Час доступу до конкретної одиниці збережен! нформації в послідовних ЗП
є випадковою величиною.
Асоціативний доступ реалізує пошук інформації за деякою ознакою, а не за
її розміщенням у пам'яті. У найбільш повній версії всі збережені у пам'яті слова
одночасно перевіряють за ознакою.
На вихід надходять слова, що задовольняють
цю ознаку. Дисципліна видачі слів, якщо тегу задовольняє декілька слів, а також
дисципліна запису нових даних можуть бути різними. Основна область застосу-
вання асоціативної пам'яті в сучасних ЕВМ - кешування даних.

4.4.4. Типи запам'ятовуючих елементів.

Запам'ятовуючий елемент статичного біполярного ОЗП. ЗП такого типу


-- це тригер, побудований на двох біполярних транзисторах, бази яких з'єднані з
колекторами "хрест-навхрест"? (див. рис. 4.53, а). За рівень логічного "0" прий-
мають потенціал, близький до потенціалу землі, а за рівень логічного 7 І" -на-
пругу, близьку до -5В. До накопичувача цей ЗП під'єднується адресною лінією
АЛ ї розрядною РЛ (див. рис. 4.53, б).
Доступ до ЗЕ забезпечується подачею напруги 75 В (717) на АЛ. Водночас
можливі режими:
1) запис інформації: а) запис ("0") - коли на розрядну лінію РЛ подається
70", водночас транзистор МТ; відкритий через верхній емітер. В точ. "а"
(див. рис. 4.53, а) буде низький потенціал, що відрізняється від потенціалу землі
на величину спаду напруги на відкритому транзисторі (-0,4 В). Цей потенціал
надходить на базу УТ» і закриває його. Отже, крізь ИТ» струм не проходить,
спаду напруги на опорі А» нема. Тому в точці "6" схеми буде потенціал, прак-
тично рівний --5 В. Він подається на базу транзистора МТ) і засвідчує його
відкритий стан. Тригер таким чином набув стійкого стану: /Т відкритий, ИТ» -
закритий. Цей стан вважають нульовим; б) запис 71" - коли на розрядну лінію
подається 71". Потенціали обох емітерів і колектора транзистора ИТ; будуть
однакові та рівні 15 В. Тому УТ) закритий, струм через нього не проходить, спа-
ду напруги на опорі К; нема. Отож, потенціал точки "а" дорівнюватиме прак-
тично 15 В. Він подається на базу транзистора МТ» і відкриває його. Внаслідок
наявності різниці потенціалів між 75 В на колекторі V7>i +1,5 В на його верх-
ньому емітері через відкритий МТ» протікає струм по колу 15 В, опір Б», ко-
Розділ 4. Цифрова електроніка 237

лектор, база, верхній емітер 7». Основний спад напруги в цьому колі внаслідок
малого опору відкритого транзистора УТ» припадає на опір В». Тому в точці "6"
буде низький потенціал. Він надходить на базу транзистора УТ; та підтверджує
його закритий стан. Таким чином тригер перейшов у другий стійкий стан: V7) —
закритий, УТ» відкритий. Його вважають одиничним;

+5B
PIL

Рис. 4.53. ЗЕ статичного біполярного ОЗП:


а - принципова схема; б - структурна схема під'єднанняння

2) зчитування інформації - коли на РЛ подається проміжний потенціал


41,5 В. Доступ до ЗЕ і далі забезпечується подачею на адресну лінію "1". Разом з
тим можливі варіанти:
а) читання 70" . Якщо ЗЕ зкаходився в стані логічного нуля "07, по РЛ поте-
че великий струм, який перетворюється за допомогою схем оточення і на вихід
схеми подається як потенціал логічного 707"
б) читання 71", Якщо ж у попередній момент часу ЗЕ знаходився у стані
логічної "І", по РЛ потече менший струм, який перетворюється за допомогою
схем оточення і на вихід схеми подається як потенціал логічної 717)
3) збереження інформації - коли на АЛ подається "07, а на РЛ рівень
логічної 71", незалежно від подаваного на інформаційний вхід пам'яті рівня сиг-
налу.Водночас перемикання тригера в новий стан неможливе, тому ЗЕ зберігає
записану раніше інформацію. Її збереження відбувається як завгодно довго
(статично?) за наявності електроживлення у схемі. Звідси і назва даного типу
ОЗП - статичний.
При втраті та відновленні живлення стан кожного ЗЕ непередбачуваний і
визначається розкидом параметрів транзисторів. Зазвичай в управляючій про-
грамі передбачене обнулення пам'яті.
238 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Запам'ятовуючий елемент динамічного ОЗП на МОН-транзисторах. Ос-


новою цього ЗЕ є п-МОН-транзистор ИТ (див.рис. 4.54, а). Транзистори ИТ»,
УТУ служать для забезпечення доступу до ЗЕ (його вибору) за допомогою двох
ліній адрес: або адресної лінії запису АЛ, або адресної ліній зчитування АЛ.
(aue.:puc. 4.54, 6).

РЛя

Рис. 4.54. ЗЕ динамічного ОЗП на МОН-транзисторах:


а- принципова схема; б - структурна схема під'єднання до ліній

Рівні логічних сигналів аналогічні, як і у попередньому випадку. Можливи-


ми є такі стани схеми:
1) запис інформації відбувається у разі подання на адресну лінію запису
АЛ логічної 717, У цей час у транзисторі /7» утворюється п-канал між стоком і
витоком. Тоді потенціали витоку і стоку /Т» відрізняються між собою на неве-
лику (- 0,2 В) всличину спаду напруги відкритого транзистора. В цей час на ад-
ресну лінію зчитування АЛ., має подаватися сигнал 70" для ізоляції ИТ; від
розрядної лінії зчитування РЛ ,.,, при чому можливі випадки:
а) запис 707 здійснюється подаванням близької до потенціалу землі напруги
на розрядну лінію запису РЛшТобто на затвор транзистора МТ; подається
потенціал землі, водночас потенціал на затворі та витоку транзистора УТ! одна-
кови! й. Конденсатор,обкладками якого є затвор і підкладка УТ), не заряджений.
Такий стан схеми вважають пульовим;
б) запис 7" забезпечується комбінацією сигналів: АЛушг"1 Ї РЛошо"1. У цьому
разі ИТ! відкритий, конденсатор С заряджений через різницю потенціалів близь-
ко 5B між затвором і підкладкою. Такий стан схеми вважають станом логічної
“12
2) зчитування інформації забезпечується подачею на адресну лінію читання
АЛ. логічної 717. У цьому випадку п-канал між стоком і витоком утворюється в
транзисторі V73. Водночас на адресну лінію запису АЛ. має подаватися сигнал
407 для ізоляції ИТ; від розрядної лінії запису РЛдп. З огляду на те, що потенціал
Розділ 4. Цифрова електроніка 239

витоку і стоку транзистора МТ» практично однаковий, стан на розрядній лінії


зчитування РЛ,, визначається станом схеми в попередній момент часу:
а) при читанні 70" конденсатор між затвором і підкладкою не заряджений,
тому по розрядній лінії зчитування протікає малий струм. Він перетворюється
схемами обробки в рівень 70";
6) при читанні 71" конденсатор між затвором і підкладкою V7;
розряджається через відкриті 7, ї УТ». Великий струм розряду на лінії РЛ.,
перетворюється схемами оточення в рівень 71".
З)збереження інформації | забезпечується | комбінацією | сигналів:
АЛуп 7 АЛ, 0, при чому УТ і УТ; закриті, конденсатор між затвором і
підкладкою УТ; заряд не змінює.
Оскільки насправді струми витоку в УТ; суттє! іїто для реальної роботи цьо-
го ОЗП потрібно постійно регенерувати заряд конденсатора у транзисторі ТІ,
компенсуючи його втрату. Виконується регенерування за допомогою
спеціальних схем, які можуть бути і зовнішніми, і внутрішніми для ВІС ОЗП.
Унаслідок динамічних зарядових процесів у конденсаторній структурі МОН-
транзистора описаний ОЗП називають динамічним. При втраті живлення
інформація у динамічних ОЗП втрачається
Запам'ятовуючий елемент постійного | за-
пам'ятовуючого пристрою. Основою ЗЕ цього типу є
біполярний транзистор УТ. База транзистора під'єднана
до адресної лінії АЛ, а емітер - до лінії даних ЛД
(див.рис. 4.55).
Для вибору ЗЕ необхідно на базу транзистора пода-
ти рівень 717, тоді транзистор УТ буде відкритий і на
ньому буде малий спад напруги. Отож, на лінії даних Рис. 4.55. ЗЕ
ЛД буде потенціал, близький до З 5 В, тобто 71". Для постійного
програмованого ГІЗИ, запам'ятовуючий елемент якого запам'ятовуючого
- М при!
зображений на рисунку 4.55, за наявності плавкої пере- Р! роза, на
мички П замикається коло: 33 В - відкритий транзи- транзисторах
стор VT — плавка вставка П-ЛД, підєднана до
потенціалу землі через опір (на схемі не зображений).Унаслідок протікання
струму по колу потенціал ЛД підвищується майже до - 5 В, як було сказано по-
передньо. При розплавленні плавкої перемички струм по колу не тече, на ЛД
формується потенціал землі, що відповідає занесенню в ЗЕ логічного 70".

4.4.5. Способи організації накопичувачів


Сьогодні практично використовують два способи організації накопичувачів,
що містять запам'ятовуючі елементи: словникова та матрична організац:
240 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

При роботі накопичувача зі словниковою організацією (див.: рис. 4.56, а) ак-


тивний сигнал надходить лише на одну адресну лінію. За цієї умови
відбувається доступ до всіх ЗЕ вибраного рядка, тобто все двійкове число
записується або зчитується одночасно.
Розрядна лінія

BE | 3EH BE
] | 5 ea ОЇ
= 3E 9 | 3E BE fe & я
58. ----4---31-----
| | 7/2 я

З 2 8
3E He | 3E fe BERS З
a e | TT} З
Розрядні лінії Адресні лінії стовбця
а б

Рис. 4.56. Організація накопичувачів ЗГІ:


а - словникова; б - матрична

У накопичувачі з матричною організацією (див.грис. 4.56, б) вибір ЗЕ


відбувається за двома адресними лініями. Одну лінію умовно назвали лінією ви-
бору рядка, а другу - лінією вибору стовбця. Активним стає той елемент У
накопичувачі, в якого активовані обидві адресні лінії. Для роботи з багатороз-
рядними словами створюють тривимірну матрицю, до якої під'єднані ті ж
лінії.У цьому типі накопичувачів може бути використаний ЗЕ на біполярних
багатоемітерних транзисторах: один емітер з'єднаний з розрядною лінісю, а два
інших - до адресних ліній рядка і стовбця.

4.4.6. Структурні схеми ЗП


Узагальнено структурні схеми визначають основні функціональні частини
ВІС ЗП, їхнє призначення та взаємозв'язки, служать для загального ознайом-
лення з роботою ЗП.
Статичні ОЗП з матричним накопичувачем. Структурна схема такого ЗП
зображена на рисунку 4.57.
озділ 4. Цифрова електроніка 241

DI F
Підсилювач
запису ро
cs |
\ Пристрій ia г
— управліні ня Підсилювач
WRRD —> читання

т | Дешифратор адресних РО
3 ЗО insist parca Накопичувач
В
Я
З ”
Ж п || Дешифратор адресних
4 г
ліній стовбця У

Рис. 4.57. Структурна схема статичного ОЗП з матричним накопичувачем

Tyr DI (data іприї) - лінія вхідних даних; С5 (сіеар 5сЇесі) - вибір кристала -
сигнал, який дозволяє роботу ВІС ОЗП; МИК/ КР (мугіїс/теад) - сигнал управління
записом (нульовий рівень активний, що відображено у вигляді інверсії сигналу) і
читання (одиничний рівень); ДО (Чака оибриї) - лінія вхідних даних.
На основі двійкового коду адресної шини за допомогою дешифраторів адресних
ліній Хі У формуються дозвільні сигнали за одним рядком і одним стовбцем на-
копичувача, визначаючи адресовану комірку. Пристрій управління задає режим
роботи ЗП відповідно до комбінації сигналів С5 і МЕ/ ВД, що відображено в
таблиці істинності ЗП та часовій діаграмі його роботи на рисунку 4.58.

Запис Читання
WR/RD Режим
Збереження
-еоип

es 7 Збереження
Запис
Читання
mo

WRRD

шл

Рис. 4.58. Таблиця істинності і часова діаграма роботи статичного ОЗП


з матричним накопичувачем

Вибір кристала СУ відіграє роль синхросигналу, що задає початок запису


або зчитування інформації. До моменту встановлення дозвільного рівня сигналу
242 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

СУ- 1 мають бути сформовані необхідні значення решти сигналів (код адреси
на шині адреси ША), управління записом і читанням та вхідні дані на шині да-
них (ШД).При збереженні інформації на ДІ ї ДО звичайно встановлюється стан
високого опору, за якого ці лінії від'єднанні від землі та джерела живлення.
Динамічний ОЗП з матричним накопичувачем. Його структурна схема
зображена на рисунку 4.59. Тут КА5 -сигнал вибору рядка; СА5 -сигнал вибору
стовбця; МИЮ/ ВР -сигнал управління запис/читання; т-розрядність рядка нако-
пичувача ЗЕ; п-розрядність стовбця накопичувача; М- 2"- кількість адресних
лін ї рядків; М -2"- кількість адресних ліній стовбців. Адреса числа на ША
задається (т - п)-розрядним двійковим числом, що зберігається в регістрі адре-
си.

т
туп | регістр Дешифратор. мо | Накопичувач
СУА
Код адреси | адреси
есних ліні
по | ЗАР бика MxN

М
ДО У
Пристрій Дешифратор. | М Г2/ двохнаправ-
адресних ліній ai З
управління стовбця У ie are
лювачів

DI
| Підсилювач запису

Підсилювач
читання

Рис. 4.59. Структурна схема динамічного ОЗП з матричним накопичувачем

3a RAS =0 т-розрядна адреса рядка фіксується в регістрі адрес. Водночас


дешифратор адресних ліній Х вибирає один з М! рядків накопичувача. За
наступної подачі CAS =1, як зображено на часовій діаграмі (див.: рис. 4.60),
проводиться регенерування рядка шляхом передавання інформації зі всіх ЗЕ
адресованого рядка в М двонаправлених підсилювачів з наступним записом у ті
ж ЗЕ.Отже, формуючи на адресній шині послідовність адрес рядків, можна за М
тактів забезпечити повне регенерування всього об'єкта пам'яті. Цей час не по-
винен перевищувати 2 ме, за який проходить повний розряд конденсатора між
затвором та підкладкою транзистора.
Розділ 4. Цифрова електроніка 243

Для читання або запису потрібно після адреси рядка подати п-розрядний код
адреси стовбця. При активному сигналі вибору стовбця СА5 - 0 дешифратор
адресних ліній У забезпечує вибір одного з М двонаправлених підсилювачів. За
WR/RD=0 буде відбуватися 3anuc, a 3a WR/RD=1 - читання з одного вибра-
ного ЗЕ рядка.

ВАЗ | СА5 WR/RD Режим


0 0 0 Запис
0 0 | 1 Читання
0 1 | 0 Регенерування
0 1 1 Регенерування
1 0 0 Збереження
1 0 1 Збереження
1 1 0 Збереження
І | 1 1 Збереження

Читання Запис
CAS у
NS Ж 5
nas Re іду) >
1 о 2
ПЕРА М / ;
ТЛА | УрядокХ стовбець У рядок ХСстовбець)
ї

шд ДО ОВО ож Se eae
rat т не : і
— ae
Регенерація

Рис. 4.60. Таблиця істинності і часова діаграма роботи динамічного ОЗП


з матричним накопичувачем

4.4.7. Постійні запам'ятовуючі пристрої


Постійні запам'ятовуючі пристрої (ГІЗП) - це запам'ятовуючі пристрої для
постійного, енергонезалежного збереження інформації.
За способом запису ПЗП класифікують таким чином: І)однократно
програмовані за допомогою маски у процесі виготовлення (типу КОМ); 2) одно-
кратно програмовані користувачем за допомогою спеціальних пристроїв
(програматорів) - ПИЗП (РЕОМ); 3) перепрограмовані, або репрограмовані ПЗП
- РИЗП (ЕРКОМ, ЕЕРКБОМ).
244 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІК

Маскові ПЗП. Програмують маскові ПЗП у процесі виготовлення ВІС.


кристалі напівпровідника спочатку створюють усі ЗЕ, а потім на заключ:
технічних операціях за допомогою фотошаблону шару комутації реалізую
зв'язки між лініями адрес та ЗЕ. Цей шаблон (маска) виконують відповідно
побажань замовника за картами замовлення. Такі ПІЗП будують на основі м:
риць діодів, біполярних або МОН-транзисторів.
Схема маскового ПЗІ на основі діодної матриці зображена на рисунку 4.61.

+5B

5 pc} o 22 Tes
ey leh te | as
7 128
Ee]?
2 ла;oO
91
Е
Le ЛА»
о ос о 6 о о о ошд
р; ре Ds Dy Ds Di Di Do
Рис. 4.61. Функціональна схема ПІЗП на основі діодної матриці

Горизонтальні лінії тут - адресні, а вертикальні - лінії даних. У нашому


падку з них знімають восьми-розрядні двійкові числа. У схемі ЗЕ - це умові
перетин лінії адреси та лінії даних. Вибирають увесь рядок ЗЕ за пода!
логічного 70" на лінію адреси ЛА; з відповідного виходу дешифратора. У виб
ний ЗЕ записується 70" за наявності діода на перетині лінії Д; та ЛА, оскільки
цьому випадку замикається коло 5 В, діод, земля на адресній лінії. Наприкл:
в конкретному ПІЗП при поданні адреси 11» активний нульовий сигнал вині
на адресній лінії ЛАЗ, на ній буде рівень 707, на шині даних Д7...00 вияви:
інформація 0110001 15.
Приклад маскового ПІЗП на основі матриці МОН-транзисторів зображе:
на рисунку 4.62.

г ро сао домо г) рот г ЛА


3-41 а ромої г) бОзо1гої ГО 0о о) Г0201 ОЇ ЛА;
о 1 і 3 с. а о
4-12 |8є FO Ort FO 01 г pom re TO Ore ла,
S28] 2 = =. = 73 о
ae bo Orr + tom г HO OF HO GF OTA;
3 ek і і і о
EL
1 рої pd DO* Род
Рис. 4.62. Функціональна схема ПЗП на основі матриці МОнН-транзисторів
245
озділ 4. Цифрова електроніка

нням або не під'єднаннямнням MOH-


Записується інформація під'єднанням ній
При виборі певної адреси на відповід
транзистора у певних точках ВІС. близький до
активний сигнал 717, тобто потенціал,
ресній лінії ЛА; виявиться орів
-17 подається на затвори всіх транзист
потенціалу джерела 55 В. Отримана лінії
зистора металізований, на відповідній
рядка та відкриває їх. Якщо стік тран зи-
В, тобто рівень 70". Якщо ж стік тран
даних ДЮ; виникає потенціал 7 0,2-0.3 у-
алізоване, на опорі К; не буде спаду напр
стора не металізований, це коло нере зоб-
B (рівень" 17). Для прикладу, якщо у
ти. Тобто в точці 0; буде потенціал +5
подати код 01», на лінії адреси ЛА; буде
раженому на рисунку 50 ГІЗП на адресу
х Д3...00-код 00 10».
активний рівень 717, ана шині дани тран-
основі матриці біполярних
Маскові ПІЗП реалізовують також на
на рисунку 4.63.
зисторів. Приклад такого ПІЗП поданий
+5B
Ro
ЛА
о
8
8 8
5 ЛА!
З
a
ЛА:
58.

15 є
ЛА

р Do} img
орів
на основі матриці біполярних транзист
Puc. 4.63. Функціональна схема ПЗП

ізацією ділянки між


Записується інформація також металізацією /неметал
рядка ЗЕ на лінію адреси ЛА; подається
базою та адресною лінією. Для вибору
базу транзистора. Він вікривається
І", При металізації вона подається на
ером (земля) і базою (~ +5 В). За цих умов
внаслідок різниці потенціалів між еміт
й транзистор, земля на емітері на тран-
замикається коло: 15 В; опір Ки; відкрити
потенціал, що відповідає спаду напруги
р зистора. У точці ДЮ; простежуватиметься
70". Отже, в ЗЕ записаний 707. Якщо
на відкритому транзисторі - 7 0,4 В, тобто
на, то наведене вище електричне коло
ж ділянка між лінією адреси неметалізова
В; нема. Тому на лінії даних Д); буде
не реалізоване, спаду напруги на опорі
потенціал 15 В, тобто 71".
вані ПЗІП - це такі ж діодні або
Програмовані ЗП (типу РЕОМУ). Програмо
з іншим виконанням ЗЕ. Зокрема МС
транзисторні матриці, як і маскові, але
спеціальні перемички.
РЕОМ програмують усуваючи / створюючи
246 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Усунення частини перемичок властиве ЗП з плавкими перемичками (ПП).


Водночас у вихідному стані ЗП має всі перемички, а при програмуванні частина
їх усувається шляхом розплавлення імпульсами струму великі ї амплітуди (-- 40-
50 В). В ЗП з ПП ці перемички вмикають до електродів діодів або транзисторів.
Перемички можуть бути металічними (Ni,Ti-W) ado полікристалічними
(кремнієвими). У вихідному стані ЗЕ зберігає 717, а 707 записують шляхом розп-
лавлення перемички.
Другий тип ЗЕ, типу РКОМ, - два зустрічно ввімкнені діоди. У вихідному
стані опір такого ланцюжка достатньо великий, що рівноцінно розімкнутому
колу 1 ЗЕ зберігає 70". Для запису 71" до діодів прикладається висока напруга
(U> Upp), що пробиває діод, зміщений у зворотному напрямку. Діод
пробивається та відіграє роль провідної перемички. ЗЕ з плавкими перемичками
та парами діодів зображені на рисунку 4.64, а, б у вихідному стані та після про-
трамування.

а б
Рис. 4.64. ЗЕ з плавкими перемичками (а) та діодними парами (б)

Матриця ЗЕ з плавкими перемичками в техніці ТТЛ зображена на рисунку


4.65. Вона містить 32 транзистори (32 х 8) з дев'ятьма емітерами у кожному
(вісім робочих і один технологічний для вибору режиму пропалювання). Висо-
жий потенціал на довільній шині вибірки активізує певний транзистор, що
працює в режимі емітерного повторювача. До програмування транзистори пере-
дають високий потенціал бази на всі вихідні (розрядні) лінії, тобто по всіх адре-
сах записані слова, що складаються лише з одиниць. Перепалювання перемички
в колі довільного емітера дає 0 у розряді слова (напр., для комірки Хо! показа-
ний варіант програмування для збереження за цією адресою слова 10100101).
Виходи матриці пов'язані з зовнішніми колами через буферні каскади.
Програмування ЗП з плавкими перемичками реалізується простими апарат-
ними засобами і може бути доступне схемотехнікам навіть без спеціального об-
ладнання.
Зовнішня організація пам'яті типу РКОМ проста: вхідними сигналами для
них служать адресний код і сигнал вибору МС СУ. За часом послідовність
Розділ 4. Цифрова електроніка 247

сигналів така: спочатку подається адресний код, потім надходить сигнал вибору
МС СУ і після затримування (залежно від швидкодії схеми) на виходах даних
встановлюються правильні значення зчитуваних сигналів.

шво
ва) ШВІ
be
TUB 31 До буферних каскалів
Рис. 4.65. Матриця запам'ятовуючих елементів з перемичками в техніці ТТЛ

Репрограмовані ЗП (ЕРКОМ та ЕЕРКОМ). Репрограмовані ПЗП-ЗЕ ство-


рюють на основі матриць, побудованих на польових транзисторах двох типів:1)
МНОН (метал -нітрид-оксид- напівпровідник), у яких підзатворний діелектрик
має двошарову структуру (510. - 5ізМц); 2) ЛІЗМОН - з індукованим р- або п-
каналом (лавинна інжекція - МОН-транзистор).
Розглянемо елемент пам'яті на МНОН-транзисторі. Його структура зобра-
жена на рисунку 4.66, а.

в 3 Ic тоном
ei 7 /
|
MEET
1
ЗО»
р)
p-Si |
Us,
a 6 в

Рис. 4.66. Запам'ятовуючий елемент на МНОН-транзисторі:

а - будова транзистора; б- ВАХ J. = Г(П/,,) ; в- схема вибірки


248 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Особливістю такого транзистора є наявність двошарового затвору, викона-


ного з тунельно-тонкого шару 510» та нарощеного на нього товстішого шару
5ізМ.. Затвором слугує стандартна металева плівка на поверхні 5ізМ.. 3
Програмуванням називають процессе занесення заряду під затвор транзисто-
ра. Водночас на затвор п-канального МНОН-транзистора, в якому індукують
заряд, подають імпульс напруги амплітудою близько 20 В. У результаті
емітовані з підкладки 5і електрони тунелюють крізь тонкий шар 80», (а! - 5 нм)
у шар 5і5М,, де вони захоплюються електронними пастками. Таким чином в
нітриді кремнію виникає фіксований заряд, що виконує функцію носія
інформації. Вважають, що наявність заряду відображає 70", а його брак - 717.
Транзистор без індукованого заряду відкривається робочим сигналом. В п-
канальних транзисторах заряд екранує дію позитивної напруги на затворі та
підвищує порогову напругу настільки, що робочий сигнал не може відкрити
транзистор (лив.: рис. 4.66, б).
У наведеній схемі вихідний струм Ішх (717) виникає за таких умов:
У, з (заряд у підзатворному діелектрику М) відсутній). Перед черговим
записом стара інформація стирається записом нуля.
При зчитуванні на затвори транзистора подають напругу (/,. Якщо записана
717, то транзистор відкривається. За 70" - транзистор закритий.
Отже залежно від стану транзистора за подачі на його затвор напруги (Лу.
(по Х) у розрядній шині У; струм є або його немає (див. рис. 4.110, в).
Підсилювач зчитування трансформує стан розрядної шини у вихідну напругу
високого або низького рівнів.
За наявності заряду у підзатворному діелектрику ("0") для переходу у стан
«р
, заряд "витискують" з затвору негативним імпульсом напруги 30-40 В (щодо
підкладки). Таким чином реалізовується режим о стирання. Цей процес
починається з моменту подання імпульсу ER упродовж 100-200 ме. Після сти-
рання всі матриці переходять у стан "0".
У режимі запису на виводи МС подають значення розрядів записуваних да-
них, адресний код, керуючі сигнали та на завершення сигнал програмування
PR=0.¥ режимі зчитування на вивід (2; подають напругу живлення "- 12В",
потім - код адреси і сигналу керування. Через 0,4 мкс на інформаційних виходах
зчитувань виникає слово.
Режим зберігання визначається сигналом СУ, який забороняє звертання до
мікросхеми.
Суттєвою перевагою описаних МС є можливість їхнього перепрограмування
без від'єднання від пристрою, та значна кількість циклів перепрограмування
(~ 10°).
Розділ 4. Цифрова електроніка 249

ЗЕ на базі транзисторів ЛІЗМОН побудовані на основі МОН-транзистора з


плаваючим затвором. Особливістю такого транзистора є наявність металевого
затвору у товщі підзатворного діелектрика. Цей затвор називають "плаваючим".
Від поверхні базового кристала він відокремлений діслектриком товщиною
0,1 мкм (див.: рис. 4.67, а).

Рис. 4.67. ЗЕ на ЛІЗМОН-транзисторі:

а, б- структура транзистора з одним та дво-


ма затворами;
в, г - схеми ввімкнення з одним або двома
затворами

За наявності заряду на ПЗ індукується провідний канал і транзистор


відкритий - запис 717; без заряду закритий - запис 70".
Структурна схема ЛІЗМОН-транзистора з додатковим затвором вибірки зоб-
ражена на рисунку 4.67, б. У режимі програмування на витік і стік транзистора
подається додатний імпульс напруги амплітудою 25 В. З обернено-зміщених р-
п-переходів відбувається лавинна інжекція заряду. Частина електронів
потрапляє на ГІЗ. Унаслідок накладання на ПЗ негативного заряду порогова на-
пруга на стокозатворній ВАХ зміщується в область більш високого рівня (зсув
вправо), що відповідає запису 70". Браку надлишкових зарядів електронів на ПЗ
відповідає запис “1”.
Стирання записаної інформації полягає у витісненні заряду з ПЗ. Цю
операцію в транзисторах ЛІЗМОН виконують або імпульсом напруги (РИЗП-
ЕС), що подається на затвор, або за допомогою УФ-опромінення крізь прозоре
скло у корпусі МС (РІЗП-УФ). У першому випадку накопичені у ПЗ електрони
витісняються у підкладку електричним полем, після чого відновлюється стан
417, У другому випадку електрони розсмоктуються з ПЗ у підкладку.
250 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Режим зчитування відбувається так, як і у МС РІЗП на МНОН-


транзисторах. У режимі зберігання забезпечується відсутність напруги на елек-
тродах ЗЕ, щоб запобігти розсмоктуванню заряду на ПЗ у діелектричному.
середовищі. Схема ввімкнення в ЗЕ транзистора МТ) з одним та двома затворами
зображена на рисунку 4.67, в,2.
Зауважимо, що електричне стирання інформації має переваги: можна стира-
ти інформацію не зі всього кристала, а вибірково - для кожної адреси.
Тривалість процесу "стирання - запис" значно менша, послаблюються обмежен-
ня на кількість циклів перепрограмування (10" - 10"). Крім того, перепрограмо-
вувати ЗП можна, не витягуючи МС з пристрою, в якому вона працює. Водночас
схеми з електричним стиранням займають більше місця на кристалі; у зв'язку 3
чим рівень їхньої інтеграції менший, а вартість більша. Однак ці недоліки з роз-
витком мікроелектроніки швидко усуваються й електричне стирання витісняє
УФ-стирання.
Флеш-пам'ять. Флеш-пам'ять (Біа5б Метогу) відносять до постійної
пам'яті типу ЕЕРКОМ, однак низка архітектурних і структурних особливостей.
дає змогу виділити її в окремий клас.Базовими ЗЕ цього виду пам'яті є ЛІЗМОН-
транзистори. Розроблення флеш-пам'яті вважають кульмінацією десятирічного.
розвитку схемотехніки пам'яті з електричним стиранням інформації. Архі-
тектурною особливістю флеш-пам'яті є використання, поряд з традиційними
адресними їі керуючими сигналами, спеціальних команд, причому інформація у
мікросхемах флеш-пам'яті записується та зберігається у блоках визначеного
розміру. Стирається інформація або всієї пам'яті, або великих блоків.
Першопочатково флеш-пам'ять була орієнтована на заміну жорстких маг-
нітних дисків. Такі МС володіють на декілька порядків вищою швидкістю чи-
тання даних, меншими геометричними розмірами та масою.
Розрізняють такі типи флеш-пам'яті. Воої Віоск Метогу -- флеш-пам'ять з
несиметричною структурою, що володіє масивом ЗЕ, розділених на блоки
різного розміру. Це т. зв. Воої-блоки, в яких інформація надійно захищена апа-
ратними засобами від випадкового стирання. Вони зберігають програми
ініціалізації системи, що дають змогу ввести її в робочий стан після ввімкнення
живлення.
Flesh-Fily Memory — a1 заміни ЖМД. Володіє симетричною блочною
архітектурою.
Одним з елементів структури флеш-пам'яті є накопичувач (матриця ЗЕ). В
схемотехніці накопичувачів розвиваються два напрямки: на основі комірок типу
АБО-НЕ (МОК) та на основі комірок tuny I-HE (NAND). Накопичувачі на
основі комірок АБО-НЕ (паралельне ввімкнення ЛІЗМОН-транзисторів з
подвійним затвором) забезпечують швидкий доступ до слів за довільної вибірки.
Вони придатні для різних застосувань, але найбільшпоширені вони знайшли у
Розділ 4. Цифрова електроніка 251

пам'яті для збереження нечасто обновлюваних даних. Структура матриці нако-


пичувача зображена на рис. 4.68.
Розрядні лінії вибірки

їі

A
1

б
Z

i
Словникові лінії вибі

[tT
[fl

Розрядні лінії зчитування


Рис. 4.68. Структура матриці накопичувача флеш-пам'яті
на основі комірок АБО-НЕ

Кожний стовбець - це сукупність паралельно з'єднаних транзисторів.


Розрядні лінії вибірки знаходять під високим потенціалом. Всі транзистори не-
вибраних рядків закриті. У вибраному рядку відкриваються і передають високий
рівень напруги на розрядні лінії зчитування ті транзистори, у плаваючих затво-
рах яких немає заряду електронів, а отже , порогова напруга транзистора має
нормальне значення.
Структури з комірками І-НЕ більш компактні, але не забезпечують режиму
довільного доступу і, їх використовують лише в схемах заміни ЖМД.
У схемах на цих комірках сам накопичувач компактніший, але збільшується
кількість ЛЕ обслуговування накопичувача.
252 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКІ

Флеш-пам'ять з адресним доступом, орієнтована на збереження не дуже


то змінної інформації, може мати одночасне стирання всієї інфор:
(архітектура Ви!К Егазе) або блочне стирання (ВіосК Еіа5ї Метогу).
ВиїК Егазе - тип флеш-пам'яті з можлививістю стирання лише всього
ву. Є найбільш відомою серед розробників. Володіє часом запису байта блі
10 мкс, досягає 107 циклів стирання, напруга програмування становить 12
струм у режимі спокою 50 мкА. Час доступу за читання рівний ~ 100 Hc,
стирання і час програмування всього кристала становить 0,6-4 с для крис
ємністю 256 Кбіт - 2 Мбіт.
На відміну від традиційного управління схемами пам'яті за допомогою
ресних і управляючих сигналів, флеш-пам'ять має додаткове управління сло
ми-командами, що записуються процесором у спеціальний регістр. В
функціонує лише у разі високого рівня напруги на виході мікросхеми (0;
напруги програмування). Якщо такого рівня (рр немає, схема виконує ли
функцію пам'яті для читання під управлінням традиційних сигналів, що
ють операції читання, зниження потужності, управління третім станом та ві
ня ідентифікатора.
Воог ВіосК Кіа5п Метогу - флеш-пам'ять, для якої характерне блочне
рання даних і несиметрична блочна архітектура. Блоки є спеціалізовані та ма
різні розміри. Серед них "Вооі-блок" (ББ), вміст якого захищений від випа
вого стирання. У ББ зберігається програмне забезпечення базової системи
ду/виводу мікропроцесорної системи ВІОЗ. У складі блоків є також БП (блої
параметрів) і ГБ (головні блоки), не забезпечені апаратними засобами захисі
від непередбачуваного втручання.
За своїм функціонуванням ББ близькі до пам'яті Tuy Bluck Erase: в об
типах ІС операції стирання/програмування ведуться під управлі!
внутрішнього автомата, вхідною інформацією для якого служать кома
введені від процесора.
Зігага Кіа5ї - новий тип флеш-пам'яті, що зберігають в одному елемені
пам'яті два біти, а не один. Це забезпечується тим, що в плаваючому за
транзистора фіксується не лише наявність/відсутність заряду, але й визна
його величина, яка може мати декілька значень. Зазвичай, реалізують чо
рівні зарядового стану.
Історично, до винайдення 5ігаіа ЕазБ, збільшення ємності ЗП досягали змене
шуючи розміри схемних елементів та інших удосконалень технології!
процесів. Своєю появою 5Е ознаменувала інший підхід до цієї проблеми.
Запам'ятовуючі елементи програмуються введенням у плаваючий за:
однієї з чотирьох кількостей заряду, кожна з яких відповідає парі двійкових.
цифр 11, 10, 01, 00, Залежно від заряду, запам'ятовуючий транзистор має одну з
чотирьох порогових напруг. При зчитуванні інформації до затвора транзи:
Розділ 4. Цифрова електроніка 253

прикладають напругу зчитування. Струм запам'ятовуючого транзистора зале-


жить від порогової напруги. За величиною струму, визначають стан плаваючого
затвора.
На рисунку 4.69 зображені розподіли порогових напуг у чотирирівневому
ЗЕ (а) та схема читання стану запам'ятовуючого транзистора (б).

Рівень0 | | Рівень1 1 Рівень 2 | Рівень 3


ОТ (10) І (оту (00)

ГА LL
І
|
І
І а (Лор

D1
а DO

ра
ра
Набір еталонних ЗЕ

6
Виходи
Ї заряду ІЗ піденлюваців читан Вані
1 [2 [ 3
Рис. 4.69. Графіки розподілу порогових
оп 1 1 1 1 1
напруг у чотирирівневому ЗЕ (а) і схема 010 0 1 i 1 0
читання даних з цього елемента (б) O01 0 0 1 0 1
000 о о о 0 о

4.4.8. Динамічні ЗП підвищеної швидкодії


З огляду на невпинне зростання швидкодії мікропроцесорів виникає пробле-
ма збільшення швидкості ОЗП, що обмінюється інформацією з процесором.
Особливо гостро ця проблема стоїть перед розробниками динамічних ОЗП, які
завдяки максимальній інформаційній ємності та низькій вартості займають
провідне місце в складі основної пам'яті комп'ютера.
254 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Останнім часом запропонована низка варіантів динамічних ОЗП підвищеної


швидкодії. В основі методів, використаних у цих ОЗП, лежить концепція про
кучність адрес при звертанні до ОЗП. Це відповідає тенденції, за якою адреси
чергових звернень до ОЗП найімовірніше всього розміщені поряд з адресою поз
точного звернення.
Варіанти КРМ. Варіант ЕРМ (Базі Раве Моде - швидкий сторінковий режим
доступу) ефективний, якщо після звертання до деякого ЗЕ чергове звертання бу-
де до ЗЕ у тому ж рядку. Порівняємо таку ситуацію з більш загальною.
У разі читання за довільною адресою старша півадреса вибирає рядок.
Потім молодша пів адреса вибирає стовпчик в матриці ЗЕ. Разом з тим спочат-
ку необхідно перезарядити шину вибірки рядка, а потім шину вибірки стовбця.
що супроводжується відповідними затримуваннями.
При звертанні до рядка (сторінки), у всіх ЗЕ рядка відбуваються процеси.
що відповідають двом першим фазам повного циклу обміну (до строба КА5), і ці
елементи готові до виконання чергових фаз. За звертання до даних у межах
однієї сторінки адреса рядка залишається незмінною, змінюються лише адреси
стовбців, супроводжувані сигналом строба СЛ5. Поки не змінився номер
сторінки, в циклах обміну виключені деякі етапи, що скорочує тривалість
циклів.
Часові діаграми для режиму ЕРМ зображені на рисунку 4.70. Очевидно, що:
час доступу до даних за незмінності адреси рядка ВА та змінах лише адреси сто-
вбця скорочусться порівняно з часом доступу при повному циклі (часом доступу
при першому звертанні до ЗП). Характерну пропорційність часів першого і на-
ступного звернень до ЗП можна записати таким чином: 5 (3-3 -
ЗІ
о&

bo
1
= ca при ЕРМ.

(мвлу ї
<>
Рис. 4.70. Часові діаграми режиму ЕРМ динамічних ОЗП
Розділ 4. Цифрова електроніка 255

Режим ЕРМ початок етапу розвитку методів підвищення півидкодії


динамічних ЗП. За швидкодією | його можливості вже набагато перевищені
більш пізніми розробками. Однак, тим не менше, метод ЕРМ має свою область
застосування, тому ці ЗП до сих пір займають достатньо великий сектор .
Додаткові засоби для організації режиму ЕРМ прості: необхідно лише
перевіряти належність чергової адреси поточній сторінці (рядку), що дає змогу
виконувати цикл сторінкового режиму, інакше необхідне виконання звичайного
(повного) циклу. ОЗП типу ЕРМ забезпечують часи звертання до ЗП 30 ... 40 не,
даючи можливість працювати з процесорними шинами на тактовій частоті до
33 МГц.
Структури типу ЕРОВАМ. Структури цього типу (Ехіспіед Data Out
КАМ-ОЗИ з розширеним виведенням даних) близькі до структури ЕРМ, лише
відрізняються від них модифікацією процесу виведення даних.В ЕРОВАМ дані
в підсилювачах- регенераторах не скидаються після закінчення строба СА5.
Разом з тим на кристалі ніби виникає статичний регістр, що зберігає рядок. При
звертаннях у межах рядка (сторінки) використовують читання даних з регістра,
тобто швидкодіючої статичної пам'яті. Аналогічно використовується лише сиг-
нал САУ, але тривалість його може бути скорочена, порівняно з режимом ЕРМ.
Де збільшує швидкодію ЗП.
Структури ЕРОКАМ допускають роботу на частотах до 50 МГц. Такі ЗП
широко використовують, оскільки їхня заміна розробленими раніше ЕРМ,
потребує лише невеликих змін у схемі синхросигналу ЗП.
Структури типу ВЕРОВАМ. У структурі типу Вигя ЕРОВАМ (з пакетним
розширеним доступом) міститься додатково лічильник адрес стовбців. При
звертанні до групи слів (пакета) адреса стовбця формується звичайним способом
лише на початку пакетного циклу. Для наступних передач адреси утворюються
швидко за допомогою інкрементування лічильника.
Пам'ять ЕРОКАМ не набула широкого використання внаслідок сильного
конкурента - ЗОКАМ, в якої характерні часи звертання суттєво менші.
Структура (|МОКАМ. У структурах Мийбапк DRAM (МОВАМ,
багатобанкові ОЗП) пам'ять поділена на частини (банки). Звертання до банків
почергове, чим уникається процесу очікування перезарядження шин. Поки зчи-
туються дані з одного банку, інші мають "перерву" на підготовку. При
порушенні черговості і повторному звертанні до того ж банку виконується пов-
ний цикл звертання до пам'яті.
Оскільки процесор найчастіше зчитує дані за послідовними адресами, то
ефекти прискорення роботи ЗП досягаються вже при поділі пам'яті всього на
два блоки, а саме: один з непарними адресами, другий - з парними.
256 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Структури типу 5ДКАМ. Хоча перехід від базової структури DRAM 70


архітектур FPM i EDORAM підвищив швидкодію пам'яті, однак цього виявило-
ся недостатньо для сучасних комп'ютерів і графічних систем. Пам'ять типу
SDRAM (Synhronous DRAM) "відвоювала" важливу позицію в ролі
швидкодіючої пам'яті з високою пропускною здатністю.
У 5ОКАМ синхросигнали пам'яті тісно пов'язані з тактовою частотою сис-
теми. В них використана конвеєризація тракту просування інформації, а також
може бути застосована багатобанкова структура пам'яті та ін.
Застосування конвеєра збільшує потік інформації від входу до виходу за
одиницю часу, хоча одиниця інформації проходить від входу до виходу за
більший час, ніж у схемі без конвеєризаці
У МС 5ОКАМ зовнішні управляючі сигнали фіксуються додатними фронта-
ми тактових імпульсів.Їх використовують для генерування команд, які керують
процесами в ЗП. Команда АСТ (Асіїує) пов'язана з вибором рядка за
відповідною адресою. Команда КЕР (Всаа) визначає адресу першого стовбця
для читання даних. Команда РЕЕ (Ргесбагее) пов'язана з етапом перезарядження
шин.
Перше слово після формування адреси виникає з запізнюванням на декілька
тактів (Ассе85 Цагїепсу). Час доступу "звичайний" - як у стандартному
ЗП.Адреси наступних слів формуються внутрішнім лічильником, і слова вини-
кають у кожному такті (див.: рис. 4.71, а).

ро <> >

Підонлювач ЗБАЧТ
зни
CLEY CLE2 р. Saks
pe |S Матриня|| | | р
отовбия зв 4
ихідний
буфер

б
Рис. 4.71. Часові діаграми (а), триступінчастий конвеєр (б) для синхронних динамічних ОЗП.
Розділ 4. Цифрова електроніка 257

Щоб прискорити темп появи слів у пакеті, організується триступінчастий


конвеєр(див. рис. 4.71, б). Роботу конвеєра можна визначити як паралельне
функціонування послідовно активізованих блоків.
У МС 50ОБКАМ передбачають можливість регулювання запізнювання першо-
то доступу з метою пристосування пам'яті до частотних вимог системи 1 довжи-

ни пакста, в якому слова читаються / записуються у кожному такті після лише


однієї команди.
До позитивних аспектів 50КАМ відносять відсутність великих проблем по
узгодженню взаємного положення в часі вхідних сигналів, що інколи є пробле-
матичним.
Структури типу ВОВАМ. Структури Катбиз ЮКАМ становлять собою
байт-послідовну пам'ять з дуже високим темпом передавання байтів.
Особливістю архітектури цього типу ОЗП є синхронізація обома фронтами
тактових імпульсів і спеціальний новий інтерфейс Ватбиз СПапсі.
Інтерфейс Катбиз СВапеі має всього ІЗ сигнальних ліній, що значно менше,
ніж у традиційних схемах пам'яті. Немає спеціалізованих адресних ліній.
Замість звичайної адресації по інтерфейсу посилають пакети, що включають у
себе команди адреси. Спочатку посилають пакет запитів, на який пам'ять
відповідає пакетом підтвердження. Після цього йде пакет даних. Через такий
процес перший доступ до даних дуже запізнюється, тому при читанні окремих
слів ВОКАМ зовсім неефективна. Середня частота передавання байтів залежить
від довжини пакета даних.
ВОКАМ найбільш ефективна в графічних та мультимедійних додатках з ти-
повим для них процесом -- швидкою видачею довгої послідовності слів для фор-
мування зображення на екрані або подібних задач.
Структура ОКОВАМ. Структура Рігесі ВОВАМ найближча до КОКАМ. У
цьому різновиді архітектури ЕКІОЕБАМ вирішена проблема великого часу
запізнювання при першому доступі до даних.
Сьогодні в області швидкодіючих DRAM домінують синхронні 5ОВАМ.
Однак структура ОКОКАМ, маючи час першого доступу такий, як і SDRAM, не
деградує по швидкості за довільних звертань більше, ніж звичайні синхронні
ОБКАМ. Продовжує в них зростати і пропускна здатність. Зокрема, в типових
схемах при роботі на тактовій частоті 400 МГц і схемотехніці РОК, що
передбачає тактування процесів обома фронтами імпульсів, такі DRDRAM за-
безпечують пропускну здатність всередині пакета 1,6 Гбайт/с.
Структура СОКАМ. У цьому типі ОЗП - Сбаспед ОВАМ (керована ОКАМ)
на одному кристалі з ОКАМ розміщена статична кеш-пам'ять (кеш 1-го рівня).
За цих умов кеш забезпечує швидкий обмін з процесором, якщо інформація зна-
ходиться в кеші, а також швидке оновлення свого вмістимого. Можливість така
пов'язана з тим, що розміщення кеша на одному кристалі з DRAM робить
258 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

зв'язки між ними внутрішніми. В цьому випадку розрядність шин може бути
великою й обмін проводиться великими блоками даних.
Як синонім позначення СОКАМ іноді використовують позначення ЕОВАМ
(Enchanced DRAM).

Контрольні запитання до розділу 4

Опишіть спосіб оцінення завадостійкості ключа.


Coun: Se

Нарисуйте типові вхідну, вихідну та передавальну характеристики ЛЕ.


Охарактеризуйте типи динамічних параметрів ЛЕ та методи їхнього оцінення.
Нарисуйте принципову схему ТЛБЗ та опишіть її роботу.
Нарисуйте принципову схему ДТЛ та опишіть її роботу.
SIG ON

Нарисуйте принципову схему ТТЛ та опишіть її роботу.


Нарисуйте принципову схему ЕЗЛ та опишіть її роботу.
Нарисуйте принципову схему ІЛ та опишіть її роботу.
$0

Сформулюйте означення дешифратора, опишіть його характеристики та на-


рисуйте його умовні графічні позначення.
10. Сформулюйте означення мультиплексора та демультиплексора, опишіть йо-
го характеристики та приведіть їх умовні графічні позначення.
11. Нарисуйте узагальнену схему тригера та опишіть його сигнали.
12. Нарисуйте схему асинхронного ЗВ-тригера, його умовне позначення та по-
ясніть принцип його роботи.
13. Нарисуйте схему синхронного 5В-тригера, його умовне позначення та пояс-
ніть принцип його роботи.
14. Нарисуйте схему )К-тригера, його умовне позначення та поясніть принцип
його роботи.
15. Нарисуйте схему О-тригера, його умовне позначення та поясніть принцип
роботи.
16. Нарисуйте схему лічильного Д-тригера, його умовне позначення та поясніть
принцип його роботи.
17. Нарисуйте узагальнену схему лічильника імпульсів та поясніть його роботу.
18. Нарисуйте функціональну схему регістра на О-тригерах та поясніть його роботу.
19. Означення суматора, опишіть його функціональну схему та принцип роботи.
20. Наведіть приклади програмованих логік.
21. Сформулюйте ієрархічний принцип побудови ЗП.
22. Нарисуйте схему статичного біполярного ОЗП та поясніть його роботу.
23. Вкажіть основні параметри ЗП.
24. Наведіть схему ЗЕ постійного запам'ятовуючого пристрою.
25. Опишіть способи організації накопичувачів ЗП,
26. Опишіть особливості структури ЗП типів РБОМ, ЕРБОМ та ЕЕРБОМ.
27. Схарактеризуйте особливості флеш -пам'яті.
28. Опишіть найбільш використовувані ЗП підвищеної швидкодії.
Розділ 5. АНАЛОГОВО-ЦИФРОВІ
ТА ЦИФРО-АНАЛОГОВІ
ПЕРЕТВОРЮВАЧІ

5,1. Аналогово-цифрові перетворювачі

Аналогово-цифрові перетворювачі (АЦП) -- це пристрої, призначені для пе-


ретворення електричних величин (напруги, струму, потужності, опору,
ності та ін.) у цифровий код. Найчастіше вхідною величиною є напруга. Всі
інші величини перед подачею на АЦП потрібно перетворювати в напругу.
Загалом напругу характеризують за миттєвим значенням (7). Однак для
оцінення напруги можна також користуватися її середнім за вибраний проміжок
часу Т значенням:

У зв'язку з цим всі типи АЦП розділяють на дві групи: АЦІ миттєвих зна-
чень напруги й АЦП середніх значень напруги. Оскільки операція усереднення
припускає інтегрування миттєвого значення напруги, то АЦП середніх значень
називають інтегруючими.
При перетворенні напруги в цифровий код використовують три незалежні
операції: дискретизацію, квантування та кодування. Процедура аналого-циф-
рового перетворення неперервного сигналу- це перетворення неперервної
функції напруги ц(/) у послідовність чисел u(t,), He n=0, 1, 2..., віднесених до
деяких фіксованих моментів часу. При дискретизації неперервна функція щ(/)
перетворюється в послідовність її відліків и(/,).
Друга операція, названа квантуванням, полягає в тому, що миттєві значення

функції и(/) обмежені лише певними рівнями, названими рівнями квантування.


В результаті квантування неперервна функція м(/) набуває вигляду ступінчастої
кривої ик(1).
Третя операція, названа кодуванням, репрезентує дискретні квантовані всли-
чини у вигляді цифрового коду, тобто послідовності цифр, що підкоряються
певному закону. За допомогою операції кодування умовно описують кількісне
значення величини.

В основі дискретизації сигналів лежить принципова можливість репре-


зентації їх у вигляді зважених сум:
260 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ч)«У а, () ,

де а, - деякі коефіцієнти або відліки, що характеризують вихідний сигнал у


дискретні моменти часу / (І) - набір елементарних функцій, використовуваних
при відновленні сигналу за його відліками.
Дискретизація буває рівномірна і нерівномірна. При рівномірній дискре-
тизації період відліків Т залишається постійним, а при нерівномірній - період
може змінюватися. Нерівномірна дискретизація найчастіше зумовлена швид-
кістю зміни сигналу, і, тому її називають адаптивною.
Рівномірна дискретизація грунтується на теоремі відліків, згідно з якою в
ролі коефіцієнтів а, потрібно використовувати миттєві значення сигналу м(/,) У
дискретні моменти часу 7,-Т,, а період дискретизації вибирати з умови
тег ум з де /, - максимальна частота в спектрі вихідного сигналу.
У разі дискретизації сигналу виникає похибка, зумовлена скінченим часом
одного перетворення, та невизначеність моменту часу його закінчення. В
результаті замість рівномірної дискретизації отримують дискретизацію зі
змінним періодом. Похибка ця названа апертурною.
На відміну від дискретизації, яка теоретично є зворотною операцією, кван-
тування -незворотне перетворення вихідної послідовності, що супроводжується
виникненням неминучих похибок. Характеристика ідеального квантування зоб-
ражена на рисунку 5.1, а. При рівномірному квантуванні відстань між двома
сусідніми значеннями задають постійною (див. рис.5.1,6). Різниця між
сусідніми значеннями квантованої величини названа кроком квантування Й.
Пак)
Neux) її
' НІ 152

101 Шум
квантування
ite h ср Арт
001 aT
000 politi 1 1 i A
0 fh 2h 3h 4h Sh 6h Var. 2

Рис.5.1. Характеристика ідеального квантування (а) і графік зміни похибки квантування (б)

По суті, квантування є операцією округлення неперервної величини до


найближчого цілого значення. В результаті максимальна похибка квантування
рівна 20,5 п. Однак при перетворенні довільного сигналу максимальна похибка
Розділ 5. Аналогово-цифрові та цифро-аналогові перетворювачі 261

трапляється досить рідко,тому зазвичай для оцінення якості АЦП використову-


ють не максимальну, а середньоквадратичну похибку т, - Н/АЛ2 , яка приблиз-
но в 3,5 раза менша від максимальної.
Вихідною величиною АЦП є цифровий код, тобто послідовність цифр за до-
помогою яких описують дискретні квантовані величини.В АЦП використовують
чотири основні типи кодів: натуральний двійковий, десятковий, двійково-
десятковий і код Грея.
Більшість АЦП працює з виходом у натуральному двійковому коді, при яко-
му кожному додатному числу М поставлений у відповідність код

{b,| =bb...b,,
де фу рівні нулю або одиниці, а додатне число в двійковому коді має вигляд:

меУ ва ваза нь.


a
Код цей прийнято називати прямим: його крайній правий розряд молодший,
а крайній лівий - старший, Прямий кол придатний лише для роботи з однопо-
лярними сигналами. Повний діапазон перетворюваного сигналу рівний 727,
Ха "2-1:
Двійкові числа в АЦП зазвичай нормалізовані, тобто їхнє абсолютне зна-
чення не перевищує одиниці Вони становлять собою відношення вхідного сиг

налу до повного діапазону:

аа.
С N
ба
Якщо АЦП працює з двополярними числами, то часто використовують до-
датковий код, який утворюється відніманням перетворюваного числа С з
постійної величини 2". Тобто знаходять доповнення до двох до числа С.
Діапазон представлення чисел в двійковому коді має значення від 2" до 1-2".
Використовуючи в АЦП двійково-десяткові коди кожна значуща десяткова
цифра репрезентована чотирма двійковими знаками і містить десять значень
сигналу від 0 до 9.
Основні характеристики АЦІ. Всі АЦП оцінюють за їхніми основними
метрологічними показниками, які поділяють на дві групи: статичні і динамічні.
До статичних характеристик АЦП відносять: абсолютні значення i
полярність вхідних сигналів, вхідний опір, значення напруг і струмів джерел
262 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

живлення, кількість двійкових або десяткових розрядів вихідного коду, похибки


перетворення постійної напруги та ін. До динамічних параметрів АЦП - час пе-
ретворення, максимальну частоту дискретизації, апертурний час, динамічну по-
хибку та ін.
Головною характеристикою АЦП є його роздільна здатність, яку прийнято
визначати за величиною, оберненою до максимальної кількості кодових

комбінацій на виході АЦП. Роздільну здатність можна виражати у відсотках, у


кількості розрядів або у відносних одиницях. Наприклад, десяти-розрядний
АЦП має роздільну здатність (1024) 2107-0190, Якщо напруга шкали для тако-
го АЦП рівна 10 В, то абсолютне значення роздільної здатності становитиме
майже 10 мВ.
Диференціальну нелінійність (ТОМІ) визначають через ідентичність двох
сусідніх приростів сигналу, тобто як різницю напруг двох сусідніх квантів:
РМІ, «й, - А, Визначення диференціальної нелінійності подане на рисунку
52,а.
KoLN
Ueux.
(Neux.)

i+1

0 DNE=h+hin Une
a 6
Рис. 5.2. Визначення диференціальної нелінійності (а) та інтегральної нелінійності (б)

Інтегральна нелінійніст ь АЦП (ІМІ,) характеризує ідентичність приростів у


всьому діапазоні вхідного сигналу. Її визначають за методикою, зображеною на
рисунку 5.2.6, за максимальним відхиленням згладженої характеристики пере-
творення від ідеальної прямої ліній, тобто ІМІ, з и, й
Час перетворення Т,, визначають як інтервал часу від початку перетворення
до появи на виході АЦІЇ стійкого коду вхідного сигналу.
Максимальна частота дискретизації -частота, з якої можливе перетворення
вхідного сигналу за умови, що вибраний параметр не порушує задані межі.
Розділ 5. Аналогово-цифрові та цифро-аналогові перетворювачі 263

Принцип побудови АЦІ. Всі типи використовуваних АЦІЇ можна розділити


за ознакою вимірюваного значення напруги на дві групи: АЦІЇ миттєвих значень
і АЦП середніх значень напруг (інтегруючі АЦП).
АЦІ миттєвих значень поділяють на: послідовної лічби, послідовного на-
ближення, паралельні, паралельно-послідовні та з проміжним перетворенням в
інтервал часу.
Наприклад, розглянемо структурну схему АЦП послідовної лічби (див.:

вхід
Компаратор
Us. Пуск

0 0» 0 Гакратор
цап реє Лічильник | "тактових
імпульсів "Ключ 5 | імпульсів
То. Вихідкод
Джерело.
eee
опорної
напрумі

а 6

Рис. 5.3. Структурна схема АЦП послідовної лічби (а) і графіки процесу перетворення (6)

Схема складається з компаратора, за допомогою якого порівнюють вхідну


напругу з напругою оберненого зв'язку. На прямий вхід компаратора надходить
вхідний сигнал (ух, а на інвертуючий - напруга 0/5 оберненого зв'язку. Робота
перетворювача починається з надходженням імпульсу "ПУСК" від схеми керу-
вання, який замикає ключ 5. Через замкнутий ключ 5 імпульси (/ від генератора
тактових імпульсів потрапляють на лічильник, який керує роботою цифрово-
аналогового перетворювача. У результаті послідовного збільшення вихідного
коду лічильника М проходить послідовне ступінчасте збільшення вихідної на-
пруги 05 ЦАП. Живиться ЦАП від джерела опорної напруги (/.
Коли вихідна напруга ЦАП зрівняється з вхідною напругою, компаратор пе-
ремкнеться. і, за його вихідним сигналом "СТОП" розімкнеться ключ 5.У
результаті імпульси від генератора перестануть поступати на вхід лічильника.
Вихідний код, що відповідає рівності (705, знімається з вихідного регістра
лічильника.
264 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Графіки, що ілюструють процес перетворення напруги у цифровий код,


зображені на рисунку 5.3, б. Очевидно, що час перетворення змінний і залежить
від рівня вхідного сигналу. При кількості / двійкових розрядів лічильника,
рівному п та періоді слідування лічильних імпульсів Т, максимальний час пере-
творення можна визначити за формулою:

Та -(27-1)То

Наприклад, при п - 10 розрядів і Т -І мке (тактова частота І МГц) макси-


мальний час перетворення рівний:

Ту З (2-1)
- 1024мко є Їмко,

що забезпечує максимальну частоту перетворення близько 1 кГц.


Рівняння перетворення АЦП послідовної лічби можна записати у вигляді:

ку зу,
де0 «К « п- число ступенів до моменту порівняння, Д(/-Й -- значення одно-
го ступеня, тобто крок квантування.
АЦП середніх значень напруги (інтегруючий АЦП) можна поділити на такі
основні види: з часово-імпульсним перетворенням, з частотно-імпульсним пере-
творенням та зі статичним усередненням. Найбільш поширені перші дві групи
АЦП.
До переваг інтегруючих АЦІЇ відносять їхню високу завадостійкість. Якщо
на вхідний сигнал накладена гармонічна завада, то при рівності періоду завади

часу заряду інтегратора То-Т, середній час завади до кінця інтервалу


інтегрування буде рівний нулю. Випадкові завади та шуми також послаблюють-
ся інтегруванням.
До недоліків інтегруючих АЦП відносять насамперед невелику швидкодію.
Інтегральні мікросхеми АЦП. Останнім | часом на ринок електронної
продукції поставлені серійні інтегральні мікросхеми АЦІЇ, основані на різних
принципах і призначені для роботи в пристроях спряження сенсорів аналогових
сигналів з ЕОМ і мікропроцесорами, в різних вимірювальних пристроях, в
медичній апаратурі, цифрових термометрах та ін.
Найбільшими виробниками АЦІЇ є компанії Апаїов Ремісез (США), Місго-
ромег (США), РЕйір5, Махіт, 5опу та ін.
Велика різноманітність ІМС цього типу, вироблювана в світі, не дає змогу
повністю їх класифікувати, а також порівняння. Однак деякі тенденції можна
виділити.
Розділ 5. Аналогово-цифрові та цифро-аналогові перетворювачі 265

Насамперед різко збільшилася роздільна здатність АЦП. Низка фірм


випускає АЦП з розділенням до 24 двійкових розрядів, хоча, найбільш пошире-
ними є АЦП з розрядністю 8, 10, 12 і 16 розрядів.
Крім того, значно зросла швидкодія серійних АЦП. Зокрема, з максималь-
ною частотою перетворення 20...50 МГц. Такі АЦП використовують при
перетворенні відеосигналів у цифрову форму в цифровій відеотехніці та ін. при-
строях. Одночасно відбувається зниження споживаної потужності.
Для прикладу, в таблицях 5.1 та 5.2 зображені основні характеристики дея-
ких типів АЦП миттєвих значень та інтегруючих АЦП.
Таблиця 5.1
Основні характеристики АЦП миттєвих значень
я г Кількість | Інтегр. | Дифер. Tw | Fae
і прое Принцип дії двійкових | нелін. | нелін. | | git
розрядів | МЗР МЗР
AD7574 Послідовного наближення, спря- 8 +0,75 +0,75 7,5 15
(572 ПІВЗ) | | жений з мікропроцесором.
AD 677 Послідовного наближення з 16 21,00 20,50 10 0,1
перерозподілом зарядів.
AD876 Багатоступінчастий, конвеєрний. 10 з030 | 40,50 | 107 | 20
AD7710 З сигма-дельта модулятором та 20 00045 0,156
зрівноваженням зарядів.
117011B3 Паралельної дії, швидкодіючий 6 20,25 10,25 210: 100

Таблиця 5.2
Основні характеристики інтегруючих АЦП
Кількість
Тип мікросхеми Особливості функціонування десяткових | Похибка пе-
ретворення
розрядів
ICL 7135 (572 ПВб) Двотактне інтегрування з коректуван- 45 22
ням нуля інтегратора
ICL 7117(57211B 7/8) | Двотактие інтегрування з режимом збе- 35 41
реження даних
зт2 воло Двотактне інтегрування з режимом збе- 35 +1
реження даних та індикацією розряду
батареї

5.2. Цифро-аналогові перетворювачі

Цифро-аналоговим | перетворювачем | (ЦАП) називають етектронний


пристрій, призначений для перетворення цифрової інформації в аналогову. Такі
пристрої використовують для формування сигналу у вигляді напруги/струму,
функціонально пов'язаного з керуючим кодом. Найбільш часто ЦАП використо-
вують для спряження пристроїв цифрового оброблення сигналів із системами,
266 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

що працюють з аналоговими сигналами. Крім того, ЦАП використовують у ролі


вузлів оберненого зв'язку в аналогово-цифрових перетворювачах і в пристроях
порівняння цифрових величин з аналоговими.
Схеми ЦАП можна класифікувати за різними ознаками: принципом дії, ви-
дом вихідного сигналу, полярністю вихідного сигналу, елементною базою та ін.
За принципом дії найбільш поширені ЦАП таких видів: зі складанням струмів,
з діленням напруг і зі складанням напруг. У мікроелектронному виконанні за-
стосовують лише перші два типи.

За видом вихідного сигналу ЦАП поділяють на два види: зі струмовим вихо-


дом і виходом за напругою. Для перетворення вихідного струму в ЦАП у напру-
ту використовують ОП.
Керуючий код, що подається на вхід ЦАП, може бути різним: двійковим,
двійково-десятковим, Грея, унітарним. Крім того, різними можуть бути і рівні
логічних сигналів на вході ЦАП.
Основні параметри АЦП. Усі параметри можна розділити на дві групи:
статичні і динамічні. До статичних параметрів ЦАП відносять: роздільну
здатність, похибку перетворення, діапазон значень вихідного сигналу, характе-
ристики керуючого коду, зміщення нульового рівня та деякі інші.
До динамічних показників ЦАП відносять: час встановлення вихідного сиг-
налу, граничну частоту перетворення, динамічну похибку.
Роздільна здатність ЦАП визначається як величина, обернена максимальній
кількості градацій вихідного сигналу. Іноді роздільну здатність ЦАП оцінюють
за вихідною напругою при зміні вихідного коду на одиницю молодшого розря-
ду, тобто кроком квантування.
Похибку перетворення ЦАП прийнято поділяти на диференціальну і похиб-
ку нелінійності. З ростом коду на вході ЦАП зростає і вихідна напруга, однак
при збільшенні напруги можуть бути відхилення від лінійної залежності.
Похибкою нелінійності називають максимальне відхилення від лінійності для
двох суміжних значень вхідного коду.
Напругу зміщення нуля визначають за вихідною напругою при вхідному
коді, що відповідає нульовому значенню.
Час встановлення Ізст - це інтервал часу від подачі вхідного коду до вход-
ження вихідного сигналу в задані межі, визначені похибкою.
Максимальна частота перетворення найбільша частота дискретизації,
при якій всі параметри ЦАП відповідають заданим значенням.
За сукупністю параметрів ЦАП прийнято поділяти на три групи: загального
застосування, прецизійні та швидкодіючі.
Швидкодіючі ЦАП мають час встановлення менше 100 не.
До прецизійних відносять ЦАП, що має похибку нелінійності 0, 190.
діл 5. Аналогово-цифрові та цифро-аналогові перетворювачі 267

| Принципи побудови ЦАП. Є декілька базових схем для побулови багатьох


идностей ЦАП певного класу. Для формування рівнів вихідної напруги
струму) до виходу ЦАП під'єднують необхідну кількість опорних сигналів
Е»...Е, (або струмів 1, Б...І,), або встановлюють відповідне дискретне зна-
коефіцієнта ділення Ки, о...Ки.
На рисунку 5.4 подана схема ЦАП зі сумуванням струмів. У цій схемі вико-
рують п опорних джерел струму ПЛ, 5...Ї,. Вхідний код Бі, Р»...Р, керує
51, 52.» які або під'єднують джерела струму до навантаження, або
їх накоротко. Разом з тим, якщо Буг , то відповідне джерело закоро-
ів роботі схеми не бере участі. Якщо ж Б;-І, то відповідне джерело струму
"єднане до навантаження. Результуючий струм рівний сумі струмів опорних
- для яких фу-1. Напруга на виході буде рівна результуючому струму /»,
геному на опір Ку, тобто

Па Ви:
Для прикладу, якщо вхідний код є двійковим, то результуючий струм визна-
виразом:

1,(B.271 +b,27? +..4b,2)=IN,

2 - число двійкових розрядів вхідного струму, М - п-розрядне пифрове слово.

Вхідний код

Рис. 5.4. Спрощена схема ЦАП зі сумуванням струмів

"Серійні мікросхеми ЦАП. Сьогодні | освоєний випуск багатьох видів


ем ЦАП. Характеристики деяких з них подані в таблиці 5.3.
268 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Таблиця 5.3

р:
Характеристики інтегральних ри
мікросхем ЦАП

кількість | Час встано | Дторально


Тип ІМС | розрядів | | лення, мкс б 3 Особливість виконання
КР572 12 15 0,02 Перемножуючий з матринею А-2А і
ПА2 вихідним регістром.
K594 AL 12 35 0,02 Зі сумуванням струмів на
комбінованій резистивній матриці і
біполярних транзисторах.
K1108 10 04 0,02 Зі сумуванням струмів на
TAL комбінованій матриці і біполярних
транзисторних ключах.
KIS 8 20107 0,19 Зі сумуванням струмів на зважених
ПАТ резисторах і ЕЗЛ структурах.
КИВПА4 10 30:10° 0,10 Швидкодіючий на ЕЗЛ структурах

Контрольні запитання до розділу 5

1. Сформулюйте означення АЦП. Як відбувається перетворення аналогового


у цифровий сигнал ?
2. Які незалежні операції використовують при перетворенні напруги у циф-
ровий код?
Поясніть суть процесів дискретизації та квантування функції.
Поясніть суть рівномірної та нерівномірної дискретизації.
BES

Опишіть основні характеристики АЦП.


Сформулюйте означення ЦАП. Як відбувається перетворення | цифрового
сигналу в аналоговий ?
7. Назвіть та охарактеризуйте основні параметри ЦАП.
МІКРОПРОЦЕСОРИ

6.1. Означення, функції та головні параметри

Mixponpoyecop (МП), або - Сепігаї Ргосезвіпе, Цпії (СРУ) - функціонально


інчений програмно керований пристрій, призначений для | оброблення
мації, виконаний на базі однієї або декількох ВІС / НВІС/ УВІС. Він є
їєю з найбільш важливих компонент комп'ютера, що формує його основні
еристики та функціональні можливості.
МП виникли, коли рівень інтеграції ІС досягнув значень, за яких необхідні
; програмної реалізації алгоритмів блоки вдалося розмістити на одному або
кох кристалах. МП виконує такі функції: обчислення адрес і операндів;
ipky i дешифрацію команд з основної пам'яті (ОП); вибірку даних з ОП,
їстрів МИП і регістрів адаптерів зовнішніх пристроїв; приймання й оброб-
запитів і команд від адаптерів на обслуговування обчислювального при-
строю; оброблення | даних та їхній запис в ОП, регістри МПП і регістри
заптерів обчислювального пристрою; вироблення управляючих сигналів для
‘Scix інших вузлів і блоків ПК; перехід до чергової команди.
Основні параметри. Розрядність шини даних МП визначає кількість
розрядів, над якими одночасно можуть бути виконані операції; розрядність
шини адреси МП визначає його адресний простір. Адресний простір - це мак-
симальна кількість комірок основної пам'яті, яка може бути безпосередньо алре-
сована мікропроцесором.
| Робоча тактова частота MII головно визначає його внутрішню
еивидкодію, оскільки кожна команда виконується за певну кількість тактів.
Швидкодія (продуктивність) ПК залежить також і від тактової частоти шини
системної плати, з якою працює МП.
Кеш-пам'ять встановлюється на платі МП і має два рівні: /,; - пам'ять пер-
шого рівня, що знаходиться всередині основної МС(ядра) МП, і яка працює зав-
жди на повній частоті МП; 15 - пам'ять другого рівня, розміщена на платі МП і
пов'язана з ядром внутрішньою мікропроцесорною шиною. Вона може працю-
зати на повній або половинній частоті МП. Її ефективність залежить від
пропускної здатності мікропроцесорної шини.
Склад інструкцій -- перелік, вид і тип команд, що автоматично виконуються
МП. Від типу команд залежить класифікаційна група МП (СІЗС, ВІ5С, МІЛМУ та
тн). Перелік і вид команд визначають безпосередньо ті процедури, які можуть
бути виконані над даним в МП, і ті категорії даних, над якими можуть бути
270 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

виконані ці процедури. Суттєва зміна складу інструкцій відбулася у МП і3Х56


(цей склад прийнятий за базовий), Репійша ММХ, Репіїшт ШІ, Репіїит 4.
Конструктив передбачає ті фізичні роз'ємні з'єднання, в які вста-
новлюється МП та які визначають придатність материнської плати для встанов-
лення МП, Різні роз'єми мають різну конструкцію (510ї - щілинний роз'єм,
ЗосКеї - роз'єм-гніздо), а також відрізняються кількістю контактів, на які пода-
ються сигнали та робочі напруги.
Робоча напруга також є фактором придатності материнської плати для вста-
новлення МП.
Всі сучасні МП прийнято поділяти на групи: СІ5С (Согаріех Іп5ігисіоп 5еї
Command) - з повним набором системи команд; ВІ5С (Reduced Instruction Set
Command) - зі зменшеним набором системи команд; УТЛУУ (Мету Т.епрії Іпзігис-
Чоп М/ога) - з надвеликим командним словом; МІ5С (Міпітит Instruction Set
Command) - з мінімальним набором системи команд і дуже великою швид-
кодією.
Мікропроцесори типу СІ5С продукують багато фірм: Intel, AMD, Cyrix,
IBM та ін. Лідерством тут володіє фірма Тліеї, але великі успіхи тут має й АМЮ),
яка створила низку МП, що за деякими параметрами перевищують "інтелівські".
Однак на ринку МП фірми іс! користуються більшим попитом. Деякі зразки
таких МП: 4004 (розрядність 4, тактова частота 0,108 МГи, кількість елементів
2300, технологія 10 мкм, 1971 р.в. 80386 (32, 25-100 МГц, 275 000,1 мкм,
1985 р.в.); Репійшт (64, 60-233МГи, склад команд базовий, 3,3 100, 0,5, 0,35 мкм,
1993 р.в.); Репіішт ММХ(64, 166-233 МГи, склад команд базовий 157, 5 109
0,35 мкм, кеш 1; і 2» -16-16 Кбайт, 1997 р.в.); Сеіегоп (64, 300-800 Мгц, склад
команд ММХ 2, 19 10°, 0,22. мкм, кеш L; i Ly -16+16, 1999 p.s.); Pentium 4
(64,3200 Мгц, 178 108, кеш 2048 Е, 2004 р.в.).
Для таких МП характерним є: МП 804861ХХ і вище мають вбудований мате-
матичний співпроцесор, можуть працювати з помноженням внутрішньої час-
лоти. Зі збільшеною частотою працюють тільки внутрішні схеми МП. Всі
зовнішні, в т.ч. на системній платі, працюють зі звичайною частотою. У МП
80286 і вище - конвеєрне виконання команд, що збільшує ефективну швидкодію
ПК у 2-5 разів. Є можливість працювати у вичислювальній мережі, а також
функціонувати у багатозадачному режимі зі захистом пам'яті.
Сучасні МП мають два режими роботи: реальний (однозадачний), в якому
можливе виконання лише однієї програми, безпосередньо адресуватися можуть
лише 1024464 Кбайт основної пам'яті, а решта - при під'єднаннінні лише
спеціальних драйверів; захищений (багатозадачний), що забезпечує виконання
одразу декількох програм, безпосередню адресацію і безпосередній доступ до
розширеної основної пам'яті. У МП 80386 і вище вбудована підтримка системи
віртуальних машин, при якій кожна задача може виконуватися під управлінням
Розділ 6. Мікропроцесори 271

своєї операційної системи, тобто в одному МП моделюється ніби декілька


комп'ютерів, що працюють паралельно та мають різні операційні системи. В
МП 80486 і вище є підтримка кеш-пам'яті двох рівнів (1. і 12).
Мікропроцесори Решіит. МІП 80586, відомі за товарною маркою Pentium.
Вони мають п'ятиступінчасту конвеєрну структуру, що забезпечує багатократне
суміщення тактів виконання послідовних команд і кеш-буфер для команл умов-
ного передавання управління. За ефективною швидкодією вони наближаються
до ВІЗС МП. Шини: 32-розрядна адресна і 64-розрядна шина даних. У всіх МП
Репіїшт є вбудована кеш-пам'ять, окремо для команд, окремо для даних і вбудо-
ваний контролер кеш-пам'яті | другого рівня, що забезпечує її роботу на
внутрішній частоті МП.
Мікропроцесори Pentium Pro. B 1995 poui Gyn випущені МП шостого
покоління 80686 (Рб). Типовий зразок таких МП складається з двох кристалі!
власне МП і кеш-пам'яті, для нього необхідна спеціальна системна плата. Нові
схемотехнічні рішення забезпечують для ПК більш високу продуктивність. Час-
тина цих нововпроваджень може бути об'єднана поняттям динамічне виконання,
що означає наявність багатоступінчастої суперконвеєрної структури та пере-
дбачення розгалужень програми.
Кеш-пам'ять ємністю 256-512Кбайт-обов'язковий атрибут високопродук-
тивних систем на базі процесорів Репіїит. Однак у них вбудована кеш пам'ять
має невелику ємність (16 Кбайт), а основна її частина знаходиться зовні проце-
сора, на материнській платі.Тому обмін даними з нею проходить не на
внутрішній частоті процесора, а на частоті тактового генератора, яка зазвичай у
два-п'ять раз нижча, що знижує загальну швидкодію комп'ютера. В МП Репиїшт
Рго наявними є кеш-пам'ять першого рівня (по 8 Кбайт для команд і даних), а
також кристал кеш-пам'яті другого | рівня ємністю 256 або 512 Кбайт,
розміщений також на платі самого МІП і працюючий на його внутрішній частоті.
Мікропроцесори Pentium MMX i Pentium II. У1997 році появилися
модернізовані для роботи в мультимедійній технології МП Репіїшт і Репіїшт
Рго, які отримали торгівельні марки МП Репійит ММХ i Pentium II, MIT Pentium
ММХ містить додатково 57 команд, орієнтованих на оброблення аудіо- і
відеоінформації. збільшену вдвічі (до 32 Кбайт) кеш-пам'ять, додатково вісім
64-бітових регістрів та ін. Наслідком стало збільшення кількості транзисторів на
один мільйон. При виконанні звичайних додатків Pentium MMX xa 10-15%
швидший Репіїцт, а при роботі мультимедійних додатків з використанням но-
вих 57 команд він стає ефективнішим вже на 3090. Для МП Pentium MMX
необхідна системна плата з роз'ємом ЗосКеї 7, з новим ВІО5, що підтримує
ММХ та з двома напругами живлення (3,5 і 2,8 В).
МП Репіїцт П має іншу конструкцію, ніж решта МП, зокрема він виконаний
у вигляді невеликої плати-картриджа, на які розміщений сам МП (7,5 млн
212 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

транзисторів) і чотири мікросхеми кеш-пам'яті другого рівня, загальним


об'ємом 512 Кбайт. Кеш- пам'ять першого рівня, що знаходиться у МС самого
процесора, має ємність 32 Кбайти проти 16 Кбайт, що була у Репійшт Рго, але
жепі- пам'ять другого рівня працює на вдвічі меншій частоті.
Важливою відмінністю Репіїцт П є архітектура подвійної незалежної шини.
Процесор обмінюється даними з кешем 12 по спеціалізованій швидкісній шині,
відділеній від системної плати. Системна шина працює на частоті материнської
плати, що суттєво знижує ефективну швидкодію комп'ютера.
МП Репіїит Ш підтримує двопроцесорну конфігурацію ПК. У МП Репішт
Рго і Репійит П впроваджені 5ІМО-інструкції, в яких одна і та ж дія виконується
над багатьма даними, Описані МП випускають за технологією 0,35 мкм, вони
використовують напругу 2,8В.Для цього прозроблена інша системна плата, ніж
для інших Репбішт.
Для зниження ціни комп'ютерів був запропонований полегшений варіант
МП, названий Сеїегоп. Перші процесори мали частоти 266 і 300 МГц. Кеш дру-
того рівня немає. ПК на їхній основі малоефективні. Тоді були запропоновані
Свеісгоп А, який має невеликий (128 Кбайт) кеш на платі МП і який працює на
повній частоті МП. Ці процесори отримали назву Мепдосіпо і стали популярни-
ми. МП Ссіегоп призначені тільки для однопроцесорної конфігурації.
МП Решіит ПІ. Ці процесори є дальшим розвитком Репіїшт П., Їхня головна
відмінність полягає у наявності блока 128-розрядних регістрів розширення на-
бору 5ІМЮ-інструкцій, орієнтованих на формати даних з плаваючою комою. За
можливостями мультипроцесорних конфігурацій ці процесори аналогічні своїм
попередникам Репіїшт П. Кеш другого рівня у МП Репійшт ПІ має розмір
256Кбайт, працює на повній частоті МП і обслуговується швидкодіючою Баск-
зіде-шиною, що загалом підвищує продуктивність ПК.
МП Репійит 4. Призначені для високопродуктивних комп'ютерів, передусім
серверів, робочих станцій класу Юієб-епі і мультимедійних ігрових ПК.
Особливості: додані 144 нові потокові інструкції, що розширюють набір 5ІМО -
інструкцій, орієнтованих на формати даних з плаваючою комою -- 55Е; є кеш -
другого | рівня розміром 256 Кбайт. Він працює на повній частоті МП,
використовує вбудовану програму корегування | помилок і обслуговується
швидкодіючою шиною з розрядністю 256 біт, що функціонує на частоті МП;
покращена система "динамічного виконання " (Чупатіс ехесиціоп), що насампе-
ред пов'язано з наявністю 20-ступінчасної суперконвейерної структури, кращого
передбачення розгалуження програми і паралельного "за припущенням" вико-
нання | команд декількома | передбачуваними | шляхами | розгалуження;
використовується нова мікроархітектура, що базується на двох паралельних
32-бітових конвеєрах і підтримуюча технологію поточного оброблення Нурег
Ріреіїпед, даючи змогу зробити ефективним довгий конвеєр; нова технологія
273
Розділ 6. Мікропроцесори

ує два швидкі, що
прискорених обчислень (Варій Execution Епвіпе) використов
истовують короткі
працюють на подвоєній частоті, процесори АЛП, які викор
ний АЛІ, що виконує
арифметичні і логічні операції за 0,5 такти. Третій - повіль
довгі операції (множення, ділення | т.л.).
частоті 1500 МГц,
МП має площу кристала 217 мм, споживає 52 Вт при
ти високоефективну
містить 42 мли транзисторів.На базі Репіішт 4 можна створи
забезпечення,
ММХ систему, однак для цього потрібна наявність: програмного
системної пла-
орієнтованого на виконання додаткових команд цього процесора;
ти з чипсетами, що підтримують ці мікропроцесори.
Net Burst, 110
Всі нові процесори Репіїшт 4 мають mikxpoapxitextypy Intel
підтримує низку інноваційних можливосте технологію NT; технологію
800, 533 або 400
гіперконвеєрного оброблення даних; частоту системної шини
МГц; кеш-пам'ять першого рівня з відслідковуванням виконання команд;
ання операцій з
розширені функції виконання команл: розширені функції викон
SIMD - розши-
плаваючою комою і мультимедійних операцій; набір потокових
рень 55Б» або SSE3.
багатопо-
Texnonozia HT. Texnonoria Hyper Treading (їтеад - потік) реалізує
токове виконання програм: на одному фізичному процесорі можна одночасно
або два потоки команл однієї програми (ОС "бачать"
виконувати два завдання
два логічні процесори замість одного), тобто ця технологія на базі одного МП
1 в CB
формує два або більше логічних процесори, що працюють паралельно,
ості (до 3090) в
ному ступені, незалежно. НТ забезпечує підвищення продуктивн
кають багатопо-
багатозадачних середовищах і при виконанні програм, які допус
токове виконання.
починаючи з і386, дають змогу програмним шляхом також
Всі МП,
віртуальних машин, коли на одному фізичному МП
реалізувати систему
моделюється два (незалежних) віртуальних, кожний з яких може виконувати
свою програму незалежно і навіть під управлінням своєї ОС.
Архітектурно МИ, що підтримують НТ, мають додатково групу дублюючих
регістрів і логічні схеми, які назначають ресурси потокам і засобам АРІС,
організуючі переривання для оброблення потоків команд різними логічними
процесорами. Крім того, для підтримки НТ необхідні материнські плати з
і з чипсетами ОС Windows XP, Linux (ME ta Windows 200
відповідним ВІО5
непридатні).
ного об-
Технологія гіперконвеєрного оброблення. Технологія гіперконвеєр
збільшення
роблення підвищує пропускну здатність конвеєра, що забезпечує
конвеєрів МП -
продуктивності і тактової частоти. Зокрема, один з основних
передбачення розгалужень/повертань розгалужень, має глибину
конвеєр
крок (проти 20 кроків у МП Репіїштя з
конвеєрного оброблення в 31
суперконвеєрним обробленням).
274 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Нове маркування МП фірми Інеї. Починаючи з 2004 р., введене нове мар-
кування своїх МП. Єдиний тризначний номер МП ураховує відразу декілька ха-
рактеристик: базову архітектуру, тактову частоту процесора і частоту системної
шини, об'єм кеш-пам'яті та ін. Зокрема: ЗХХ - процесори Ссеісгоп, Сеїсгоп М,
Celeron M з наднизьким енергоспоживанням; 5ХХ - процесори Pentium 4 для
настільних і мобільних ПК; 7ХХ - процесори Репіїцт М з низьким і наднизьким
енергоспоживанням.
MII Over Drive. MII Over Дгіме насправді є своєрідними співпроцесорами,
що забезпечують для МП 80486 режими роботи й ефективну швидкодію,
характерні для МП Репійшт, збільшення їхньої продуктивності (збільшують
їхню внутрішню частоту).
МП типу ВІ5С. МП цього типу містять набір простих, найчастіше викори-
стовуваних команд. При необхідності виконання більш складніших команд у
МП відбувається їхнє автоматичне збирання з простих. У цих МП всі прості
команди мають однаковий розмір і на виконання кожної з них тратиться один

машинний такт (в СІ5С - чотири такти). Сучасні 64-розрядні ВІ5С МП випус-


кають фірми: Арріге, ІВМ(РРС), DEC(Alpha), HP(PA), Sun (Ultra SPARC).
MIT RISC xapakrepHa 4| дуже висока швидкодія, але вони програмно не
сумісні з СІ5С- процесорами: при виконанні програм для ПК ІВМ РС, вони мо-
жуть лише емулювати (імітувати) МП типу СІЗС на програмному рівні, що при-
зводить до різкого зменшення їхньої ефективної продуктивності.

6.2. Фізична і функціональна структура мікропроцесора

Фізична структура МП досить складна. Розглянемо ї на прикладі МП Реп-


Чит. До складу МП входять такі фізичні компоненти: Соге - ядро МП; Ехесшіоп
Спії - виконавчий модуль; Integer ALU — АЛП для операцій з цілими числами (з
фіксованою комою); Кеяізістя -- pericrpu: Floating Point Unit-OnoK для роботи з
числами з плаваючою комою; Ргітагу Саспе кепі першого рівня, у т. ч. кеш да-
Hux (Data Cache) i xem Komany (Code Cache); Instruction Decode and Prefetch Unit
i Branch Predictor — блоки декодування інструкцій; Вих Іпіег/асе-інтерфейсні
шини та вихід на системну шину до оперативної пам'яті.

Ядро процесора містить головний управляючий і керуючий модулі -- блоки


виконання операцій над цілочисельними даними. До локальних управляючих
схем відносять: блок плаваючої коми, модуль передбачення розгалужень, мо-
дуль перетворення СІ5С-інструкцій у внутрішній ВІ5С-мікрокод, регістри
мікропроцесорної пам'яті, регістри кеш-пам'яті першого рівня, шинний
інтерфейс та ін.
275
Розділ 6. Мікропроцесори

що
Функціонально МП можна розділити на дві частини: 1) операційну,
(АЛП) та
містить пристрій управління (ПУ); арифметико-логічний пристрій
адресні
мікропропесорну пам'ять (МПП); 2) інтерфейсну частину, що містить
кодів
регістри МПИ; блок регі грів команд - регістри пам'яті для збереження
.
команд, виконуваних у найближчі такти; схеми управління шиною і портами
частина
Обидві частини МП працюють паралельно, причому інтерфейсна
операційну, так що вибірка чергової команди з пам'яті (її запис у
випереджає
ння
блок регістрів команд і попередній аналіз) виконується під час викона
операційною частиною попередньої команди. Сучасні МП мають декілька груп
:
регістрів в інтерфейсній частині, що працюють з різним ступенем виперел
ація
а це дає змогу виконувати операціїї в конвеєрному режимі. Така організ
МП суттєво підвищує його ефективну швидкодію.

6.2.1. Операційна частина

Пристрій управління (ПУ) . Цей пристрій є функціонально найбільш


ск; адним у структурі ПК - він виробляє управляючі сигнали, що надходять ко-
довими (шинами інструкцій (КІ) у всі блоки машини. Спрощена
функціональна схема ПУ показана на рисунку 6.1.
о
Кодова шина інструкцій
Команди
пзп onporpam —— Bia мікропроцесорної

Від генератора. tt
тактових імпульсів | Дешифратор| |Вузол формування| Адреса
операцій адреси.

Код команди || коп |Адреси операндів| Кодова


Регістр команд . шина адреси

Кодова шина даних

Рис. 6.1. Функціональна схема пристрою управління

6.1 зображені: регістр команд -- запам'ятовуючий регістр, в


На рисунку
зберігається код команди: Код виконуваної операції (КОП) і адреси
якому
приймають участь в операції. Регістр команд розміщений в
операндів, що
операцій
інтерфейсній частині МП, у блоці регістрів команд; дешифратор
до поступаючо-
логічний блок, що вибирає один з множини виходів, відповідно
ятовуючі й
го з регістру команд кодом операції (КОП); постійний запам
276 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

пристрій (ПІЗП) мікропрограм зберігає у своїх комірках сигнали (імпульси),


необхідні для виконання у блоках ПК процедур з оброблення інформації.
Імпульс, за вибраним дешифратором операцій відповідно до коду операції
провідника, зчитує з ГІЗУ мікропрограм необхідну послідовність управляючих
сигналів; вузол формування адреси (в інтерфейсній частині МП) - пристрій, що
обчислює повну адресу комірки пам'яті (регістра) за реквізитами, що поступа-
ють з регістра команд і регістрів МП; кодові шини даних, адрес та інструкцій
- частина внутрішньої інтерфейсної шини мікропроцесора.
Узагальнено ПУ формує управляючі сигнали для виконання таких процедур:
вибірки з регістра лічильника ГР адреси команд МПП і адреси комірки ОЗП, де
зберігається чергова команда програми; вибірки з комірок ОЗП коду чергової
команди та приймання зчитаної команди у регістр команд; розшифровування
коду операції та ознак вибраної команди; зчитування операцій комірок ПЗП
мікропрограм управляючих сигналів (імпульсів), що визначають у всіх блоках
машини процедури виконання заданої операції та пересилання управляючих
сигналів у ці блоки; зчитування з регістрів команд і регістрів МПП окремих
складових адрес операндів (чисел), що беруть участь в обчисленнях, а також
формування повних адрес операндів; вибірка операндів (за сформованими адре-
сами) і виконання заданої операції з оброблення цих операндів; записи
результатів операції у пам'ять; формування адреси чергової команди програми.
Арифметико-логічний пристрій. Арифметико-логічний пристрій (АЛП)
призначений для виконання арифметичних і логічних операцій перетворення
інформації. Функціонально у найпростішому варіанті АЛІ складається з двох
регістрів, суматора і схем управління (див.: рис. 6.2).

Регістр 1: 1-є число і результат

Регістр І: 2-є число правСхема

Суматор

Кодова шина даних Кодова шина інструкцій


Рис. 6.2. Функціональна схема арифметико-логічного пристрою

Суматор - обчислювальна схема, що виконує процедуру додавання


двійкових кодів, які надходять на її вхід; суматор має розрядність подв
машинного слова.
Б
нан о НВОНОfe

277
Розділ 6. Мікропроцесори

довжини: регістр 1 має


Регістри - швидкодіючі комірки пам'яті різної
дність слова. При виконанні
розрядність подвійного слова, а регістр 2 - розря
бере участь в операції
операцій у регістр | розміщується перше число, що
що бере участь в
після закінчення - результат; у регістр 2 - друге число,
з кодових шин даних ії видавати
операції. Регістр 1 може і приймати інформацію 1
мацію з цих шин.
інформації на них; регістр 2 - лише отримує інфор
інструкцій управляючі
Схеми управління приймають по кодових шинах
у сигнали для управління
сигнали від пристрою управління та перетворюють їх
роботою регістрів і суматора АЛІ.
операції "Я". 75» 7 лише над двійковою
АЛП виконує арифметичні
після останнього розряду. тобто лише над
інформацією з комою, фіксованою
нал двійковими числами з
цілими двійковими числами. Виконання операцій
плаваючою |комою і над двійково-кодованими 0 десятковими | числами
математичного співпроцесора або за спеціально
здійснюється з використанням
складеними програмами.
сорна пам 'ять базово-
Мікропроцесорна пам'ять. Для прикладу, мікропропе
го МП 8088 містить 14 двобайтових запам'ятовуючих регістри. Вона є базовою
програмування Аззетісг 1 налаштовувальної програми
моделлю для мови
Delug.
AX| AH | Al cs IP

вх | вн | BI DS BP

cx| cH | cr SS SP

DX| DH | DI BS St

DI

Рис. 6.3. Регістри мікропроцесорної пам'яті

6.3): 1) універсальні: АХ,


Всі регістри поділяють на чотири групи (див. рис.
3) регістри зміщення: ІР, УР.
ВХ, СХ, РХ; 2) сегментні регістри С5, DS, SS, Е8;
BP, SL, DI; 4) регістр прапорів Р1..
278 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Універсальні регістри. Регістри АХ, ВХ, СХ, ЮХ універсальні (регістри за-


тального призначення - РЗП); кожен з них можливо використовувати для тимча-
сового зберігання довільних даних, причому дозволено працювати з кожним
регістром повністю, а можна окремо з кожною його половинкою. Кожний з цих
регістрів можливо використовувати і як спеціальний при виконанні деяких кон-
кретних команд програми. Зокрема: регістр АХ -- регістр- акумулятор, через йо-
го порти здійснюється введення-виведення даних у МІП, а при виконанні
операцій множення і ділення АХ використовується для збереження першого
числа, що бере участь в операції після її завершення; регістр ВХ часто викори-
стовують для збереження адреси бази в сегменті даних і початкової адреси поля
пам'яті при роботі з масивами; регістр СХ -- регістр- лічильник, використовують
як лічильник кількості повторень при циклічних операціях; регістр Д)Х призна-
чений для розширення регістра-акумулятора при роботі з 32-розрядними чис-
лами і при виконанні операцій множення і ділення, використовується для збере-
ження номера порту при операціях введення-виведення та ін.
Сегментні регістри. Регістри сегментної адресації С5, 05, 55, Е5 викори-
стовують для збереження початкових адрес полів пам'яті (сегментів), відведених
у програмах для збереження: команд програми (сегмент коду С5); даних (сег-
мент даних - ГЮ95); стекової області пам'яті (сегмент стека - 55); додаткової
області пам'яті даних при міжсегментних пересиланнях (розширений сегмент -
Е5), оскільки розмір сегмента в реальному режимі роботи МП обмежений вели-
чиною 64 Кбайт.
Регістри зміщень. Регістри зміщень (внутрішньо сегментної адресації) ІР,
SP, BP, SI, DI призначені для збереження відносних адрес комірок пам'яті
всередині сегментів (зміщень щодо початку сегментів): регістр ТР (Пп5ігисбіоп
Pointer) - зміщення адреси поточної команди програми; регістр SP (Stack
Pointer) - зміщення вершини стека (поточної адреси стека); регістр ВР (Вазе
Роїпіег) - зміщення початкової адреси поля пам'яті, безпосередньо відведеного
mig, crex; pericrpu SI, DI (Source Index, Destination Index) mpu3Hayeni для збере-
ження адрес індексу джерела та приймача даних при операціях над рядками.
Регістр прапорів. Регістр прапорів РЕ містить однорозрядні ознаки- маски,
або прапори, які керують управлінням проходження програми у ПК. Прапори
працюють незалежно один від одного і лише для зручності їх розміщують в
один регістр. Усього в регістрі міститься дев'ять прапорів: шість з них статусні,
вони відображають результати операцій, виконаних в комп" ютері, а три інші -
управляючі, безпоредньо визначають режим виконання програми.
Статусні прапори: CF (Carry Еіає) - прапор перенесення. Містить значення
"перенесень" (0 або 1) зі старшого розряду при арифметичних операціях і дея-
ких операціях зсуву та циклічного зсуву; РЕ (Рагіїу Кіає) - прапор парності.
Перевіряє молодші вісім бітів результатів операцій над даними. Непарна
Розділ 6. Мікропроцесори 279

кількість одиничних бітів приводить до встановлення цього прапора в 0, парна -


в 1; AF (Auxiliary Carry Glag) — npanop логічного перенесення у двійково-
десятковій арифметиці. Допоміжний прапор перенесення встановлюється в І,
якщо арифметична операція веде до перенесення або позичання четвертого
справа біта однобайтового операнда. Цей прапор використовується для арифме-
тичних операцій над двійково-десятковими кодами і кодами АЗС; 2Е (/его
Еіав) - прапор нуля. Встановлюється в 1, якщо результат операції рівний нулю;
у протилежному випадку обнульовується; SF (Зісп Ріає) - прапор знака.
Встановлюється у відповідності зі знаком результату після арифметичних
операцій: додатній результат встановлює прапор в 0. від'ємний - у Її; OF
(Overfflow Еіав) - прапор переповнення. Встановлюється в 1 при арифметично-
му переповненні; якщо виникло перенесення у знаковий розряд при виконанні
знакових арифметичних операцій, якщо частка віл лілення дуже велика i
переповнює регістр результату і т.д.
Управляючі прапори: ТЕ (Ттар Еіав) - прапор системного переривання (тра-
сування). Одиничний стан цього прапора переводить процесор у режим покро-
кового виконання програми (режим трасування); ГР (Тпіеттирі Ріає) - прапор пе-
реривань. За нульового стану цього прапора переривання заборонені, за оди-
ничного- дозволені; РЕ (РФігесіїоп Еіав)- прапор напряму. Використовується у
рядкових операціях для задавання напряму оброблення даних. За нульового ста-
ну прапора команда збільшує вміст регістрів 51 та DI на одиницю, зумовлюючи
оброблення рядка "зліва-направо?; за одиничного - "справа- наліво".

6.2.2. Інтерфейсна частина мікропроцесора


Інтерфейсна частина призначена для зв'язку й узгодження МП зі системною
шиною ПК, а також для приймання, поперелнього аналізу команд виконуваної
програми та формування повних адрес операнлів і команд. Інтерфейсна частина
містить: адресні регістри МПП; вузол формування адреси; блок регістрів ко-
манд, який виконує функцію буфера команд: внутрішню інтерфейсну шину МИ;
ехеми управління шиною і портами введення-виведення.
Деякі з цих пристроїв, такі як вузол формування адреси і регістр команди,
безпосередньо виконуваної МП, функціонально входять до складу пристрою
управління.
Порти введеня-виведення -- це пункти системного інтерфейсу ПК, через які
МП обмінюється інформацією з іншими пристроями. Всього портів у МІ може
бути 65 536 (дорівнює кількості різних адрес, які можна описати числом форма-
ту "слово". Кожний порт має адресу - номер порту; насправді ще адреса
комірки пам'яті, що є частиною пристрою введення-виведення, використовую-
чого цей порт.
280 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

[pe a a nn Ee eee Р
Операційна ||| Інтерфейсна частина МП І
1 частина МП
І ;
| АН || ЛІ І Вузол |
| формування |
І вн | ві | || адреси |
| І
"сн с | |! |
| | cs |
|| Jou |} or] || І
| DS І

|
SP | |
| 55 І
| BP І! І
І І ES |
| DI І! |
i! IP
| ST i!
| iI Системна | |
І І шина і
| Внутрішня шина МП (шина адреси) |
т І
| І |
| Внутрішня шина МП (шина даних) |
|
|| Fd
я ||
| I І
| ї |
| Vl |
| ПІ І
п І
| LI І
І Внутрішня шина МП (шина іструкції)
І т
ПО el о ппьтоьготи со пасуть потьоопаиовттьс понти ag HEN

Рис. 6.4. Спрощена структурна схема мікропроцесора

Порту пристрою відповідає аппаратура спряження і два регістри пам'яті -


для обміну даними і управляючою інформацією. Деякі зовнішні пристрої вико-
ристовують і зовнішню пам'ять для збереження великих об'ємів інформації, що
підлягає обміну. Деякі стандартні пристрої (НЖМД, клавіатура, принтер,
6. Мікропроцесори

ю9
Розділ

та ін.) мають постійно закріплені за ними порти ввелення-


співпроцесор
виведення.
Схема управління шиною і портами виконує такі функції: формування адре-
на приймання
си порту й управляючої інформації для нього (перемикання порту
інформації про
або передавання); приймання управляючої інформації від порту,
готовність порту і його стан: організування наскрізного каналу в системному
введення-виведення |і
інтерфейсі для передавання даних між портом пристрою
MIL.
Спрощена структурна схема МИ показана на рисунку 64.
шиною і портами використовує для зв'язку з портами
Схема управління
кодові шини інструкцій, адреси ї даних системної шини: при доступі до порту
МП посилає сигнал по кодовій шині інструкцій (К Ш І), який сповіщає всі
пристрої введення-виведення, що адреса на кодову шину адреси (КПА) є адре-
сою порту, а потім посилає і саму адресу порту. Пристрій зі співпадаючою алре-
сою порту дає відповідь про готовність. Після цього по КПІД здійснюється
обмін даними.

6.3. Процесор i8086

інформації
Найбільш застосовувані у комп'ютерних системах оброблення
свій початок пе
однокристальні мікропроцесори сімейства х86 фірми Шіеї. Бере
є 16- і
сімейство з першого 8-розрядного мікропроцесора i8080 i включа
, ..., Pen-
32-розрядні мікропроцесори типів 18056, 180286, 180386, i80486, Pentium
базовими.
йшт 4. Схемотехнічні рішення систем на 18080 можна було б вважати
його система команд значно відрізнясться від мови старших моделей
але
то вважати
мікропроцесорів цього сімейства. Тому "родоначальником" прийня
перший 16-розрядний мікропроцесор 18086.
зображена на
Внутрішня структура і8086. Структурна схема МП 18086
- пристрій
рисунку 6.5. Згідно з нею, МП об'єднує у собі три пристрої: ПОД
- пристрії
оброблення даних; ПІЗМ- пристрій зв'язку з магістраллю; ПУС
управління та синхронізації.
282 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

A/D[I5:0] A[19:16//ST[6:3]

| BHE/ ST?
Суматор yyc [STB (QS0)
адреси Черга
команд INTA (QS0)
Р Г Сегментиї (6 байтів) В
1 |регстри С5, We
‘Lbs, ss, ES M-I0 (ST2)
ОР-ТР (ЗТІ)
DE (STO)
TEST
RDY
CLR
CLK
INT
NMI
IHLDA (RQ-E1)
HLD (RQ-E0)
о
MIN-MAX

Puc. 6.5. CtpyktypHa cxema mikponpouecopa i8086

ПОД призначений для виконання команд і включає в себе Іб-розрядний


АЛИ, системні регістри та інші допоміжні схеми; блок регістрів і блок
мікропрограмного управління.
ПЗМ забезпечує формування 20-розрядної фізичної адреси пам'яті і 16-
розрядної адреси ЗП, вибір команд з пам'яті, обмін даним з ЗП, іншими проце-
сорами по магістралі. ПОД включає в себе суматор адрес, блок регістрів черги
команд і блок сегментних регістрів.
ПУС забезпечує синхронізацію роботи пристроїв МП, вироблення управ-
ляючих сигналів і сигналів стану для обміну з іншими пристроями, аналізує і
реагує на сигнали інших пристроїв мікропроцесорної системи (МПС).
Мікропроцесор 18086 може працювати в одному з двох режимів:
мінімальному та максимальному. Перший режим призначений для реалізації
однопроцесорної конфігурації мікропроцесорної системи з організацією,
подібною МПС на базі 18086, але зі збільшеним адресним простором, більш ви-
сокою швидкодією і значно розширеною системою команд. Максимальний ре-
жим передбачає наявність у системі декількох мікропроцесорів, що працюють
Розділ 6. Мікропроцесори | 283

на загальну системну шину. МПС на базі 18086 з використанням максимального


режиму не мають широкого використання. Більше того, в наступних моделях
своїх мікропроцесорів (80286,80386,80486) фірма Intel відмовилася від
підтримки мультипропроцесорної архітектури.
Зовнішні виведення МП 18086 побудовані на принципі мультиплексування
сигналів - передавання різних сигналів загальними лініями з розділенням у
часі. Крім того, одні і ті ж виведення можливо використовувати для перелаван-
ня різних сигналів залежно від режиму (тіп-тах).
Командний і машинний цикли мікропроцесора. Основу роботи МИ склалає
командний цикл -- дія для вибірки з пам'яті і виконання однієї команди.
Довільний командний цикл (КЦ) починається вибіркою з пам'яті першого
слова команди за адресою, що зберігається в лічильнику команд. Команди 13036
можуть мати довжину від одного до шести байтів, причому в першому слові
міститься інформація про довжину команди. Отже, для вибірки з пам'яті однієї
команди може бути необхідне одне або декілька звертань до ОЗП. Залежно від
типу і формату команди, способів адресації і кількості операндів командний
цикл може включати в себе різну кількість звертань до пам'яті і ЗП, оскільки,
крім читання самої команди в КЦ може бути необхідним читання операндів та
розміщення результату.
Незважаючи на те, що звернення до запам'ятовуючого пристрою/зовнішніх
пристроїв розміщуються у різних частинах КЦ, виконуються вони за єдиними
правилами | і | в | єдиному | обладнанні /| управляючого | автомата. (Дії
мікропроцесорної системи по передавання з(в) МП одного слова команди (да-
них) називають машинним циклом (МЦ).
Машинний цикл включає видавання процесором адреси пам'яті або
зовнішнього пристрою, за яким проводиться звертання, видавання управляючих
сигналів, які характеризують тип машинного циклу і напрям передавання даних,
синхронізуючих (стробуючих) сигналів і власне передавання даних. В їХОХ6
реалізована мультиплексована шина адреса/дані. Це пояснюється браком
зовнішніх виведень кристала і потребує додаткового управляючого сигналу
ЗТВ, що ідентифікує наявність адреси на загальній шині А/Д.
Різноманітність МЦ зводиться до двох різновидів: читання (дані або коман-
ди приймаються у процесор) і запису (дані видаються з пропесора). Часові
діаграми таких МЦ зображені на рисунку 6.6.
284 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

т 5 збут т то 5 гурт
т
ак ПЛУГИ. ск a on
M-I0 DSS M10 Px 3
BNE BNE
Ast PORA) AIST ?
дж НО М Ate 1
Ro a «ропворноо (15-0){7 ae нн
LK
OP-IP--\ Гл БЕР | 0г
DEN 1 г op-IP

Рис. 6.6. Машинні цикли мікропроцесора 18086:


а-цикл " ЧИТАННЯ?; б -цикл " ЗАПИС"

Цикл починається з формування у такті 7; сигналу МІ, що визначає тип при-


строю - пам'ять або зовнішній пристрій, з яким виконується обмін даними.
Тривалість з сигналу | М-ІО з рівна / тривалості з машинного циклу, він
використовується для (19:16 ) селекції адрес пристроїв. В Т) і в початку 7» МП
видає адреси А |19:16 ] i A [15:0], і сигнал ВМЕ, який разом з А0 визначає
спосіб обрання передачі або всього слова, або одного з його байтів. За спадом
crpo6y ALE agpeca фіксується у зовнішніх адресах - засувках. У такті Т»
відбувається перемикання шин: на виводи Л(19:16 |/ЗТІ6:3| надходять сигнали
стану, а виводи А/П|15:0) використовують для приймання /передавання даних.
Усі описані машинні цикли синхронні їхня тривалість визначається лише

процесором. Однак такий обмін можливий лише з пристроями, швидкодія яких


не поступається процесорній, інакше МП має реалізувати асинхронний спосіб
обміну, що включає аналіз сигналу від пристрою про готовність до обміну або
про її завершення.
Роль такого сигналу в 18086 відіграє вхід ВІЗУ (від англ. геаду - готовність),
який завжди має бути активним при синхронному обміні (зі "швидкими" при-
строями). При обміні з "повільними" пристроями значення КРУ має залишатися
неактивним до тих пір, поки пристрій, з яким зв'язується процесор, не завер-
шить процедуру обміну.
Час очікування процесором готовності пристрою може бути як завгодно ве-
ликим. Для цього в такті 7, процесор перевіряє значення сигналу ВДУ, і якщо
він не активний, то після такту 7 в машинний цикл вставляється довільна
кількість тактів очікування Т,,, в кожному з яких аналізується значення ВРУ. За
появи активного значення КІ)У мікропроцесор переходить до такту 7, і
Розділ 6. Мікропроцесори 285

завершує МЦ. Таким чином вдається узгодити роботу МП з пристроями різної


швидкодії.
Розподіл адресного простору. Адресний простір у МП 18086 залежить від
розрядності шини адреси /даних і становить 2" байтів-! Мбайт. У цьому
просторі мікропроцесору одночасно доступні лише чотири сегменти, два з яких
(DS і Е5) призначені для розміщення даних, С5 - сегмент стека. Розміри
сегментів визначаються розрядністю логічних адрес команд, даних і стека.
Логічні адреси команд і стека зберігаються у І6-розрядних регістрах ІР 1 SS, a
логічна адреса даних обчислюється в команді одним, пере; бачених системою
команд, способів, і також становить 16 бітів.
Отже, розмір кожного сегмента в 18086 складає 2" байтів 2 64 айт. Поло-
ження сегмента в адресному просторі визначається змістом однойменного сег-
ментного регістра.
По замовчуванні сегментні регістри вибираються лля утворення фізичної
адреси таким чином: при зчитуванні команди за адресою ІР використовується
С5, при звертанні до даних - 05 або ЕЗ, при звертанні до стека - 55. За допомо-
тою спеціальних приставок до команди (префіксів) можна назначити для вико-
ристання довільний сегментний регістр. Границі сегментів можуть бути вибрані
таким чином, що сегменти будуть ізольовані один від одного, перетинатися або
навіть повністю збігатися.
Система команд і8086. Описуваний МП відрізняється різноманітністю
форматів команд і способів адресації. Довжина команди може складати від
ного до шести байтів, причому в перших двох байтах визначається код операції,
кількість і довжина операндів та спосіб їхньої адресаці У просторі решти байтів
команди можуть розміщуватися: безпосередній операнд, пряма адреса або
зміщення, Більшість команд 18086 є двоадресними: одна адреса визначає регістр
процесора, друга - комірку пам'яті або регістр.
Режими адресації спроектовані з урахуванням ефективної реалізації мов ви-
сокого рівня. Система команд нараховує 113 базових команд, об'єднаних у такі
групи: команди передавання даних; арифметичні команди; логічні команди |і
зсуви; команди передавання управління: переходи, виклики, повертання мають

два різновидни - внутрісегментні ("близькі") і міжсегментні ("далекі"); команди


з оброблення ланцюжків даних маніпулюють послідовностями байтів або слів у
пам'яті.

6.4. Мікропроцесорна система

Зростання ступеня інтеграції ІС дало змогу формувати на одному або


декількох кристалах функціональні блоки, необхідні для програмної ре
286 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

певних алгоритмів. Отже, був створений мікрослектронний комплекс, названий


мікропропроцесорною системою, з МП - центральним процесорним елементом.
(мікро-ЕВМ), а також пристроями введення/виведення (зовнішні пристрої).
Сукупність ВВІС/НВІС, придатних для сумісного застосування у складі
мікроЕВМ називають мікропроцесорним комплексом (МПК).
У мікропроцесорній системі (МПС) організовується процес виконання
заданої програми і різноманітні задачі розв'язуються шляхом виконання
послідовності команд, властивих даному МП. Обчислювальні, контрольно-
вимірювальні або управляючі системи МП відносять до МІС.
Практично вся структура МПС є магістрально-модульною. У такій структурі
с група магістралей (шин), до яких під'єднуються різні модулі (блоки), що
обмінюються між собою інформацією почергово, в режимі розділення часу.
Термін "шини?" відносять до сукупності кіл (ліній), кількість яких залежить від
розрядності шини. Типовою є тришинна структура МПС з шинами адрес ША
(АВ-Аддге85 Ви5), даних ШД (0В-Даіа Ви5) та управління ШУ (СВ - Сопігої
Bus).
Ue
1x]

EN | s
б мі
rH Asa ps2 16 || ШААВ)
ALE fal] s Aisa 16 16 8 8
Toh Й
ps2
Use Use AD 50]
ef 8
ком | рем PE Порт Порт
| ny
я Lo oe з з з з
7 BD
ag
REN =
RD tr]
У, mw)
aD
шу
Mixpormouecop | су

Рис. 6.7. Структура мікропроцесорної системи

На рисунку 6.7 показана структура мікропроцесорної системи з МП, що має


мультиплексовану шину адрес/даних. Лінії Аз Є адресними, через них в систе-
му передається старший байт 16-розрядної адреси. У цю шину включений фор-
мувач на постійно відкритому по входу розділення ЕМ буферному регістрі ИР82,
що забезпечує роботу шини на навантаження, створюваного зовнішніми колами.
Власної навантажувальної здатності у виводів МП зазвичай не вистачає. Лінії
AD; мультиплексуються. Спочатку вони передають молодший байт адреси, 03-
287
Розділ 6. Мікропроцесори

Епабіг), що завантажує цей


накою якого є наявність сигналу АГ.Е (Аддтез8 Таїсії
л АГЕ знімається і зміст
байт у регістр ИР82. Після завантаження регістра сигна
у черговому циклі
регістра залишається незмінним аж до нового завантаження
а шина адреси, що
роботи процесора. Таким чином формується 16-розрядн
використову ється блоками постійної та
містить адресу ізо Ця адреса
і виведення
оперативної пам'яті ВОМ і ВАМ. Адресація портів введення
вості роботи не біль-
потребує восьми розрядної адреси. що відповідає можли
можна знімати з довільної
ше, ніж з 256 портами кожного з типів. Адресу портів
половини адресної шини.
ається для передавання
Передавши молодший баї адреси, АД».о шина перед
ом даних ВР залежно
даних. Ці процеси двонаправлені, напрям задається буфер
ня RD (read) ani ne-
від сигналу Т (Тгапзії). При активному стані сигналу читан
- у зворотному напрямку До шини да-
редаються справа наліво, при пасивному
них під'єднані інформаційні виводи всі» модулів МПС.
резонатора або інших
Виводи ху) і хо служать для під" єднанання кварпового
розміщеному в МП. Такту
контурів, що задають частоту тактовому генератору,
частоти резонансу квар-
вання системи відбувається на частоті, рівній половині
ються сигнали тактування
цу, оскільки генератор працює на тригер, з якого зніма
входом асинхронного
модулів МПС, тригер ділить частоту на 2. Bxin RESIN €
скидання, що приводить МП у вихідний стан. Сигнал І, активний. Скидання мо-
дить при ввімкненні
же бути здійснене замиканням ключа К і автоматично прохо
живлення (с.
МП обробляє команду за командою. Команда задає
Виконуючи програму,
беруть у ній участь.
виконувану операцію і містить відомості про операнди, що
та виконання, в ході
Після приймання команди проходить її розшифрування
пристроїв. Комірки
якого МП отримує необхідні дані з пам'яті або зовнішніх
мають номери, названі адресами, якими їх
пам'яті і зовнішні пристрої (порти)
позначають у програмі.
По однонаправленій адресній шині МП посилає адреси, визначаючи об" єкт,
я даними з модулями
з яким відбуватиметься обмін, шиною даних обмінюєтьс
ю.
системи, по шині управління йде обмін управляючою інформаціє
фіксовані програми і дані. Енергонезалежний, при
ПІЗП (ВОМ) зберігає
зберігає оперативні
від'єднанні живлення інформацію не втрачає. ОЗП (КАМ)
енні живлення. Для
дані, є енергозалежним і втрачає інформацію при вимкн
живлення ОЗП потрібно
задіювання системи у робочий стан після ввімкнення
завантажити необхідною інформацією.
ої (ЗП) - технічні
Пристрої введення-виведення (ПВВ) або зовнішні пристр
ь. Або з МП чи пам'яті у
засоби для передавання даних ззовні у МП або пам'ят
зовнішнє середовище. Для під нання ЗП необхідно привести їхні си
у. що сприймають
формати слів, швидкість передачі до стандартного вигляд
288 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

МП. Ці операції виконують адаптерами (інтерфейсними блоками введення-


виведення).
Крім того, в систему входять також більш складніші, ніж адаптери, блоки
управління зовнішніми пристроями -- контролери. До них відносять насамперед
контролери переривань та прямого доступу до пам'яті. Також є контролери
клавіатури, дисплею, дискової пам'яті та ін.
Контролери переривань забезпечують обмін зі зовнішніми пристроями в
режимі переривань (тимчасової зупинки) виконуваної програми для обслугову-
вання запиту від зовнішнього пристрою.
Контролери прямого доступу до пам'яті обслуговують режим прямого
зв'язку між зовнішніми пристроями і пам'яттю без участі МП. При управлінні
обміном з боку МП пересилання даних між зовнішніми пристроями і пам'яттю
відбувається постапно: спочатку дані приймаються мікропроцесором, а потім
видаються ним на приймач даних. У режимі прямого доступу до пам'яті МП
від'єднується від шин системи і передає управління ними контролеру прямого
доступу, а передавання даних здійснюються в один етап - безпосередньо від
джерела до приймача.

6.5. Мікроконтролери

Мікроконтролери (МК) - різновид мікропроцесорних систем (мікроЕВМ),


зорієнтована на реалізацію алгоритмів управління технічним пристроями ї
технологічними | процесами. Порівняно | з універсальними | мікроЕВМ
мікроконтролери значно простіші. Це дало змогу ще 30 років тому розмістити
всю схемотехніку МК на одному кристалі, що стало суттєвим поштовхом для
їхнього розвитку. Друга назва МК - 7 двокристальна MikpoEBM “.
Суттєвою відмінністю МК від мікроЕВМ є насамперед малий об'єм пам'яті
та менш різноманітний склад зовнішніх пристроїв. МК зазвичай реалізують
нескладні алгоритми, тому для розміщення програм їм необхідні ємності пам'яті
на декілька порядків менші, ніж у мікроЕВМ широкого призначення. Набір
зовнішніх пристроїв також суттєво знижується, а самі вони значно простіші. В
результаті модулі мікроЕВМ конструктивно самостійні, а МК виконується на
одному кристалі, хоч у його складі наявні модулі того ж функціонального при-
значення.
Перші МК випущені фірмою Intel у 1976 році (восьмирозрядний МК 8048).
На сьогодні випускають 8-, 16- і 32- розрядні МК з ємністю пам'яті програм до
десятків Кбайт, невеликими ОЗП даних і набором таких інтерфейс- них 1
периферійних схем, як паралельні і послідовні порти введення/виведення, тай-
Розділ 6. Мікропроцесори 289

мери, аналого-цифрові та цифро-аналогові перетворювачі, широтно-імпульсні


модулятори та ін.
У структурі МК сімейства АТ89С (див.: рис. 6.8) використані окремі блоки
програмної пам'яті типу Флеш і ОЗП даних (Гарвардська архітект ра).
INTO Nt
| | (1.20)Кбайт | (64.25) байт
З ita
Внутрішні J ——?} Управління ae озп Гтаймерії (2-3) Таймери
запити ES] |0перернваннями там Таймерої|

otoS [rn UE AD 4
EL
4
CLK (2.24) MP
Управління порти Послідовний
шиною вводу/виводу nopt

Ма 2PIP3 TxD RxD


AD
Рис. 6.8. Структура мікроконтролера АТ89С

Діапазони ємностей пам'яті, як і частот генератора тактових імпульсів ГТІ,


характеризують параметри представників сімейства від молодшого до старшого.
За необхідності можливим є підс'днання зовнішніх ВІС ПЗП, ОЗІ для розши-
рення простору пам'яті. Засоби введення/виведення репрезентовані чотирма па-
ралельними портами (32 лінії) і лініями Тх) (вихід передавача) і ВхР (вхід
приймача) для послідовного введення/виведення. До складу МК входять два-три
таймери-лічильники, які дають системні мітки часу і відпрацьовують інтервали.
Для скорочення ширини фізичного інтерфейсу функції лінії паралельних портів
суміщені і в різних режимах мають різне призначення. Система переривань з
п'ятьма джерелами запитів радіального типу обслуговує два зовнішні запити,
два запити від таймерів і один від послідовного порту. За частоти ГТІ 12 МГц
більшість команд виконується за мкс, деякі команди - за 2 мкс.
290 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Контрольні запитання до розділу б

- Дайте коротку характеристику МП, його структури, призначення. Назвіть


основні параметри.
2. Назвіть та обгрунтуйте основні функції, які виконує мікропроцесор.
3. Що таке реальний і захищений режим роботи МП?
4. Поясніть структуру, призначення й основні функції пристрою управління.
5. Назвіть рівні КЕШ- пам'яті сучасних МП.
6. Поясніть призначення КЕШ-пам'яті.
7. Поясніть структуру, призначення та основні функції арифметико-логічного при-
строю.
8. Назвіть регістри мікропроцесорної пам'яті і дайте їхню коротку характеристику.
9. Поясніть важливість величини тактової частоти МП для продуктивності
комп'ютера.
10. Що становлять собою порти введення-виведення ПК?
11. Поясніть призначення інтерфейсної частини МП.
12. Що включає до свого складу інтерфейсна частина МП?
13. Які шини для зв'язку з портами використовує схема управління шиною і пор-
тами?
14. Які функції виконує схема управління шиною і портами 7
15. Назвіть характерні особливості мікропроцесорів СІЗС,ВІЗС, МІІМУ.
16. Назвіть основні моделі СІЗС МП і порівняйте їхні характеристики.
17. Узагальнено охарактеризуйте МП сімейства Репіит.
18. Назвіть і обгрунтуйте найважливіші особливості MN Pentium 4.
19. Поясніть структуру та особливості використання мікроконтролерів.
20. Що таке мікропроцесорна система?
Розділ 7. ДЖЕРЕЛА ВТОРИННОГО
ЕЛЕКТРОЖИВЛЕННЯ

7.1. Основи функціонування та схемна реалізація головних


пристроїв джерел вторинного електроживлення

7.1.1. Структурна схема та основні характеристики

Пристрої вторинного електроживлення електронних пристроїв, названі дже-


релами вторинного електроживлення (ДВЕЖ), призначені для формування
необхідних для роботи електронних елементів напруг з заданими характеристи-
ками. Вони можуть бути виконані у вигляді окремих блоків або входити до
складу різних функціональних електронних вузлів. Їхнім основним завданням є
перетворення енергії первинного джерела в набір вихідних напруг, які можуть
забезпечити нормальне функціонування електронного пристрою. Узагальнена
структура ДВЕЖ зображена на рисунку 7.1.

ДВЕЖ
Зовнішнє
управління Пристрій
o> управління
контролю

рораненення, eo---4
г Джерело (| Джерело 1 Наванта- |
I Живлення РОЗУОЇ ження (І
Lee eee

Сигнали захисту У 1
і комутації Пристрій
o> захистуі
комутації

Рис. 7.1. Узагальнена структурна схема джерела вторинного електроживлення

Як видно зі схеми, ДВЕЖ вмикають між первинним джерелом і наванта


ням, тому на нього впливають різні фактори, пов'язані зі змінами характери
як первинного джерела, так і навантаження. Зокрема, при збільшенн
пониженні напруги первинного джерела ДВЕЖ має забезпечувати нормальне
функціонування електронної апаратури, що живиться від нього.
292 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Пристрій управління і контролю, що входить до складу ДВЕЖ, може бути


використаний для зміни його характеристик при різних сигналах зовнішнього
або внутрішнього управління: дистанційного ввімкнення або вимкнення, пере-
ведення в режим очікування, формування сигналів скидання та ін. Пристрій за-
хисту і комутації дає змогу зберегти працездатність ДВЕЖ при виникненні
різних нестандартних режимів: короткого замикання в навантаженні; раптового
від'єднання; різкого підвищення температури оточуючого середовища та ін. Ці
додаткові пристрої можуть бути забезпечені власними джерелами електрожив-
лення, включаючи резервні акумулятори або гальванічні елементи.
Залежно від типу первинного джерела електроживлення ДВЕЖ можна
поділити на дві групи: інверторні та конверторні. Інверторні ДВЕЖ використо-
вують для перетворення напруги змінного струму в напругу постійного струму,
тобто вони змінюють не лише значення але й тип вихідної напруги. До
інверторних ДВЕЖ відносять також перетворювачі постійної напруги первинно-
то джерела в змінну напругу, що живлять навантаження.
Конверторні ДВЕЖ використовують для перетворення однієї напруги в
іншу. Наприклад, до конверторів постійної напруги можна відносити звичайні
електронні стабілізатори постійної напруги, а до конверторів змінної напруги
можна віднести трансформатори.
За принципом дії ДВЕЖ поділяють на дві групи: трансформаторні та
безтрансформаторні. В трансформаторних напруга змінного струму спочатку
змінюється за значенням за допомогою трансформатора, а потім випрямляється
та стабілізується. В безтрансформаторних ДВЕЖ, навпаки, змінна напруга
мережі спочатку випрямляється, а потім перетворюється у зміну напругу більш
високої частоти. В перетворювачі може бути використаний високочастотний
трансформатор, тому точніше ці джерела називають інакше: з трансформатор-
ним / безтрансформаторним входом. Оскільки перетворювачі в таких джерелах
звичай працюють в імпульсному режимі, то й ДВЕЖ такого типу часто нази-
вають імпульсними.
Основні характеристики ДВЕЖК. Всі характеристики можна поділити на
три групи: вхідні, вихідні та експлуатаційні. До вхідних відносять: значення та
вид напруги первинного джерела живлення; нестабільність напруги живлення
бу ЗА0ОЇОо; частоту напруги живлення та нестабільність, кількість фаз
джерела змінної напруги. З вихідних характеристик ДВЕЖ відносять: значення
вихідних напруг; нестабільність вихідних напруг б/у вих 7 АСЛух вих Й, wx> CTPYM Ha-
вантаження або вихідну потужність за кожним каналом; наявність гальванічної
ізоляції між входом і виходом; наявність захисту від перевантаження або зміни
вхідної напруги. До експлуатаційних характеристик відносять: діапазон робочих
температур; допустиму відносну вологість; діапазон допустимих тисків
живлення 293
Розділ 7. Джерела вторинного

нтаження; коефіцієнт корисної


оточуючої атмосфери; допустимі механічні нава
дії ДВЕЖ; питому потужність: надійність.
Ж залежить від типу пер-
Типові структурні схеми ДВЕЖ. Структура ДВЕ
нні джерела поділяють на дві
винного джерела електричної енергії. Всі перви
джерела змінної напруги 1; жерела постійної
напруги. Джерела змінної
групи:
ної форми з фіксованою часто-
напруги зазвичай виробляють напругу гармоніч
м 110, 127, 220 або 380 В. Джере-
тою 50, 400 або 1000 ці фіксованим значення
лами по: гійної напруги можуть бути акумуляторні
або сонячні батареї.
вані напруги: 6, 12, 24 або
Акумуляторні батареї зазвичай мають також фіксо
48 B.
і змінної напруги через
Структурні схеми ДВЕЖ, що живляться від мереж
Такі джерела поділяють на
силовий трансформатор зображені на рисунку 7.2.
ані.
три групи: нерегульовані, регульовані та стабілізов
входом подана на ри-
Схема нерегульованого ДВЕЖ з трансформаторним
го трансформатора, нерегульо-
сунку 7.2. Вона складається з силового мережево
ростішою 1 її використову-
ваного випрямляча та фільтра пульсацій. Вона є найп
вихідних напруг невисокі.
ють тоді, коли вимоги до питомої потужності й якості

з з
Mepexa “Tpма5 ariтоebo p- —— - Фільтр Іі
р >

іон
Трансфор- 26 УРегульований| |
матор випрямляч З
в 6

Пристрій
управління р--Є-О Управління

Е
0 i
o> т Випрямляч
Фільтр
Мережа Трансфор-
Оз матор
8 в

входом: з нерегульованим
Рис. 7.2. Структурні схеми ДВЕЖ з трансформаторними
(б) та зі стабілізатором (в)
випрямлячем (а), з регульованим випрямлячем

у схему вводять регуль-


Якщо необхідно змінити вихідну напругу ДВЕЖ, то
(див.: рис. 7.2, б). Для регулювання вихідної напруги
ований випрямляч
випрямлячі. Основним недоліком
найбільш часто використовують тиристорні
294 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

такого ДВЕЖ є необхідність в періодичному регулюванні вихідної напруги при


зміні напруги в мережі, що виконується оператором.
Від такого недоліку позбавлений ДВЕЖ зі стабілізатором, схема якого зоб-
ражена на рисунку 7.2, в. У цю схему після фільтра введений стабілізатор з не-
перервним або імпульсним регулюванням вхідної напруги.
Питома потужність такого ДВЕЖ
з метою підвищення ККД і збільшення
питомої потужності привела до створення імпульсних ДВЕЖ, до складу яких
входять високочастотні інвертори напруги. Структурні схеми таких ДВЕЖ з од-
ним вихідним каналом зображені на рисунку 7.3.

Конвертор
Uc І

Uc І ' Un
O——>| PMB

Рис. 7.3. Структурні схеми імпульсних ДВЕЖ: з регульованим інвертором (а) і регульова-
ним мережевим випрямлячем (б)

На рисунку 7.3, а подана схема ДВЕЖ, що містить нерегульований мереже-


вий випрямляч (НМВ) і конвертор випрямленої напруги мережі. Конвертор
складається з регульованого інвертора РІ, що працює на підвищеній частоті
00...100 кГц), транеформаторного фільтра ВФ. Для стабілізації вихідної напру-
ги використовують схему управління СУ.
У схемі управління порівнюють вихідну напругу Ми ДВЕЖ і напругу джере-
ла опорної напруги (ДОН). Різницю цих напруг, названу сигналом помилки, за-
стосовують для регулювання частоти Рі (/ - маг) або скважності імпульсів при
їхній незмінній частоті (у - уаг). Конвертор, виконаний на базі однотактного
трансформаторного інвертора, називають трансформаторним однотактним
Розділ 7. Джерела вторинного живлення 295

конвертором (ТОК), Конвертор, виконаний на базі двотактного трансформатор-


ного інвертора, називають трансформаторним двотактним конвертором
(ТДК).
На рисунку 7.3, б подана схема ДВЕЖ з регульованим мережевим випрям-
лячем (РМВ). Особливістю цієї структурної схеми є використання нерегульова-
ного інвертора (НІ). Стабілізація вихідної напруги забезпечується за рахунок
регулювання напруги на вході конвертора за допомогою РМВ, який виконують
на тиристорах з фазовим регулюванням.
Для схеми на рисунку 7.3, а характерним є те, що інвертор має бути розра-
хований на роботу з випрямленою напругою мере» і, яка має максимальне зна-
чення майже 300 В для однофазної мережі і близько 530 В для трифазної 220/380
В. Крім того, зміна частоти або скважності імпульсів інвертора РІ приводить до
погіршення фільтрації вихідної напруги. В результаті | збільшуються
малогабаритні показники фільтра ВФ.
Позитивним аспектом схеми з рисунка 7.3, а є суміщення функцій перетво-
рення напруги і стабілізації вихідної напруги /,, що дає змогу спростити схему
СУ, оскільки зменшується кількість управляючих ключів.
Перевагою схеми, зображеної на рисунку 7.3, б, є можливість забезпечення
роботи інвертора за пониженої напруги (у 1,5-2 рази), тому живлення інвертора
реалізують напругою 130...200 В. Це суттєво полегшує роботу транзисторних
ключів інверторів. Позитивним аспектом схеми є і те, що інвертор може працю-
вати з максимальним коефіцієнтом заповнення Ymax імпульсів і, отже,
спрощується фільтрація вихідної напруги. К.К.Д. та питома потужність обох
схем відрізняються незначно.

7.1.2. Випрямлячі джерел електроживлення


Випрямлячем називають пристрій, призначений для перетворення змінної
напруги у постійну. Випрямляч можна розглядати як один з типів інверторів на-
пруги. Узагальнена структурна схема випрямляча зображена на рисунку 7.4.

ст
Мережа Cou
— |» Lo
до навантаження

контролю

Рис.7.4. Узагальнена структурна схема випрямляча


296 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

До складу випрямляча можуть входити: силовий трансформатор СТ, вен-


тильний блок ВБ, фільтруючий пристрій ФП та стабілізатор напруги СН. Транс-
форматор СТ перетворює значення напруги мережі, забезпечує гальванічну
ізоляцію навантаження від силової мережі, перетворює кількість фаз силов
мережі. В імпульсних джерелах живлення трансформатора зазвичай немає,
оскільки його функції виконує високочастотний інвертор.
Вентильний блок ВБ є основною ланкою випрямляча, забезпечуючи однона-
правлене протікання струму в навантаженні.В ролі вентилів використовують
переважно напівпровідникові прилади, що володіють односторонньою
електропровідністю,наприклад діоди, тиристори,, транзистори та (ін. Ідеальні
вентильні елементи мають пропускати струм лише в одному (прямому) напрям-
ку і зовсім не пропускати в іншому (зворотному).
Реальні вентильні елементи відрізняються від ідеальних насамперед тим, що
вони пропускають струм у зворотному напрямку і володіють спадом напруги з
протікання прямого струму. Це зумовлює зниження К.К.Д. вентильного блока та
зниження ефективності випрямляча загалом.
Фільтруючий пристрій ФП використовують для послаблення пульсацій
вихідної напруги. В ролі фільтруючого пристрою зазвичай використовують
фільтри нижніх частот (ФНЧ), виконані на пасивних К, І, С елементах, або
іноді, зі застосуванням активних слементів - транзисторів, операційних
підсилювачів та ін. Якість ФП оцінюють за його властивістю збільшувати
коефіцієнт фільтрації 4, рівний відношенню коефіцієнтів пульсації на вході і
виході фільтра.
Стабілізатор напруги СН призначений для зменшення впливу зовнішніх
впливів: зміни напруги живлення мережі, температури оточуючого середовища,
зміни навантаження та ін. - на вихідну напругу випрямляча. Стабілізатор напру-
ти можна встановити не лише на виході випрямляча, але й на його вході. B

імпульсних джерелах живлення функції стабілізатора може виконувати регульо-


ваний інвертор РІ або регульований вентильний блок.
Крім основних вузлів, до складу випрямляча можуть входити різні
допоміжні елементи і вузли, призначені для підвищення його надійності: вузли
контролю й автоматики, вузли захисту та ін.

Класифікація випрямлячів. Для класифікації випрямлячів використовують


різні ознаки: кількість випрямлених півхвиль (півперіодів) напруги, кількість
фаз силової мережі, схему вентильного блока, тип згладжуючого фільтра,
наявність трансформатора та ін.
За кількістю випрямлених півхвиль розрізняють однопівперіодні і
двоперіодні випрямлячі. За кількістю фаз напруги живлення розрізняють одно-
фазні, двофазні, трифазні та шестифазні випрямлячі. Водночас під кількістю
Розділ 7. Джерела вторинного живлення 297

фаз напруги живлення розуміють кількість напруг живлення з відмінними одна


від другої початковими фазами
Для прикладу, якщо для роботи випрямляча необхідна одна-єдина напруга
живлення, то такий випрямляч буде однофазним. Якщо ж для роботи випрямля-
ча необхідні дві напруги живлення, зсунуті одна щодо одної на якиї небудь кут
(зазвичай 1807), то такий випрямляч називають двофазним. Аналогічно, якщо
для випрямляча необхідні три напруги живлення, зсунуті одна щодо одної на кут

120", то такий випрямляч називають трифазним.


За схемою вентильного блока розрізняють випрямлячі з паралельним,
послідовним і мостовим увімкненням однофазних випрямлячів. Їхні схеми
зображені на рисунку 7.5.
VDI +

Тр
Мережа wan
wi low,

ур2

в г
Рис. 7.5. Схема випрямлячів, що живляться від мережі:
одноперіодний (а), двофазний двоперіодний (б), однофазний мостовий (в), однофазний з
послідовним увімкненням (схема подвоєння, г)

Однофазний одноперіодний випрямляч, схема якого подана на рисунк)


7.5, а найпростіший, він пропускає на вихід лише одну півхвилю напруги жив-
лення, як зображено на рисунку 7.6, а. Такі випрямлячі застосовують об
в малопотужних пристроях, оскільки їм характерне недостатнє використання
трасформатора та згладжувального фільтра.
298 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Рис. 7.6. Форми напруг на вході і виході випрямлячів, що живляться від


однофазної мережі, при резистивному навантаженні без фільтра: однопівперіодного (а),
двопівперіодного (б)

Двофазний двопівперіодний випрямляч, зображений на рисунку 7.5, б, ста-


новить собою паралельне з'єднання двох однофазних випрямлячів, що живлять-
ся від двох половин вторинної обмотки м» і м?. За допомогою цих півобмоток
виникають дві протифазні напруги, що живлять випрямлячі. Форма вихідної
напруги такого випрямляча подана на рисунку 7.6, б. Він характеризується кра-
щим використанням трансформатора і фільтра. Його інколи називають випрям-
лячем зі середньою точкою вторинної обмотки трансформатора.
Однофазний містковий випрямляч (див. рис. 7.5, в) є двопівперіодним вип-
рямлячем, що живиться від однофазної мережі. На відміну від попередньої схе-
ми його можна використати для випрямлення напруги мережі і без трансформа-
тора.До його недоліків відносять по; війну кількість випрямляючих діодів, од-
нак трансформатор у такому випрямлячі використовують найбільш повно,
оскільки нема підмагнічування магнітопроводу постійним струмом, і струм у
вторинній обмотці протікає упродовж обох півперіодів.
Однофазний випрямляч з подвоєнням напруги (див: рис. 7.5, г) це
послідовне з'єднання двох однофазних однопівперіодних випрямлячів. У пер-
шому півперіоді при додатній напрузі на аноді діода ИД1 заряджається конден-
сатор С), а в другому півперіоді струм протікає крізь діод ИЇ2, і конденсатор С»
заряджається напругою протилежної полярності. Оскільки ці конденсатори
ввімкнені послідовно, то вихідна напруга майже подвоюється. Конденсатори С! і
С, можна використовувати як елементи фільтру. Трансформатор у цій схемі
використовується так само повністю, як і у містковій.
На рисунку 7.7 приведена схема трифазного випрямляча з відведенням від
нульової точки 0" вторинних обмоток. На рисунку 7.8 - часові діаграми напруг і
струмів для цієї схем при резистивному навантаженні без фільтра.
Коефіцієнт пульсацій випрямленої напруги становить 2590, тоді | як для
двоперіодного однофазного випрямляча він становить 67 9», при чому частота пуль-
сацій у три рази вища частоти мережі живлення. Все це значно полегшує фільтрацію
випрямленої напруги, а в деяких випадках дає змогу взагалі обійтись без фільтра.
Розділ 7. Джерела вторинного живлення 299

qs
A
Mepexa
B

С;

Рис. 7.7. Схема трифазного випрямляча з від:

бо Оз, Ос

Фурі

Рис. 7.8. Форми напруг і струмів в трифазному випрямлячі


з нульовою точкою
300 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Випрямлячі з помноженням напруги за-


стосовують у високовольтних випрямлячах,
що споживають відносно невеликий струм
Uc (до 10 мА). Найбільш поширені | схеми
подвоєння та потроєння напруги. На рисунку
7.9 зображена схема подвоювача напруги з
несиметричним входом.
Вона працює таким чином. Додатний
імпульс напруги, що знімається з нижнього
Рис. 7.9. Схеми випрямляча виводу вторинної обмотки трансформатора
з помноженням напруги Тр, через діод ИРІ заряджає конденсатор С!
до амплітудного значення ,. У другому
півперіоді, коли напруга на обмотці змінює полярність, діод ИД1 закривається, а
напруга на конденсаторі С; сумується з напругою на обмотці (/) і прикладається
до діода ИР2. В результаті конденсатор С; заряджається через діод И02 прак-
тично до подвійного значення імпульсної напруги. Вихідна напруга, що
знімається з С», надходить на навантаження.
Регульовані випрямлячі. Регулювання вихідної напруги випрямляча може бу-
ти виконане різними способами: за допомогою регульованого трансформатора,
за допомогою резистивних або ємнісних подільників напруги та за допомогою
управляючих вентилів.
Розглянемо спосіб регулювання вихідної напруги випрямляча за допомогою
управляючих вентилів - тиристорів.
Структурна схема регульованого випрямляча зображена на рисунку 7.10, а.
Принциповою відмінністю цієї схеми від схеми, зображеної на рисунку 7.4, є
ввімкнення в ній регульованого вентильного блока (РВБ) і пристрою управління
(ПУ), що управляє напругою мережі.Найпростіша схема регульованого випрям-
ляча на одному тиристорі /5 показана на рисунку 7.10, б. Для ввімкнення тири-
стора необхідно виконати дві умови: напруга на аноді тиристора має бути до-
датна, а до управляючого електрода має бути прикладена додатна напруга, що
відповідає відпираючому струму. Перша умова виконується для додатних
півхвиль напруги мережі Ї,, а для виконання другої умови до управляючого
електрода тиристора подають відпираючий імпульс (/,.
Після ввімкнення тиристора управляючий електрод втрачає властивості, то-
му вимкнення тиристора відбувається, коли напруга на його аноді стане рівною
нулю. Форма імпульсів напруги на резистивному навантаженні К, без фільтра
зображена на рисунку 7.10, в. Очевидно, що момент увімкнення тиристора мож-
на регулювати в межах додатної півхвилі напруги мережі, тобто 0 с сл. Ра-
зом з тим, якщо тиристор вмикається при а - 0, то середня випрямляюча напру-
Розділ 7. Джерела вторинного живлення 301

га на навантаженні 0, буде максимальна, а якщо а - 1807, то напруга (/.,


7 0.
Такий спосіб управління тиристором називають фазоїмпульсним.

cr PBB ФП
Мережа Uae
af

Пристрій
управління

а б

Рис. 7.10. Структурна схема регульованого випрямляча (а), схема простого регульованого
вентильного блока (б) і графіки напруг на вході і виході (в)

У приведеній найпростіший схемі регульованого випрямляча пульсації на-


пруги на навантаженні достатньо великі, тому для їхнього зниження необхідно
вмикати згладжуючий фільтр (на схемі не показаний). Ємнісний фільтр тут ви-
користати не можна, оскільки заряд конденсатора через відкритий тиристор мо-
же супроводжуватися таким великим струмом, який виведе тиристор з ладу. То-
му в тиристорних регульованих випрямлячах використовують фільтри, що по-
чинаються з індуктивності.
Високочастотні випрямлячі будують за тими схемами, які були розглянуті
попередньо. Однак у цьому разі є деякі особливос ньої роботи: напруга на
вході випрямляча негармонічна і має одну з форм, зображеної на рисунку
71, а. В таких випрямлячах суттєвими є інерційні властивості діодів. За різкої
зміни напруги на вході випрямляча діоди втрачають вентильні властивості на
деякий час, залежний від швидкості їхнього включення або вимкнення
302 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

т 2x

Un
a uin+a

Же thos
У
в
Рис. 7.11. Форми напруг на вході високочастотних імпульсних випрямлячів (а),
схема простого однофазного випрямляча з ємнісним фільтром (б) і форми напруг
та струмів в ньому (в)

На рисунку 7.11, б показана схема найпростішого однофазного випрямляча з


ємнісним фільтром, використовуваного в однотактних імпульсних перетворюва-
чах. Якщо на вході випрямляча діє прямокутна імпульсна напруга з тривалістю
фронтів /і, то в установленому режимі форма струму в діоді матиме вигляд, з0-
бражений на рисунку 7.11, в.
Діод ИЮ відкривається, коли вхідна напруга стає рівна напрузі Пи на
навантаженні. Струм в діоді наростає майже лінійно і має викид, пов'язаний з
зарядом ємності Су. Після цього струм в діоді рівний струму навантаження, а на
конденсаторі С, підтримується практично незмінна напруга (у.
Розділ 7. Джерела вторинного живлення 303

У момент часу Із вхідна напруга (/ починає знижуватися, одночасно


знижується і струм діода /. Коли напруга на вході стає рівна напрузі на
навантаженні, струм діода стає рівним нулю.
Після цього полярність напруги на діоді змінюється на протилежну
починається процес розсмоктування заряду, накопиченого в р-п переході.
Струм у діоді змінює напрям. Коли процес розсмоктування нагромадженого
заряду закінчиться, струм у діоді стає рівним нулю. Тривалість інтервалу роз-
смоктування й амплітуда зворотного струму діода залежать від інерційних вла-
стивостей діода (див.: п.2.1.1). Зважаючи на сказане, для застосування в таких
випрямлячах придатні лише діоди, що мають малий час відновлення. В проти-
лежному випадку діод взагалі втрачає свої вентильні властивості.

7.1.3. Імпульсні джерела електроживлення

Типи та особливості імпульсних джерел електроживлення. Їмп. ьсні,


або ключові, джерела електроживлення сьогодні широко застосовують
унаслідок наявності в них суттєвих переваг: високий К.К.Д., малі габарити та
маса і висока питома потужність. Ці переваги досягаються завдяки застосуван-
ню ключового режиму при роботі силових елементів. У ключовому режимі ро-
боча точка транзистора більшу частину часу знаходиться в області насичення
або в області відсічки, а зону активного (лінійного) режиму проходить з висо-
кою швидкістю за дуже короткий час перемикання, при чому в області наси-

чення напруга на транзисторі близька до нуля, а в режимі відсічки в транзисторі


немає струму, завдяки чому втрати в транзисторі виявляються малими. Усі ці
процеси є причиною того, що середня за період комутації потужність,
розсіювана в ключовому транзисторі, виявляється набагато менша, ніж у
лінійному регуляторі. Малі втрати в силових ключах спричиняють зменшення
або повне вимкнення охолоджуючих радіаторів.
Покращення малогабаритних характеристик джерела живлення зумовлено
насамперед тим, що зі схеми джерела живлення усувається силовий трансфор-
матор, що працює на частоті 50 Гц. Замість нього в схему вводять
ВЧ-трансформатор або дросель, габарити і маса яких набагато менші від низь-
кочастотного силового трансформатора.
До нелоліків імпульсних джерел звичайно відносять: складність схеми,
наявність ВЧ-шумів і завад, великий час виходу на робочий режим.
Загалом порівняння характеристик імпульсних джерел та зі силовим транс-
форматором свідчить , що К.К.Д. імпульсних джерел живлення збільшується
порівняно з лінійними у відношенні 2:1, а питома потужність зростає як 4:1
При підвищенні частоти перетворення з 20 кГц до 200 кГц питома потужність
збільшується у відношенні 8:1, тобто майже у два рази. Імпульсні джерела жив-
304 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

лення мають великий час утримання вихідної напруги при раптовому


від'єднанні живлення. Це зумовлено тим, що у мережевому випрямлячі викори-
стовують конденсатори великої ємності та з високою робочою напругою
а
(до 400 В). Розміри конденсатора збільшуються пропорційно добутку С,
енергія його пропорційна С! (Р. Цієї енергії достатньо для підтримання в робочо-
му стані джерела живлення впродовж часу до 30 с, що дуже важливо для збере-
ження інформації в комп'ютерах при раптовому від'єднанні живлення.
Одночас пульсації вихідної напруги з імпульсних джерел більші, ніж у
лінійних, що зумовлене складністю подавлення коротких імпульсів при роботі
імпульсного перетворювача.
"Узагальнена структурна схема імпульсного джерела живлення зображена на
неї входять чотири основні блоки: мережевий випрямляч з
рисунку 7.12. До
ємнісним фільтром; високочастотний інвертор випрямленої напруги мережі;
пристрій управління високочастотним інвертором; вихідний високочастотний
випрямляч з ємнісним фільтром.
Мережа пост. напр.
sorn випр. напр. 20... локгц

А wpa hoe.
г,
re
| t
V | "ЧО
'
1 | 1
і Бийх
Мережа 1

as + І т
! 1
Мережевий
'
! високо | Високочастотний
і частотний ||! вирямляч і
вирямляч і
р Оші| інвертор і фільтр
фільтр
' BCom, [Gras з
|і | jum Фа Т Пристрій
і | управління
ely
Ten. (ок) ї

| їмпульсний 00 |
| | перетворювач |!

я
Рис. 7.12. Узагальнена структурна схема імпульсного джерела електроживленн

-
ВВЧ-інвертор і пристрій управління спільно утворюють імпульсний перетво
ані
рювач, який може бути індуктивним або ємнісним. Найбільше використовув
ити
в імпульсних ДВЕЖ індуктивні імпульсні перетворювачі, які можна розділ
Розділ 7. Джерела вторинного живлення

на дросельні і трансформаторні. Ємнісні перетворювачі використовують обме-


жено-для інверсії полярності або подвоєння (помноження) частоти.
Дросельні та автотрансформаторні перетворювачі відносять ло розря
імпульсних стабілізаторів напруги, які поділяють на три групи: понижуючі.
підвищуючі та інвертуючі.
Особливістю імпульсних стабілізаторів є їхній гальванічний зв'язок зі сило-
вою мережею. Для його усунення на вході імпульсного стабілізатора інолі
включають силовий трансформатор, який, однак, знижує питому потужність.
Трансформаторні імпульсні перетворювачі не мають гальванічного зв'язку з
мережею, однак їхня питома потужність нижча, ніж у дросельних.
Розглянемо роботу ключового джерела живлення, користуючись узагальне-
пою структурною схемою, зображеною на рисунку 7.12. Гармонічна напруга
мережі випрямляється мережевим випрямлячем і заряджає конденсатор фільтра,
що має достатньо велику ємність. Велика ємність фільтру мережевого випрям-
ляча забезпечує низькі пульсації випрямленої напруги і збільшує час утримання
вихідної напруги.
Ця напруга надходить на вхід імпульсного перетворювача, який перетворює
його у ВЧ-імпульси прямокутної форми.Частота імпульсної напруги звичайно
знаходиться в межах від 20 до 200 кГц, але одночасно ростуть втрати питомої
потужності в елементах перетворювача, що призводить до зниження К.К.Д.
З виходу перетворювача напруга потрапляє на ВЧ-випрямляч з ємнісним
фільтром Переважно ВЧ-інвертор працює на фіксованій частоті, а регулювання
вихідної напруги забезпечується за допомогою широтно-імпульсної модуляції
управляючих сигналів. Широтно-імпульсне регулювання виконується за допо-
могою схеми управління, на вхід якої подається вихідна напруга. Для забезпе-
чення гальванічного розділення виходу від силової мережі в трансформаторних
схемах інверторів звичайно використовуютьрізні типи пристроїв гальванічної
розв'язки: оптрони, трансформатори та ін. Форми управляючих сигналів при
широтно-імпульсній модуляції зображені на рисунку 7.13. Глибина широтно-
імпульсної модуляції характеризується коефіцієнтом заповнення: у з 4,/T, ne t;-
тривалість імпульсу управління, Т - /" - період повторення. Якщо тривалість
імпульсу становить половину періоду, то у - 0,5, тобто 5090. При збільшенні
тривалості імпульсу коефіцієнт заповнення зростає до 10090, Загалом він є в
межах 0 « у «10090.
Спосіб отримання широтно-модульованих імпульсів показаний на рисунку
7.13, 6. В схемі на рисунку 7.12 спочатку формусться сигнал помилки Ї.
узгодження). Для цього на вхід схеми управління подається вихідна на
Омих» ЯКА порівнюється в підсилювачі сигналу помилки (ПСП) з опорною напру-
гою Пн» СТвВОрюваною спеціальним ДОН.
306 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІК

Ом й , Tron.h
Bra ОО
Викл 0 зо Unr/2|
of poe т t
ht Y=75%
Вкл 0
Викл 0! . то ий
бак п п t
Вкл І y=25% й
І
Викло - >
п ТР t

Рис. 7.13. Форма імпульсів при широтно-імпусній модуляції (а) і спосіб їхнього
отримання (б)

У схемі широтно-імпульсного модулятора (ШІМ) сигнал помилки 1.


порівнюється з лінійно наростаючого напругою пилоподібної форми Пул Я:
у вихідному стані ШІМ Шу З (рм/2, де (/,,- максимальне значення пилоподії
напруги, то отримаємо, що у вихідному стані коефіцієнти заповнення у, 2 507.
Зі збільшенням вихідної напруги Usyx> Сдихпом. СИГНал ПОМИЛКИ ТА:
збільшується (Лом. 7 Шом/2, а тривалість імпульсу управління зменшується,
зображено на рисунку 7.13, б.
При зменшенні вихідної напруги (Лях З вих пом, СИГНаЛ ПОМИЛКИ зменшу
Сом. З Ом! а тривалість імпульсу збільшується.
Зміна тривалості імпульсу /; змінює час увімкненого стану силові
транзисторного ключа і, отже, пропорційно змінює вихідну напругу. Т:
ким чином, в регульованому ШІМ-інверторі забезпечується стабіліз:
вихідної напруги.

7.2. Імпульсне джерело електроживлення конструктиву А!

Загальні відомості. З часу використання системних блоків персональ


комп'ютерів їх укомплектовували імпульсними джерелами живлення, побуді
ними на основі імпульсних перетворювачів напруги з безтрансформатор!
під'єднанням до первинної мережі. Функціональні вдосконалення зумовили
ку стандартизацію підходу в їхньому розробленні, підвищення характери:
надійності і показників електромагнітної сумісності. У зв'язку з цим б.
запроваджені стандарти - так звані форм-фактори, за якими дж
класифікують згідно з їхньою конструкцією та практичним використанням |
Розділ 7. Джерела вторинного живлення 307

Домінуючим стандартом на сьогодні є форм-фактор АТХ, який масово викори-


стовують з 2001 року для персональних настільних комп'ютерів. Він визначає
такі характеристики: геометричні розміри материнських плат, загальні вимоги
щодо розміщення роз'ємів та отворів на корпусі, форму і положення низки
роз'ємів (головно живлення), геометричні розміри блоків живлення, положення
кріплень блока живлення в корпусі. електричні характеристики блока живлення.
За цей час специфікація зазнала низки змін, які позначилися на стандартах:
АТХ 1.0 Standard; ATX 1.1 Standard; ATX 1.2 Standard; ATX 1.3 Standard; ATX
2.0 Standard; ATX 2.01 Standard; ATX 2.1 Standard; ATX 2.2 Standard; ATX 2.3
Standard; ATX 2.31 Standard; ATX 2.32 Standard; ATX 2.4 Standard. Основними
відмінностями стандарту АТХ від АТ є: 1) живленням процесора керує мате-
ринська плата. Для забезпечення роботи управляючого блока їі деяких
периферійних пристроїв навіть у невімкнутому стані на плату подається чергова
напруга 5 і 3,3 В; вентилятор на задній стінці блоку живлення може бути
замінений (доповнений) вентилятором, встановленим на дно БЖ, що дає змогу
створити більший повітряний потік за менших обертів та нижчим рівнем шуму.
Розміщення слементів на материнській платі зорієнтовано таким чином, що
радіатор процесора знаходиться на шляху повітряного потоку від вентилятора
блоку живлення; 2) в стандарті АТХ використовується роз'єм з ключем, який
має однозначне ввімкнення. Внаслідок збільшення споживаної комп'ютером
потужності, кількість контактів у АТХ роз'ємі живлення зросла спочатку до 20,
потім до 24. Разом з тим виникли додаткові роз'єми: спочатку чотири-, а потім
восьмиконтактні, що підводять 12 В по окремій лінії живлення; 3) в стандарті
АТХ роз'єми для клавіатури (та миші) традиційно розміщують зверху, решта
місця на задній панелі зайнята прямокутним отвором фіксованого розміру, який
виробник материнської плати може наповнювати роз'ємами в довільному плані.
Увімкнення і вимкнення живлення блоків контролюється системною пла-
тою, що забезпечує підтримання таких функцій, як режим очікування. Остання
версія стандарта блоку живлення АТХ - - 2.4 опублікована у квітні 2013 року.
Габаритні та приєднувальні розміри блоків живлення типу АТХ та роз'єми
уніфіковані, що дає можливість проводити їхню заміну без додаткових
труднощів.
Комп'ютерний блок живлення для платформи РС забезпечує вихідні напруги
25, 2 12, 13,3 В. Здебільшого використовують імпульсний блок живлення. Хоча
абсолютна більшість мікросхем використовує напругу до 5 В, уведення лін
12 В дає можливість віддавати більшу потужність при тих же струмах, яка
потрібна для живлення приводів жорстких дисків, оптичних приводів,
вентиляторів, а останнім часом і системних плат, процесорів, відесадаптерів,
звукових карт.
308 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

У 2003 році фірма Іисі анонсувала новий стандарт - ВТХ задля підвищення
ефективності охолодження системного блока. Однак зміну формату БЖ
комп'ютерна індустрія не сприйняла, оскільки для розв'язання проблеми
розсіяння теплової потужності комп'ютерної системи був вибраний шлях її зни-
ження через оптимізацію теплових процесів в окремих компонентах. За станом
на 2018 рік форм-фактор АТХ та його похідні мають найбільш широке викори-
стання.

Структурна схема. Структурна схема імпульсного блока живлення персо-


нального комп'ютера конструктиву АТХ подана на рисунку 7.14.

Мережевий | | ( Випрямляч Підсилювач и


Мережабо-Г | tim Ж op. Diy потужності Пранафовматор
Cy Lyla 9-0 З

втогенераторяг| (Узгоджуючий Buse


допоміжне каскад випрямлячів
eae Q;, Os De-VD3
21,23 e б

a | p 1 |
TIM a Вторинні
ни
Crabinsaro
каналу 5ИБВ ; ши
Ic3 трів

J Схема
ню вимірювання
перенапруг

Рис. 7.14. Структурна схема блока живлення типу АТХ

У розглядуваному блоці вхідна змінна напруга 220 В, 50 Гц надходить у


вхідний каскад імпульсного перетворювача напруги: мережевий фільтр. Він при-
значений для усунення завад, що наявні в промисловій мережі змінного струму.
Крім того, в напрямку від джерела живлення в мережу також поширюються пе-
решкоди, вироблені самим перетворювачем і частково імпульсними пристроями
електронної схеми вичислювального пристрою. Перешкода такого роду є кон-
дуктивною, тобто може поширюватися в провідниках мережі живлення та
джерелі вторинного живлення. Перешкоди, що поширюються провідниками,
можуть бути симетричними і несиметричними. Оскільки заздалегідь вид переш-
код передбачити складно, то схему фільтру будують, зважаючи на придушення
обох видів перешкод.
Далі, згідно зі структурною схемою (див.: рис.7.14), до виходу мережевого
фільтра під'єднується випрямляч, виконаний за двопівперіодною схемою. До
його складу входить селектор вхідної напруги - перемикач, установлений в
Розділ 7. Джерела вторинного живлення 309

корпусі джерела живлення. Позиції перемикача позначені на його корпусі.. По-


ложення перемикача визначають за маркуванням, яке видно крізь спеціальне
віконце. За його допомогою обирають вибір номінал напруги мережі 115 або
220 В. Навантаженням випрямляча є: напівмістковий підсилювач потужності
основного високочастотного перетворювача напруги первинної мережі і низько-
потужна схема автогенераторного допоміжного джерела. У вторинну ланку ав-
тогенераторного допоміжного джерела входить схема лінійного параметричного
стабілізатора для формування напруги 75 В, що забезпечує живлення елементів
комп" ютера впродовж чергового режиму. Для гальванічної розв'язки з вторин-
ними напругами живлення до підсилювача потужності під'єднаний імпульсний
трансформатор ТЗ. Позиційно позначають трансформатор за принциповою схе-
мою джерела живлення. Імпульсні напруги з вторинних обмоток трансформато-
ра надходять на блок випрямлячів. У схемах випрямлячів вторинних напруг ви-
користовують діоди різних модифікацій, що визначається номінальним струмо-
вим навантаженням кожного окремого каналу. У вторинному каналі напруги
43,3 В уведений додатковий стабілізатор. Регулювання і підстроювання
номіналів вторинних напруг усіма каналами відбу вається за допомогою системи
зворотного зв'язку, вхід якої під'єднаний до виходів блока фільтрів
Для управління роботою підсилювача потужності в колі зворотного
зв'язку застосований каскад широтно-імпульсного модулятора тривалості
імпульсів збудження. ШІМ-каскад формує сигнали про збільшення надходження
її у вторинні ланки або про її скорочення після порівняння сигналу, що
з еталонним рівнем. Відповідно до цього відбувається модуляція
імпульсів, які через узгоджувальний каскад, який підсилює їх, пода-
ються на вхідні кола підсилювача потужності. Вплив на ШІМ-регулятор
проявляється не тільки зі зміною вторинних напруг у межах діапазону регулю-
вання, відповідного нормальній роботі, але й у випадку виникнення екстренної
ситуації | (неконтрольованого | збільшення або зниження | напруги |на
навантаженні). Ключова схема вимірювання перенапруг впливає на ШІМ-
модулятор, блокуючи його роботу в разі виникнення аномальних процесів в
ланках навантаження.
Принципова схема. Повна принципова схема імпульсного блока живлення з
максимальною вторинною потужністю 230 Вт зображена на риунку 7.15. Всі її
елементи розміщені на одній однобічній друкованій платі. Для захисного
від'єднання схеми первинного перетворення вхідної напруги при несправності у
вхідній ланці перед протизавадним фільтром встановлений плавкий запобіжник.
Струм його спрацювання становить 5 А при вхідній напрузі 250 В. Граничні па-
раметри запобіжника обрані з урахуванням технологічного запасу. Необхідність
вибору запобіжника з таким запасом зумовлена використанням ємнісного
фільтра, встановленого після діодного випрямляча. Відповідно до закону
310 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

комутації, напруга на конденсаторі не може змінюватися миттєво (стрибком),


тобто в початковий момент під'єднання перетворювача до мережі живлення
конденсатори фільтра С; і Сб є короткозамкнуті елементи. У цей момент через
ланку вхідного фільтра відбувається стрибок струму, який знижується з заряд-
женням цих конденсаторів. Загальний струм споживання, що протікає через
запобіжник у процесі нормальної роботи перетворювача, залежить від величини
під'єднаного навантаження і К.К.Д. джерела. Типономінал запобіжника вибира-
ють ураховуючи максимальний початковий кидок струму. Обмежувачем пуско-
вого струму і для забезпечення плавного зарядження ємностей перетворювача
використовують терморезистор ХТСК І. Він має від'ємний коефіцієнт опору (по-
значений на схемі - г), тому при нагріванні його опір зменшується. У вихідному
(холодному) стані терморезистор володіє опором, рівним декільком Омам, тому
в початковий (пусковий) момент він виконує функції обмежувача струму. В
процесі роботи схеми перетворювача поступово розігрівається терморезистор,
водночас його опір знижується до кількох десятих Ома. У робочому режимі він
не має помітного впливу не лише на роботу схеми, але й на енергетичні показ-
ники джерела живлення.

Далі за схемою між запобіжником і діодним випрямлячем увімкнений


індуктивно-ємнісний мережевий фільтр, виконаний на елементах С,, Іл, С», 1»,
Сз і С., Він необхідний для завадоусунення як зовнішніх перешкод, що прони-
кають з мережі живлення на вхід джерела, так і для внутрішніх, що виникають
при роботі ВЧ-перетворювача. У фільтрі використані індуктивні елементи,
виготовлені з застосуванням високочастотних феритових сердечників - дроселі
14 11», Оскільки в сучасних апаратних засобах обчислювальної техніки застосо-
вують імпульсні пристрої (цифрові логічні елементи електронних схем,
імпульсні джерела живлення), основний спектр перешкод зміщений в області
частот з нижньою межею 20-30 кГц. Для зниження рівня несиметричних завад
використовують ланку П-типу, що складається з декількох елементів: конденса-
тора С), дроселя Т; і конденсатора С». Друга ланка фільтра, виконана на таких
слементах: конденсаторі С, дроселі Т; з двома обмотками, ввімкненими
назустріч одна одній (зазначено на схемі точками), конденсатори С; і Оз -
призначені для фільтрації симетричних перешкод. Елементи фільтра вибрані
таким чином, що загасання перешкод зі збільшенням частоти їхніх спектральних
складових щодо частоти зрізу фільтра безперервно зростає. Енергія, накопичена
в індуктивно-ємнісних елементах вхідного фільтра, дає можливість компенсу-
вати короткочасні зриви напруги живлення. Точка з'єднання конденсаторів С, і
Сз виведена на корпус і під'єднана до захисного заземлення. Така ж конструкція
протизавадного фільтра передбачає обов'язкове заземлення корпусу приладу.
Якщо цього не зробити, то на корпусі буде наявний потенціал, рівний половині
напруги живлення. В цьому варіанті схеми імпульсного джерела живлення не
Розділ 7. Джерела вторинного живлення 311

застосовуване автоматичне розпізнавання номіналу напруги первинної мережі


живлення. Значення вхідної напруги вибирає користувач 1 встановлює
комутацією перемикача 5), який зображений на принциповій схемі (див. рис.
745). При напрузі первинної мережі у 220 В середній контакт перемикача
залишається вільним. Якщо джерело живлення ввімкнене в мережу з напругою
115 В, то середній контакт перемикача при комутації з" єднується з точкою
з'єднання конденсаторів С; і С, Розглянемо, як перемикач діє на схому. В
положенні перемикача, відповідно до вхідної змінної напруги 220 В. в роботі
задіяні всі діоди двопівперіодного випрямляча Ді; - Фі, Чинне значення
випрямленої напруги, виміряне на позитивній обкладці конденсатора Cs щоло
негативної обкладки С;, становить 220 Вх 1,41 - 310 В. Саме на напруги, близькі
до цієї величини, розраховані всі робочі режими підсилювача потужності.
вторинні ланки і параметри стабілізації ШІМ-формувача. Якщо зберігати схему
випрямляча без зміни, то при переході на живлення від зниженої напруги, тобто
110 В, діюче значення напруги має знизитися до рівня 110 В х1,41 - 155 В. Для
того, щоб значення випрямленої напруги He змінилося, перемикачем
під'єднують один з фазних проводів первинної мережі до точки з'єднання
конденсаторів С; і С,, У цьому випадку схема під'єднання виглядає так, як зоб-
ражено на рисунку 7.16. Перемикач 5; тут має замкнене положення.Вихідна
випрямлена напруга буде мати значення 7 325 В. Загальна потужність спожи-
вання джерелом живлення від мережі при зміні напруги зберігає своє значення.
Але при живленні від напруги 110 В струм споживання зростає приблизно в два
рази порівняно з аналогічними умовами роботи при живленні джерела від на-
пруги 220 В. Зважаючи на сказане, положення перемикача селектора вхідної
напруги необхідно обирати особливо обережно.
Контролюють рівень вхідної напруги за допомогою двох варисторів 4, і 7»,
встановлених у вхідній ланці джерела живлення. Якщо напруга на варисторі не
перевищує певного значення, то його опір залишається високим і практично не
змінюється. У разі підвищення напруги його опір різко знижується. Цю
здатність варисторів використовують і для створення вузла захисту віл
підвищення вхідної напруги живлення. Він розрахований на спрацьовування при
напрузі, що перевищує значення 260 В, коли їхній опір знижується настільки,
що збільшений струм випалює запобіжник (7.
Загальний принцип функціонування джерела живлення полягає в такому
після поданняна вхід джерела змінної напруги живлення, випрямлення його мос-
том на діодах Ду; - Дід та фільтрації на згладжуючому фільтрі, утвореному лро-
селем Ід і конденсаторами С3, С,, постійна напруга з номінальним значенням
310 В надходить на каскад підсилювача потужності, основними активними еле-
ментами якого є транзистори Qo, Ovo, 1 Ha каскад однотактного високочастотного

перетворювача, виконаного на транзисторі 05. Якщо випрямлена напруга жив-


312 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

лення перевищує 7 150 В х 1,41 - 254 В (рівень нижньої межі напруги живлен-
ня), відбувається самозбудження перетворювача на О,. До складу каскаду цього
автогенератора входить трансформатор 7,, до вторинної обмотки якого під"
єднані випрямлячі на діодах Ю) і Щ)., з виходу яких знімається напруга для жив-
лення ШІМ-формувача і стабілізатора каналу живлення схеми комп'ютера в
черговому режимі (15 У5В). Один вивід вторинної обмотки трансформатора Т;
приєднаний до загального проводу вторинного живлення. Випрямлячі для ШІМ-
каналу і стабілізатора напруги живлення в черговому режимі під'єднані до двох
увімкнених послідовно напівобмоток трансформатора 75. Випрямляч ШІМ-
формувача утворений діодом Д». Фільтрує напругу з виходу цього випрямляча
конденсатор С. Випрямляч і фільтр в каналі чергового режиму (55 VSB)
утворені діодом Ю; і конденсатором С),.При надходженні живлення ШІМ-
перетворювач запускається і починає формувати імпульсні сигнали для збуд-
ження підсилювача потужності, який виконаний на транзисторах 09 і Од за
напівмостовою | схемою.Для нормальної роботи підсилювача | потужності
необхідно, щоб транзистори відкривалися чергово та в різні проміжки часу. Для
вімкнення транзисторів за напівмостовою схемою треба, щоб була усунута
можливі. ть їхнього одночасного відкривання і протікання струму через них,
ос ільки це виведе їх з ладу.Забезпечення коректної роботи транзисторів сило-
вого каскаду виконується за логікою формування керуючих послідовностей
імпульсів ШІМ-регулятора. З вторинних обмоток трансформатора ТЗ імпульсні
напруги надходять у вторинні ланки, де відбувається їхнє випрямлення і
фільтрування. Отримані напруги потім стабілізуються і використовуються для
живлення. До каналів вторинних напруг під'єднані сенсори, які виконують
функції вимірювальних ланок з виявлення короткого замикання в навантаженні,
неконтрольованого підвищення напруг у каналах і контролю поточного рівня
основних вторинних напруг. Їхні сигнали впливають на ШІМ-перетворювач,
визначаючи характер його роботи в кожен момент часу.
Розглянемо послідовно функціонування і роботу всіх основних вузлів
імпульсного джерела: автогенераторне допоміжне джерело на транзисторі 05;
ШІМ-регулятор, підсилювач потужності, канали вторинних напруг, ланки за-
хисту джерела живлення.
Розділ 7. Джерела вторинного живлення 313

20 wr /s00
орі

С ВЕ
ів

8 i i
б
Рис. 7.15. Принципова схема імпульсного блока живлення конструктиву АТХ
314 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

оч
3258

Рис. 7.16. Схема під'єднання джерела живлення до мережі з напругою 110 В

Автогенераторне допоміжне джерело. Автотенераторне допоміжне дже-


рело (див.: рис. 7.17) на транзисторі О» виконане за схемою однотактного пере-
творювача з трансформатором, який насичується. У випрямлячах вторинних
каналів використана схема зі зворотним увімкненням випрямного діода, тобто
струм через діод і навантаження протікає під час закритого стану силового тран-
зистора Оз. У момент подання живлення на каскад автогенератора на базу тран-
зистора 0; через послідовно з" єднані резистори В)» і К, надходить напруга по-
чаткового зсуву. Транзистор О; відкривається, через нього і первинну обмотку
трансформатора 7, під'єднану між колектором О; і позитивним полюсом напру-
ги живлення, починає проходити струм. Він наводить е.р.с. самоіндукції в
обмотці зворотного зв'язку, намотаною на цей же сердечник і пі д'єднаної до
базової ланки транзистора Q; таким чином, що виникає імпульс позитивної

полярності через конденсатор С,; і резистор К,, який надходить на базу (5 і


підтримує процес відкривання транзистора 05. Під час цього транзистор перехо-
дить у стан насичення. Напруга на ньому мінімальна, а величина струму зале-
жить від індуктивного опору первинної обмотки Т;. Наростання струму в
первинній обмотці 7, триватиме до настання насичення її сердечника, потім
зникає імпульс напруги в обмотці зворотного зв'язку, що підтримує транзистор
О; в стані насичення. В результаті полярність напруги на обмотці зворотного
зв'язку різко змінюється на протилежну, починається процес закривання транзи-
стора і перемагнічування сердечника. На базу транзистора О; надходить зами-
каючий потенціал. Транзистор О; знову відкривається після перезарядження
конденсатора С; через резистор Кз і наростання напруги зміщення на ньому до
рівня відкриття транзистора 05. Тимчасові параметри роботи цієї схеми зада-
ються значеннями опору резистора В)», ємності конденсатора Сі; і індуктивними
характеристиками обмоток трансформатора Т;.
Розділ 7. Джерела вторинного живлення

Рис. 7.17. Схема автогенераторного допоміжного джерела

Накопичення енергії в сердечнику трансформатора Т; проходить упродовж


відкритого стану транзистора. Вторинні обмотки трансформатора Т, під'єднані
до випрямлячів таким чином, що в момент відкривання транзистора о до вип-

рямляючих діодів ДЮ, і До надходить негативна запираюча напруга. Коли


полярність напруги в обмотках трансформатора Т, змінюється, транзистор 0;
закривається, і до діодів випрямлячів /), і Д), подається відпираюча додатна на-
пруга. Діоди відкриваються, через них протікає струм у навантаження і конден-
сатори фільтрів. Демпфуюча ланка з діода Д», резистора К, і конденсатора С)
знижує рівень викидів напруги при перемиканні транзистора. Стабілітрон. |з»,
резистор К» і діод ИЮ» працюють у ланці зміщення бази транзистора 0», а в мо-
менти комутації надають демпфуючий вплив на перехід база - емітер.У разі
відсутності навантаження джерела живлення конденсатори випрямних фільтрів
заряджаються до амплітудного значення імпульсної напруги. В цьому випадку
напруга на виході випрямляча каналу 15 /5В становить 120 В, а на катоді діода
ДР, (випрямляч каналу живлення ШІМ-перетворювача) вона рівна 415 В. Для
забезпечення стабілізації напруги Ч5И5В в каналі встановлений інтегральний
стабілізатор (7805).
ШИМ-регулятор. Перетворювач імпульсів, який функціонує за принципом
модулювання їхньої ширини, є одним з основних каскадів імпульсного джерела
живлення: саме від ШІМ-регулятора залежить надходження всієї енергії у
вторинні кола живлення. Згідно з принциповою схемою, зображеною на рисунку
7.15, безпосередньо каскад ШІМ-перетворювача містить вузли, побудовані 1
таких активних елементах: мікросхема ICI типу ТІ.494 -- ШІМ-перетворювач
Ланки пасивних елементів, під'єднані до мікросхеми, задають динамічні пара-
метри її роботи, а також є складовими сенсорів контролю рівнів вторинних
пруг; група елементів на транзисторах 0», О; і трансформаторі 7, утворює к
316 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

кад узгодження рівня та потужності імпульсних сигналів між виходом ШІМ-


перетворювача і вхідними ланками підсилювача потужності; вузол на транзи-
сторах 0, 0», 0-0, встановлений для ШІМ-регулятора з метою отримання
сигналів при неконтрольованому зростанні або зниженні рівнів вторинної на-
пруги. Його використовують також для захисту вторинних ланок.
Функціональна схема інтегрального ШІМ-перетворювача типу ТІ494 зоб-
ражена на рис тку 7.18 має: підсилювач помилки за напругою 13; підсилювач
помилки за сигналом обмеження струму 044; два вихідні транзистори ТІ і
УТО з відкритими колекторами й емітерами; динамічний двотактний Д-тригер у
режимі ділення частоти на 2 - р/02.
Розглянемо детально роботу цієї управляючої мікросхеми. Вона спеціально
розроблена для керування силовою частиною БЖ і містить у своєму складі (див.:
рис. 7.18): генератор пилоподібної напруги ДАб. Його частота залежить від
номіналів резистора 1 конденсатора, під'єднаних п'ятого і шостого виводів, і в
цьому класі БЖ її вибирають рівною приблизно 60 кГц; джерело опорної
стабілізованої напруги РАЗ з зовнішнім виходом (вивід 14); компаратор
"мертвої зони" 041; компаратор ШІМРА2; допоміжні логічні елементи ДД1
(2-ABO), DD3 (2-1), DD4 (2-1), DDS (2-ABO-HE), DD6 (2-ABO-HE), DD7 (HE);
джерело постійної напруги з номіналом 0,1 В Д)47; джерело постійного струму з
номіналом 0,7 мА Р48.

є DA6
TEHEPATOP| Із
5 DAL DD DD3 з
яв р ен> орі Dy =7 z роз ут
i al
mal 9
У
Щоuo

> В Дж
о
о
-

& ла ута
x
З

2 ерело
то постійного
15 РЕурз| СТРУМУ З
15 в Фр DAST
—?e_] рн 14
асан 0 УМА. дон Б
DO с
Рис. 7.18. Функціональна схема ШІМ-перетворювача
Розділ 7. Джерела вторинного живлення 317

Усю сукупність функціональних вузлів, які входять до склалу ЇМО Ті 494.


можна умовно розбити на цифрову й аналогову частини (цифровий та аналого-
вий тракти проходження сигналів), До аналогової частини ві носять
підсилювачі помилок Д43, 044, компаратори РАІ, ДА2, генератор пилополібної
напруги Д46, а також допоміжні джерела 045, РА7, РА8. Усі інші елем
в т. ч. і вихідні транзистори, утворюють цифровий тракт.
Розглянемо роботу пифрової частини. Часові діаграми, що пояснюють робо-
лу мікросхеми, подані на рисунку 7.19. Очевидно, що моменти появи вихідних
керуючих імпульсів мікросхеми, а також їхня тривалість (діаграми 12 і 13) за-
даються станом виходу логічного елемента ДФІ (ліаграма 5). Решта "7 "
виконує лише допоміжну функцію поділу вихідних імпульсів ОЇ на два кана-
ли. Разом з тим тривалість вихідних імпульсів мікросхеми визначається
тривалістю відкритого стану її вихідних транзисторів УТІ, ИТ2. Оскільки обидва
ці транзистори мають відкриті колектори та емітери, то можливе двояке їхнє
під'єднання. У разі ввімкнення за схемою з загальним емітером вихідні імпульси
знімаються з зовнішніх колекторних навантажень транзисторів (з виводів 8 1 1Ї
мікросхеми), а самі імпульси спрямовані викидами вниз від позитивного рівня
(передні фронти імпульсів негативні). Емітери транзисторів (виводи 9 i 10
мікросхеми) в цьому разі зазвичай заземлюють. При ввімкненні за схемою з за-
гальним | колектором зовнішні навантаження / під'єднують до емітера
транзисторів, і вихідні імпульси, спрямовані в цьому випадку викидами вгору
(передні фронти імпульсів позитивні), знімаються з емітерів транзисторів УТІ,
УТО. Колектори цих транзисторів під'єднуються до шини живлення керуючої
мікросхеми.
Вихідні імпульси інших функціональних вузлів, які входять до складу циф-
рової частини мікросхеми ТІ494, спрямовані викидами вгору, незалежно від
схеми ввімкнення мікросхеми. Тригер ДД2 є двотактним динамічним D-
тригером. Принцип його роботи полягає в такому. За переднього (додатного)
фронту вихідного імпульсу елемента ШІ стан входу D тригера DD2
записується у внутрішній регістр. Фізично це означає, що перемикається перший
з двох тригерів, що входять до складу РР2. Коли імпульс на виході елемента
РР закінчується, то по задньому (негативному) фронту цього імпульсу
перемикається другий тригер у складі ДД2, і стан виходів ДД2 змінюється (на
виході О з'являється інформація, лічена з входу Р). Це виключає можливість
появи відкриваючого імпульсу на базі кожного з транзисторів ИТІ, УТ2 двічі
протягом одного періоду. Дійсно, поки рівень імпульсу на вході С тригера 002
не змінився, стан його виходів не зміниться. Тому імпульс передається на вихід
мікросхеми по одному з каналів, наприклад верхнього 003, DDS, VT\. Опісля
закінчення імпульсу на вході С, Tpurep DD2 nepemuxactca, 3aMHKae Bepxuilt i
відмикає нижній канал DD4, DD6, VT2. Tomy черговий імпульс, який налхолить
318 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

на вхід С і входи 005, ДО, передаватиметься на вихід мікросхеми по нижньо-


му каналу. Отже, кожен з вихідних імпульсів елемента ДР своїм від'ємним
фронтом перемикає тригер ДД2, змінюючи канал проходження чергового
імпульсу.

Un вих
43.2. BL-y-sy--ay- Sr р
100 MB Z| Z|

sf fii
ii iti iit..e

©
eel
ее
на реа
ee Nee
et ere

Б 1 и ri та
lyn) Fh | hi po ї —

yt з a щ і
ok і T T : T 1 TT i wi
уп 1 | І ra a| '
Ko i To i ot @
бут it ii г ТІ

Рис. 7.19. Часові діаграми, що демонструють роботу мікросхеми ТІ494

Розглянемо детально один період роботи цифрового тракту мікросхеми.


Поява відчиняючого імпульсу на базі вихідного транзистора верхнього УТІ або
нижнього И72 каналів визначається логікою роботи елементів 005, РР
(2 І-НЕ) і станом елементів 003, Др (2-1), яке, своєю чергою визначається
станом тригера 002. Логіка роботи елемента 2-АБО-НЕ, як відомо, полягає в
тому, що на виході такого слемента виникає напруга високого рівня (логічна 1)
лише в єдиному випадку, якщо на обох його входах наявні низькі рівні напруг
(логічні 0). При інших можливих комбінаціях вхідних сигналів на виході еле-
мента 2 АБО-НЕ наявний низький рівень напруги (логічний 0). Якщо ж на
Розділ 7. Джерела вторинного живлення 319

виході тригера ДД2 наявна логічна | (момент 11 діаграми 3, рис 5, 6), a Ha


виході - логічний 0, то на обох входах елемента )03 (21) опиняться логічні | i,
отже, логічна Ібуде на виході РО3.а значить і на одному з входів елемента DDS
(СІ-НЕ) верхнього каналу. Отже, незалежно від рівня сигнал що надходить на
другий вхід цього елемента з виходу елемента рРІ, станом виходу 0Д5 буле
логічний 0, а транзистор У7І залишиться в закритому стані. Станом же виходу
елемента 004 буде логічний 0, оскільки логічний 0 наявний на одному З вхолів
ррА, надходячи туди з виходу тригера 2. Логічний 0 з виходу елемента DD4
надходить на один з входів елемента 06, забезпечуючи можливість прохол-
ження імпульсу через нижній канал. Цей імпульс позитивної полярності (логічна
ї) виникне на виході DD6, a omKe i Ha базі V72 на час паузи між вихідними
імпульсами елемента ДІ (тобто на час, коли на виході ДФІ наявний логічний 0
- інтервал діаграми 5, рис. 7.19.) Тому транзистор УТ2 відкривається і на його
колекторі виникає | імпульс викидом вниз від позитивного рівня (у разі
ввімкнення за схемою з загальним емітером).
Початок наступного вихідного імпульсу елемента ДП! (момент діаграми 5,
рис. 7.19) не змінить стану елементів цифрового тракту мікросхеми, за винятком
елемента Дб, на виході якого виникає логічний 0, і тому транзистор /72
закриється. Завершення вихідного імпульсу DD1 (Moment) зумовить зміну стану
виходів тригера 002 на протилежний (логічний 0 - на виході, логічна 1 - на
виході), тому зміниться стан виходів елементів DD3, DD4 (на виході 03 -
логічний 0, на виході ДД4 - логічна 1). Розпочата в момент пауза на виході сле-
мента ДР зумовить можливість відкривання транзистора УТІ верхнього кана-
лу. Логічний 0 на виході елемента ДД3 "засвідчить" цю можливість, перетво-
рюючи ї в реальну появу відчиняючого імпульсу на базі транзистора УТІ. Цей
імпульс триває до моменту, після якого ИТІ закривається, і процеси повторю-
ються. Отже, основна ідея роботи цифрового тракту мікросхеми полягає в тому,
що тривалість вихідного імпульсу на виводах 8 ї 11 (або на виводах 9 і 10) зале-
жить від тривалості паузи між вихідними імпульсами елемента ОРІ. Елементи
рр3, РДА визначають канал проходження імпульсу за сигналом низького рівня,
поява якого чергується на виходах тригера 002, керованого тим же елементом
рр. Елементи 005, Дб реалізують схему збігу за низьким рівнем. Для повно-
ти опису функціональних можливостей мікросхеми необхідно відзначити ще
одну важливу її особливість. Як видно з функціональної схеми, входи елементів
рр3, ДРА об'єднані і виведені на вивід 13 мікросхеми. Тому якщо на цей вивід
подана логічна І, то елементи 103, ДОА працюватимуть як повторювачі
інформації з виходів тригера 002. Водночас елементи 05, Др і транзистори
УТІ, УТ2 перемикатимуться, зсуваючись по фазі на половину періоду та забез-
печуючи роботу силової частини БЖ, побудованої за двотактною напівмостовою
схемою. Якщо на вивід 13 буде поданий логічний 0, то елементи 003, 04 бу-
320 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

дуть заблоковані, тобто стан виходів цих елементів не змінюватиметься


(постійний логічний 0). Тому вихідні імпульси елемента ДР впливатимуть на
елементи DDS, рДб однаково. Елементи 005, ДР, а отже, і вихідні транзисто-
ри УТІ, УТ2 будуть перемикатися без зсуву по фазі (одночасно). Такий режим
роботи керуючої мікросхеми використовують тоді, коли силова частина БЖ ви-
конана за однотактною схемою. Колектори й емітери обох вихідних
транзисторів мікросхеми в цьому випадку об'єднуються з метою підсилення. Як
«жорстку» логічну одиницю в двотактних схемах використовують вихідну на-
пругу внутрішнього джерела мікросхеми (вивід тринадцятий мікросхеми
об'єднують з виводом 14).
Розглянемо роботу аналогового тракту мікросхеми. Стан виходу DDI
визначається вихідним сигналом компаратора ШІМ Д42 (діаграма 4), що надхо-
дить на один з входів ДР. Вихідний сигнал компаратора ДА! (діаграма 2), що
надходить на другий вхід ОРІ, не впливає в нормальному режимі роботи на
стан виходу ДФІ, який визначається більш широкими вихідними імпульсами
ШІМ-компаратора ДА2. Крім того, з діаграм на рисунку 7.19 видно, що при
змінах рівня напруги на неінвертуючому вході ШІМ-компаратора (діаграма 3)
ширина вихідних імпульсів мікросхеми (діаграми 12, 13) буде пропорційно
змінюватися. У нормальному режимі роботи рівень напруги на неінвертуючому
вході компаратора ШІМ РА2 задається тільки вихідною напругою підсилювача
помилки РА3 (оскільки він перевищує вихідну напругу підсилювача 144), яка
залежить від рівня сигналу зворотного зв'язку на його неінвертуючому вході
(вивід 1 мікросхеми). Тому при поданні сигналу зворотного зв'язку на вивід 1
мікросхеми ширина вихідних імпульсів змінюватиметься пропорційно до зміни
рівня цього сигналу зворотного зв'язку, який, своєю чергою змінюється
пропорційно до змін рівня вихідних напруг БЖ, оскільки зворотний зв'язок за-
водиться саме звідти. Проміжки часу між вихідними імпульсами на виводах 8 і
1 мікросхеми, коли обидва вихідні транзистори МУТІ і /72 закриті, називають
«мертвими зонами". Компаратор ДАЇ називають "компаратором мертвої 30-
ни", оскільки він визначає мінімально можливу її тривалість.
Силовий каскад. За принциповою схемою, зображеною на рисунку 7.15,
конденсатори С; і С, разом з силовими транзисторами 0» і Ото утворюють схему
повного моста, в діагональ якого послідовно з конденсатором С, приєднана пер-
винна обмотка силового імпульсного трансформатора ТЗ. Паралельно до кожно-
го силового транзистора Oy і Ор встановлені (захисні mio Do; i Dos,
призначені для усування викидів напруги в моменти комутації транзисторів.
Вони також служать для часткового повернення енергії, запасеної в силовому
імпульсному трансформаторі в джерело первинного живлення.Наявність кон-
денсатора (С, запобігає насиченню сердечника трансформатора ТЗ при
виникненні асиметрії тимчасових інтервалів протікання струму через первинну
живлення 321
Розділ 7. Джерела вторинного

обмотку Т3. Конденсатор С» усуває постійну складову в циклі пере-


струмового навантаження
магнічування сердечника і цим запобігає збільшенню
обмотки трансформатора 13;
на одному з силових транзисторів. До первинної
призначена для гасіння паразитних
під'єднана КС- ланка на слементах Ка; і Сух,
управлінням імпульсів,
високочастотних коливань. Силовий каскад працює під
форматора Т2 узгоджують-
сформованих ШІМ-регулятором.За допомогою транс
каскаду.Вхід
ся рівні імпульсів між ШІМ-регулятором і входом силового
ками трансформатора 72
підсилювача потужності утворений вторинними обмот
і базами транзисторів О» і
та елементами, встановленими між цими обмотками
матора Т2 під'єднана до
Оці» Кожна з вторинних сигнальних обмоток трансфор
ю пасивних елементів і
відповідного силового транзистора між базовою ланко
обмоток трансформатора Т2
емітером цього транзистора.Ввімкнення вторинних
раючих імпульсів в базові
вибрано таким чином, щоб забезпечити подання відпи
мою ЇСІ сформовані дві
ланки транзисторів 05 і Оп У протифазі. Мікросхе
на двотактний підсилювальний каскад на
послідовності сигналів, що надходять
у вторинних обмотках трансфор-
транзисторах 0, і Ов. Шд час кому тації 0. і Оу,
закривання транзистора Qs
матора Т2 індукується е.р.с. самоїнду кції. У разі
нній обмотці, приєднаній до
імпульс позитивної полярності з'являється на втори
истора О, аналогічний
базової ланки транзистора 0». Отже, при замиканні транз
Qyo. Кожен з
сигнал виникає на обмотці, з'єднаній з базовою ланкою
истором. Сигнали управління,
транзисторів у парі 0» 1 О; управляє силовим транз
в часі і розділені паузами -
тобто імпульси позитивної полярності, рознесені
працюють у ключовому
інтервалами "мертва зона". Транзистори Оз ї Оі
гово під'єднують вивід
режимі. Вмикаючись почергово, вони так само почер
колектор Ощо) до позитивно-
первинної обмотки 13 (точка з'єднання емітер О» -
дка конденсатора С;
го потенціалу джерела первинної напруги (позитивна обкла
ра С. При відкритому
або негативного (негативна обкладка конденсато
тора 13 протікає струм по
транзисторі О, через первинну обмотку трансформа
тор-емітер транзисто-
колу: позитивна обкладка конденсатора С, - перехід колек
конденсатор С, - точка з'єднання
ра О - первинна обмотка трансформатора 13 -
цього інтервалу відбувається часткове
конденсаторів С5 і С, Упродовж
ра С» і перемагнічування
підзарядження конденсатора С, розрядка конденсато
зони" значення заряду на
сердечника трансформатора 73. Протягом "мертвої
ьки постійна часу розряду
конденсаторах С5 і С практично не змінюється, оскіл
Ко і Ву набагато більша від інтервалу
щих конденсаторів через резистори
и Qs i Oyo
«мертвої зони". Протягом «мертвої зони" обидва силові транзистор
можна розглядати, як пару високоомних опорів.
закриті. У цей момент їх
транзистори утворюють
увімкнених послідовно. Однакові за величиною опору
встановлюється на рівні. що
дільник напруги. Напруга на колекторі Оір
ду, і зберігає своє значення
дорівнює половині напруги живлення силового каска
322 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

протягом всього інтервалу "мертвої зони". Під час відкривання транзистора О1о
напрямок протікання струму через первинну обмотку трансформатора ТЗ
змінюється на протилежний. Для прискорення процесу перемикання в базову
ланку транзистора Оь включена ланка: конденсатор С»; - діод Д», - резистор
К36. Аналогічна послідовність з елементів С»», Д»» і Ку; є в базовому ланцюгу
транзистора Ор. У початковий момент виникнення позитивної напруги на
обмотці, під'єднаної до базової ланки транзистора О» (позитивна обкладка кон-
денсатора С»), конденсатор С»; розряджений і створює коротке замикання. По
ланці: конденсатор С», - резистор В40, перехід база-емітер транзистора 0, -
починає протікати максимально можливий струм.Такий стрибок струму дає змо-
ту зробити різке відкривання транзистора О». У процесі зарядження конденса-
тора С»; відбувається падіння рівня струму, що протікає через нього в базу тран-
зистора Ор. Коли конденсатор С»; повністю зарядиться, струм, що надходить
через нього. знизиться до нуля. Напруга на обкладинках конденсатора
визначається падінням напруги на діоді Д»; і резисторі зе, через які протікає
струм бази транзистора 0, що підтримує його у відкритому стані після заряд-
ження конденсатора С». Після закінчення позитивного імпульсу в обмотці
трансформатора Т2 і початку "мертвої зони", різко, завдяки зарядженому кон-
денсатору С»), змінюється полярність напруги, прикладеної до переходу база-
емітер транзистора 0», що й є причиною прискореного закривання транзистора
Оу і розсмоктуванню надлишкових носіїв у базі.
Вторинні кола джерела живлення. За максимального навантаження дже-
рела живлення середній струм, що протікає через первинну обмотку трансфор-
матора ТЗ, має величину 7 0,9 А. Струми у вторинних ланках, особливо в кана-
jax +5B и 43,3 В, становлять десятки ампер.Необхідність застосування діодів
Шоткі (083-004) в ланцюгах з найбільшим струмовим споживанням продикто-
ване їхніми перевагами перед кремнієвими. У випрямлячі каналу 5 В викори-
стовують два діоди Шоткі. Для каналів 55 В і 83,3 В - дві діодні збірки ИД35 і
УР3б. Випрямляч 112 В зібраний на основі збірки кремнієвих діодів типу
СТХІ38, а випрямлячі -5 В і -12 В зібрані на основі збірки кремнієвих діодів ти-
пу ЕКІО2. Всі канальні фільтри містять ємності та індуктивності. Фільтр каналу
-5 В - дволанковий, включає в собі Г-і П-подібні фільтри. Фільтри інших
каналів одноланкові, Г-подібні. Споживання енергії каналами -12 В і -І2 В мо-
же значно відрізнятися. Проте їхні випрямні елементи під'єднані до одноймен-
них виводів трансформатора ТЗ. До ланки зворотного зв'язку ШІМ-регулятора
приєднаний тільки вихід каналу 112 В. Рівень надходження енергії до вторинної
ланки залежить від потужності навантаження в каналі 112 В. Для гасіння
надлишкової напруги в каналі -12 В перед першим дроселем фільтра встановле-
ний додатковий діод Дзд. Таким чином зрівнюються рівні напруг по каналах з
абсолютним значенням напруги 12 В.
Розділ 7. Джерела вторинного живлення 323

У побудові схеми стабілізації напруги в каналі 13,3 В теж є особливість:


окрім фільтра на пасивних елементах тут використовують параметричний
стабілізатор, до складу якого входять діод Д, транзистор 0, керований
стабілізатор ІСА і група елементів, які задають режими роботи активних
компонентів. Напруга стабілізації задається зовнішнім резистивним дільником
(Ray, Rso, Взі) і є змога вибрати її довільною з діапазону
номіналах резисторів, зазначених на принпиповій схемі рисунка 7.15, рівень на-
пруги на катоді стабілізатора ІС4, електрод УМО, становить 7 2,5 В. Напруга на
базі транзистора О;; має приблизно таке ж значення. За допомогою елементів
стабілізатора реалізовано стеження за рівнем напруги на виході каналу "3,3 В.
Ланка що складається з резистора Rs транзистора 0; і діода Дз, шунтує
нижній випрямляючий діод збірки з; і дросель фільтра цього каналу.
Імпульсами негативної полярності, що виникають на виводі 4 трансформатора
713, відкривається діод Ді; і через нього заряджається конденсатор С . Напруга
на базі транзистора О,; фіксована. Вихідна напруга каналу 73,3 В змінюється в
деяких межах. Збільшення додатної напруги на виході цього каналу передається
на емітер транзистора О,; і приводить до його відкривання, причому вихідний
ланцюг каналу через резистор Кзз під'єднується до джерела від'ємної напруги,
утвореної діодом Д; та конденсатором С»в. Відбувається частковий розряд кон-
денсатора Са, і вихідна напруга знову знижується до рівня закриття транзистора
On.
Ланки захисту і ланки формування службових сигналів. Силові елементи
для кожного джерела живлення вибирають ураховуючи граничні робочі режи-
ми, які мають забезпечувати його функціонування. Збільшення навантаження
понад розрахункові приводить до пошкодження компонентів силової частини. З
цією метою застосована схема захисту джерела (див.рис. 7.15), яка спрацьовує в
таких випадках: короткого замикання по вторинних каналах від'ємних напруг;
перевищення рівня напруги каналів 15 В і 13,3В вище межі, встановленої
технічними характеристиками; надмірного збільшення тривалостей імпульсів
управління силовими транзисторами.
Для запуску захисного механізму у всіх описаних випадках передбачені свої
окремі каскади. Кожен з них формує індивідуальний сигнал захисту. Всі вони
об'єднуються елементом монтажного «АБО», реалізованим на дискретних ком-
понентах. Вихід елемента "АБО" під'єднаний до мікросхеми ШІМ-регулятора
ІСІ, робота якої блокується у разі фіксації несправності, хоча б в одному з
каналів захисту. Дія, яку надає кожен канал захисту на роботу джерела живлен-
ня, зумовлює його тривале блокування. Відновлення нормальної роботи може
відбутися тільки після від'єднання перетворювача від первинної мережі | при
повторному ввімкненні. На інвертуючий вхід РАІ (див.: рис. 7.13) надходить
пилоподібна напруга. Поки на мікросхему ІСІ подається постійна додатна на-
324 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

пруга з рівнем не нижче 47 В, її формування відбувається безперервно.


Амплітуда пилоподібного сигналу є 3 В. Якщо на неінвертуючий вхід ФАЇ
надійде позитивна напруга з рівнем, що перевищує амплітуду "пили", то на його
виході встановиться постійна висока напруга, що передається, на вхід елемента
РрРі. Елемент ДРіІ блокується цим рівнем, а отже, на його виході буде
підтримуватися постійний високий рівень, незалежно від стану другого його
входу. Імпульсний сигнал від'єднується від TpHrepa DD2 i на базах транзисторів
УТІ і УТ2 буде напруга низького логічного рівня. Формування ШІМ-вихідного
сигналу припинеться. Зупиниться процес ВЧ перетворення і подання енергії у
вторинні ланки. У такій послідовності буде розвиватися процес зупинки роботи
всього джерела живлення, якщо хоча б один з транзисторів О; або О знаходи-
тиметься у відкритому стані. Через будь-який з цих відкритих транзисторів на
вивід ІСІ/4 подаватиметься напруга високого логічного рівня, що перевищує
амплітудне значення пилоподібної напруги на ІСТ/5 (і, отже, на інвертуючому
вході компаратора 041).
Вихід каналу чергового живлення 5 /УВ через резистор К»» під'єднується до
базового ланцюга транзистора 0». У цій ланці виникає позитивний потенціал
практично відразу після ввімкнення живлення. Транзистор О; переходить у стан
насичення і резистор Ки через нього під'єднується до загальної мережі вторин-
ного живлення. Водночас відкривається транзистор О5, через малий опір якого
вивід ІС1/4 під'єднується до джерела живлення 15 В - вивід ІСІ/14. Мікросхема
IC1 встановлюється в режим очікування й утримується в ньому до надходження
на вхід Р8-ОМ (базовий ланцюг О») низького логічного рівня. Запуск мікросхеми
ІСІ відбувається при поданні сигналу низького логічного рівня в точку Р8-ОМ і
послідовного закривання транзисторів QO, і Ох. Вивід ІСІ/А від'єднується від
джерела позитивної напруги, знімається блокування елемента ПРІ ї на виходах
ІСІ/8,11 починають формуватися імпульси управління. У початковий момент
збільшення навантаження імпульсний перетворювач намагатиметься компенсу-
вати зниження рівня вихідної напруги. Відповідно до цього збільшується
тривалість імпульсів і скорочуються паузи між ними. Напруга в точці з'єднання
анода діода Ді; і катода діода ПД» інтегрується керамічним конденсатором С)».
Рівень напруги на конденсаторі Сі» також починає підвищуватися. Зі
збільшенням рівня цієї напруги зростає позитивний потенціал на базі транзисто-
ра О,, величина якого визначається співвідношенням резисторів дільника, що
складається з опорів Во і В»). Опір переходу колектор-емітер транзистора 0;
увімкнений послідовно з резисторами Б. і Кіл. Ці три опори утворюють базовий
дільник транзистора 0). З підвищенням напруги на базі О, опір його переходу
колектор-емітер зменшується. Коли він набуде значення 7 1 кОм, відбудеться
відкривання транзистора 0). Оскільки відкрився транзистор 0), додатна напруга
надходить на вхід ІСІ/4, перетворювач зупиняється. Каскад для захисту схеми
Розділ 7. Джерела вторинного живлення 325

від к.з. у ланцюгах каналів з негативними вихідними напругами зібраний на


елементах Д), В», Rg, Ro, Dy i 0,. Малопотужні стабілітрони D., Ta Dy, 1 "єднані
катодами до виходів каналів напруг +5 В і 13,3 В. Їхні аноди об'єд: ні і через
резистор Кз приєднані до загального провідника живлення. Таке з'єлі ня, крім
підсумовування сигналів сенсорів, забезпечує розгалуження між каналами. Че-
рез діод Д; аноди стабілітронів приєднані також до бази транзистора 0О.. Ці еле-
менти є сенсорами рівнів вторинних каналів додатних напруг, їх використову-
ють для ввімкнення захисного механізму в разі перевищення напругами цих ла-
нок верхнього допустимого рівня, встановленого технічними характеристиками
джерела живлення.
Кожне джерело живлення для персонального комп'ютера має забезпечувати
сигнал оповіщення обчислювальної системи про
вершення перехідного | процесу |і / досягнення
вихідними вторинними напругами номінальних зна
чень. Найменування цього сигналу в оригінальній
транскрипції - "РОМЕЕСООР". В активному стані
BIH має високий логічний рівень, який виникає на
виході каскаду формувача з затриманням від 100 до
500 мс щодо вторинних напруг. За схемою, зобра- DAI
женою на рисунку 7.15, цей каскад побудований на чар
мікросхемі ІС2, що складається з двох компараторів -
напруги. Структурна схема мікросхеми ІЇС2 подана | рис, 7.20. Структурна схе-
на рисунку 7.20. Розглянемо роботу цієї мікросхеми. ма ЇМС ІС2
Вивід живлення ІС2/8 під'єднаний до джерела стабільної напруги, сформованої
на виводі 14 мікросхеми ТІ494. Опорна напруга 2,5 В з середньою точки
дільника, утвореного резисторами БК»; і Вод, надходить на входи 2 і 5 мікросхеми
1С2. Вихід компаратора 02 (вивід 7) під'єднаний до неінвертуючого входу DAL
(вивід 3) через інтегруючу ланку, утворену елементами Аз і Са.
Компаратор 042 мікросхеми відстежує рівень сигналу "Р5-ОМ", який над-
ходить на його вхід 6 через резистор К,,. Поки сигнал "Р5-ОМ'"матиме високий
рівень, поданий від ІСЗ через резистор А», напруга на виході 7 (мікросхеми ІС2)
буде низького логічного рівня. Цей рівень через буферний елемент на
компараторі ДАІ транслюється на його вихід 1. При перемиканні сигналу
"Р5-ОМ" у стан низького логічного рівня, вихід компаратора 042 ІС2/7 змінить
свій стан, на ньому виникне рівень, близький до +5 B, a це свідчить про високий
логічний рівень.
Вихідним струмом компаратора починається заряд конденсатора С. Коли
напруга на ньому підвищиться до рівня, що перевищує 12,5 В, напруга на виході
компаратора ДА (вивіді) також досягне високого логічного рівня. Отже, для
вироблення сигналу "РОУЕЕКСООРУ необхідне виконання декількох умов: має
вмикатися автогенератор на 05, у звичному режимі працювати його вторинні
326 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ланки і формувач стабільної напруги в ІСІ; на вхід сигналу "Р85-ОМ" має бути
поданий низький рівень; вторинна напруга 95 В має встигнути набути
номінального рівня.
Конструкція блока живлення. Всі вузли блока живлення розміщені в мета-
левому корпусі, який служить для механічного захисту його елементів та
електромагнітного екранування (див. рис. 7.21).
Зовнішній вигляд типової плати БЖ АТХ потужністю 350 Вт з компонента-
ми та вузлами зображений на рисунку 7.22.

Рис. 7.21. Зовнішній вигляд типового Рис. 7.22. Зовнішній вигдяд типової
корпусу блока живлення конструктиву плати БЖАТХ
АТХ потужністю 350 Вт

Плата є несучою для таких компонентів і вузлів: 1 - конденсатори фільтра


вихідних напруг; 2 -радіатор для охолодження діодів, які випрямляють вихідну
напругу; 3 - ШІМ-контролер АТ2005, що стабілізує напругу; 4 - основний
трансформатор перетворювача; 5 - трансформатор, який формує управляючу
напругу для вихідних транзисторів; 6 - трансформатор перетворювача чергової
напруги; 7 - радіатор для охолодження вихідних транзисторів перетворювачів; 8
фільтр мережевої напруги від завад блока живлення; 9 - діоди діодного моста;
10 - конденсатори фільтра мережевої напруги.
На задній стінці встановлений перемикач (селектор вхідної напруги), за до-
помогою якого обирається | напруга мережі живлення 115 або 220 В. У
модифікаціях джерел живлення, які мають вузол автоматичного визначення на-
пруги мережі живлення, такий перемикач не встановлений. На другій бічній
стінці є отвори у вигляді поздовжніх жалюзі для вентилювання і два еліптичні
отвори, через які з блока живлення виведені кабелі вторинних напруг.Для
додаткової електроізоляції кабелі вторинного живлення виходять з блока жив-
лення через пластикове кільце. Це кільце щільно затискається стінками корпусу
Розділ 7. Джерела вторинного живлення 327

при складанні кришки. На кінцях кабелів вторинних напруг вмонтовані розетки


роз'ємних з'єднувачів трьох типів. Всі розетки мають власний "ключ" для пра-
вильного з'єднання з конкретною частиною. Провідники для кожного номіналу
напруги і логічного сигналу мають кольорове маркування.
Один 24-контактний роз'єм призначений для під'єднання до системної плати
персонального комп'ютера. Розведення роз'єму стандартизоване. За допомогою
чотирьохконтактних роз'ємів більшого розміру під'єднані периферійні пристрої та
вентилятор процесора. Колір підвідних дротів і значення напруг на контактах цих
роз'ємів такі: 1 - жовтий, -І2 В: 2, 3 - чорні, загальний; 4 - червоний, 15 В.
Найменші розетки роз'ємів призначені для з'єднання з пристроями накопичувачів
на гнучких магнітних дисках. Колір підвідних дротів і значення напруг на контактах
для них такі: 1 - червоний, 15 В: 2. 3 - чорний, загальний; 4 - жовтий, 112 В.
З появою РА з'ясувалося, що вже й АТХ не може забезпечити системі
надійне живлення. Сумарний стру що йде по колу 12 В, виявився настільки
високим, що перетину провідника ї плоші надійного контакту в роз'ємі не вис-

тачало, щоб забезпечити належний рівень амплітуди струму. Це могло спричи-


нити іскріння і нагрівання контактів роз'єму живлення, що вело до виходу з ладу
материнської плати. Проблема була вирішена виведенням живлення процесора
на окремий 4-контактний роз'єм
Опціонально на задній стінці блока живлення можуть бути наявні також
інші елементи: індикатори мережевої напруги або стану роботи БЖ; кнопки
управління режимом роботи вентилятора; кнопка перемикання вхідної
мережевої напруги 110/220 В; 05В-порти. Конструктивні варіанти задньої
стінки блока та можливі типи роз'ємів зображені на рисунку 7.23.
Роз'єм Перемикач
підключення до мережі мережевої наруги

М Додаткова
Роз'єм підключення KHOTIK:
мережевого шнура монітора

індикатор
USB xa6
Рис. 7.23. Конструктивні варіанти задньої стінки БЖ та типів роз'ємів
328 - ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Основні параметри. Основним параметром комп'ютерного блока живлення


є максимальна потужність, яка споживається з мережі. Станом на кінець
2015 року випускають блоки живлення із заявленою виробником потужністю від
50 Вт (вбудовані платформи малих форм-факторів) до 1 600 Вт (комп'ютери для
ведення складних розрахунків, сервери тощо). Другим за важливістю парамет-
ром є напруга живлення від електричної мережі (100-127 В у Північній Америці,
Південній Америці, Японії і на Тайвані, 220-240 В в інших країнах). Деякі дже-
рела живлення мають перемикач зміни вхідної напруги від 230 В до 115 В, інші
можуть автоматично підлаштовуватися під будь-яку напругу в цьому діапазоні.
Основні технічні характеристики блока АТХ. Технічні характеристики
наведені для імпульсного перетворювача з максимальною потужністю 230 Вт
(сумарна вторинна потужність по всіх каналах). Параметри стандартні для
блоків АТХ конструкції і можуть бути використані при роботі з аналогічними
виробами інших фірм-виробників. Розподіл потужності в блоках живлення за
окремими вторинними каналам відрізняється. Він залежить від максимальної
потужності конкретного зразка. Загальні вимоги такі: напруга первинної мережі
живлення: 115 або 220 В; робочий діапазон для первинних напруг: напруги
115 В - 90-135 В; напруги 220 В - 180-265 В; діапазон частот первинного дже-
рела живлення напруги - 47-63 Гц; стійкість до нестабільності напруги (на час-
тотах 50-60 Гц), збереження працездатності: / при провалі/викиді на 30%
номінального значення напруги протягом 0--0,5 періоду змінної напруги; втрати
працездатності з дальшим самовідновленням при провалі на 5096 діючого зна-
чення напруги протягом 0-5 періодів змінної напруги; К.К.Д. джерела при пов-
ному навантаженні - не менше 6890; параметри чергового режиму (на вхід Р5-
ОМ; пбданий високий логічний рівень): К.К.Д. каналу чергового режиму
-- ЗУ5В - не менше 5090 при струмі навантаження 500 мА; загальна потужність
споживання джерела - не більше 5 Вт при вхідній напрузі 230В; розміри джере-
ла живлення - 140х150х86 мм; діапазон робочих температур від 10 до +50°C;
максимальна вологість навколишнього середовища без конденсату не більше
8596. Крім того: сумарна потужність по каналах 15 В 1 13,3 В не має перевищу-
вати 125 Вт; струмове навантаження по каналу 15 В має перевищувати або бути
еквівалентним навантаженню каналу 93,3 В; різниця часу наростання напруги
каналу +5 В до мінімального значення діапазону регулювання та значення по
каналу 13,3 В повинна бути не більше 20 мс; джерело має бути забезпечене вбу-
дованим захистом ланцюгів перетворювача від короткого замикання по каналах
+5B i 412 В; для загального дроту живлення вторинних каналів напруги
необхідне з'єднання з металевим корпусом джерела живлення; перетворювач
має зберігати значення вихідних напруг протягом 17 с після відключення
первинної напруги живлення; пульсації можуть бути як випадкові або
Розділ 7. Джерела вторинного живлення 329

періодичні відхилення від номінального значення напруги


діапазоні від 10 Гц до 20 МГц.

Контрольні запитання до розділу 7

- Нарисуйте та опишіть узагальнену структурну схему ДВЕЖ.


вом за

. Як поділяють ДВЕЖ за принципом дії ?

. Сформулюйте основні характеристики ДВЕЖ.


. Опишіть головні відмінності схем імпульсних ДВЕЖ з регульованим інвертором
та регульованим мережевим випрямлячем.
Як сьогодні класифікують випрямлячі?
о

6. Принципові схеми однопівперіодного, двофазного двопівперіодного та одно-

фазного мостового випрямлячів.


7. Структурна схема та опис принципу роботи імпульсного випрямляча.
8. Нарисуйте форми імпульсів при широтно-імпульсній модуляції та опишіть спо-
соби їхнього отримання.
9. Опишіть структурну схему регульованого випрямляча.
10. Розкажіть про основні параметри блока живлення АТХ.
11. Як функціонує ШІМ-регулятор?
12. Що вмикає силовий каскад?

13. Які функції виконує автогенераторне допоміжне джерело?


14. Опишіть конструкцію, основні структурні вузли та елементи типового блока
живлення конструктиву АТХ.
15. Опишіть функціональну структурну схему блока живлення АТХ.
16. Які основні технічні характеристики блока живлення АТХ ?
17. Які компоненти та вузли розміщені на платі БЖ АТХ ?
18. Які елементи і вузли згідно з принциповою схемою блока БЖ АТХ умикає
ШІМ-регулятор?
Розділ 8. ЛАБОРАТОРНИЙ ПРАКТИКУМ

Лабораторний практикум призначений для закріплення теоретичного матеріалу з


попередніх розділів підручника при вивченні навчальних дисциплін "Комп'ютерна
електроніка", "Комп'ютерна схемотехніка", та отримання студентами необхідних прак-
тичних навиків для роботи з елементною базою комп'ютерної електроніки і фукціона-
льних вузлів на їхній основі.За тематикою запропоновані лабораторні роботи у практи-
кумі сформовані у такій послідовності: від основ електроніки, базових логічних слеме-
нтів до складних функціональних вузлів та блоків комп'ютера на їхній основі.
Лабораторні роботи виконують з використанням симуляційної електронної лабора-
торії Миїізіт 10(12) ї вищих версій, а також оригінальних лабораторних стендів (маке-
тів), розроблених та апробованих авторами у лабораторії комп'ютерної електроніки
факультету електроніки та комп'ютерних технологій ЛНУ імені Івана Франка.

Лабораторна робота Мо 1
Дослідження режимів роботи діодів, стабілітронів,
біполярних і польових транзисторів
Мета роботи: експериментально дослідити основні характеристики діодів, стабілі-
тронів, біполярних і польових транзисторів та розрахувати їхні експлуатаційні
параметри.
Обладнання: вимірювальний комплекс, набір напівпровідникових приладів, муль-
тиметри, джерела живлення.
Література: розділ 2; | 5 |, [6], [7], [18]. [19]. [23].

Принципова схема та структура вимірювального комплексу


Для дослідження характеристик напівпровідникових приладів розроблений
універсальний вимірювальний комплекс, який дає змогу експериментально вимірювати
ВАХ довільних напівпровідникових приладів у широкому діапазоні напруг та струмів.
Принципова схема комплексу зображена на рисунку 8.1.
Структурно схема складається з таких вузлів: 1) вузол живлення (Р1, Р2, СІ...Сб);
2) вузол вимірювання параметрів діодів, біполярних і польових транзисторів (К.1...К6,
МРІ, МР2 ІРІ.. ЛР5, Ги 1, Гн 2); 3) вузол вимірювання обернених струмів діодів і на-
пруг пробою стабілітронів (R7...R10, IP6, IP7, Pu 2).
Блок живлення комплексу (-15В, -15В) виконаний на стабілізаторах РІ і 02 типу
781.15 і 791.15.
Розділ 8. Лабораторний практикум 331

RS
то

52 | Стаб. 15 16
лоб
Р cr.
foo.

Рис. 8.1. Принципова схема вимірювального комплексу для дослідження характеристик


діодів, стабілітронів, біполярних і польових транзисторів

Під'єднують біполярний або польовий транзистори ло вимірювального комплек-


су використовуючи роз'єми Гні. Вхідні ланки з елементами регулювання до бази
біполярного або затвора польового транзистора вмикають за допомогою перемикача
51. Вхідна ланка біполярного транзистора складається з джерела опорної напруги (ре-
зистор КІ, діоди Рі і 2), потенціометра Б2 (регулювання базової напруги),
мікроамперметра ІРІ (вимірювання базового струму). вольтметра ТР2 (вимірювання
напруги база-емітер). Вхідна ланка польового транзистора містить резистор В5, рези-
стор Вб (регулювання напруги "затвор - витік"), вольтметра ТР5 (вимірювання напруги
«затвор - витік"). Вихідна ланка є загальною для біполярного і польового транзисторів.
Вона складається з потенціометра навантаження ВЗ (регулювання напруг" колектор -
емітер" або" стік - витік"), міліамперметра ІРЗ (вимірювання колекторного або стоко-
вого струму), вольтметра ІРА (вимірювання напруг "колектор - емітер" або" стік -
витік"). Для вимірювання параметрів діода застосовують вхідну ланку біполярного
транзистора.
332 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Для дослідження параметрів діодів і стабілітронів їхні виводи вставляють у роз'єм


Гн 2 згідно зі схемою на рисунку 8.1. Використовують вхідну ланку біполярного тран-
зистора, баластний опір В.10, вольтметр ІР7 (вимірювання напруги на діоді).
Для вимірювання обернених струмів діода користуються джерелом від'ємної на-
пруги -70В, потенціометр ЕВ7 (регулювання / напруги), міліамперметр
мікроамперметр ІРб (вимірювання оберненого струму), баластний опір В.10, вольтметр
ІР7 (вимірювання напруги на діоді). Перемикач 52 призначений для перемикання
режимів роботи: в одному положенні вимірювати обернені струми діодів, у другому -
стабілітронів.
На рисунку 8.2 зображена загальна структура вимірювального комплексу зі схе-
матичним зображенням передньої панелі.

+h] =) B мА B

v=
Джерело 5 ТРА
напруги 1 Los ІРІ 1P3
¥ 5 6 Rp 9 б в B i
oe Be
a
6 3
б к(с)
2
Р ев т зі 6607 суне)
я Тні
з В
на -f—
ерело
Фа Ти?
; R6
г!
Е 0.
1 тро 12
@ 15 тре 16 17 тр 18
і 1
+ 98

Джерело
напруги 3| B MA B

Рис. 8.2. Загальна структура вимірювального комплексу

Примітка: при дослідженні параметрів напівпровідникових приладів до комплексу під'єднують ли-


ше необхідні джерела живлення та вимірювальні прилади. Приклад: для біполярного
гранзистора - тільки джерело живлення | та ІРІ, ІР2, ІРЗ, ІРА.
Розділ 8. Лабораторний практикум 333

Завдання Ло 1. Дослідження прямої ві ки вольт-амперних характеристик діодів.


На рисунку 8. 3 подана принципова схема ввімкнення діода 1М4148 для зняття
вольт - амперної характеристики. Досліджують два типи діодів: кремнієві (К) та
терманієві (Г).
bt
са BLAS у зву
зам жіу,оїне |
джа ct з ою | secs
200 pr 5 200 pe
мо HF |

ва
|e ws? iM R5
Ї " 20K
aT ft
40 RG
Wave тк

-tov ¢——_
т

джа
яв
«тм
18
то
1 |
Ro
як

Рис. 8.3. Схема дослідження вольт- амперної характеристики діода

1. Із запропонованого набору діолів виберіть два діоди (К і Г) для вимірювань та кори-


стуючись довідником визначте їхні граничні параметри.
2. Вставте у роз'єм Гн 2 вибраний діод. Під'єднайте до вимірювального комплексу
джерело живлення | та вимірювальні прилади ІРІ, ІР2, ІР7.
3. Увімкніть джерело живлення 1. Підготуйте до роботи вимірювальні прилади IPI,
ІР2, ІР7.
4. Використовуючи потенціометр В2, з кроком (20 - 40) мВ виміряйте пряму вітку
ВАХ (мА) = (0, мВ) у межах граничних значень напруги та струму вимірюваного
діода.
5. Результати вимірювань занесіть у таблицю та побудуйте графіки прямих віток ВАХ
діодів. Порівняйте характеристики.
334 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Завдання ЛМ22. Дослідження зворотної вітки ВАХ діода (вимірювання обернених


струмів)

- Від'єднайте від вимірювального комплексу джерело живлення 1 та вимірювальні


прилади ІРІ, ІР2.
2. Вставте у роз'єм Гн 2 обраний діод.
3. Під'єднайте джерело живлення 3 та вимірювальні прилади ІРб, ІР7.
4. Перемикач 52 увімкніть в положення - "Доб."
5. Увімкніть джерело живлення 3. Підготуйте до роботи вимірювальні прилади ІРб,
ІР7.
6. Змінюючи напругу за допомогою потенціометра В? з кроком (2-3)В, зніміть зворот-
ny BAX I(mKA) = f (U, В) діода.
7. Дані занесіть в таблицю та побудуйте графік зворотної вітки ВАХ діода.
8. Порівняйте обернені ВАХ кремнієвих та германієвих діодів. Визначіть напруги про-
бою.
9. За отриманими експериментальними ВАХ діодів визначте такі параметри:
а) статичний опір у прямому і зворотному напрямках при різних значеннях

прикладеної напруги (R=), б) динамічний опір для певної точки (в. 2) 3B)

І
коефіцієнт випрямлення для різних значень прикладеного зміщення Ker при

Мор Ов)
10. Зробіть висновки про експлуатаційні можливості кремнієвих та германієвих
діодів.

Завдання Мо 3. Дослідження ВАХ біполярних транзисторів

На рисунку 8.4. зображена принципова схема макета для вимірювання вхідної та


вихідної ВАХ транзистора КТ315А.
1. Вставте у роз'єм Гн І вимірюваний транзистор, а перемикач 51 перемкніть у поло-
ження "БТ".
2. Під'єднайте до макета джерело живлення І та вимірювальні прилади ІРІ, ІР2, ІРЗ,
ІРА. Увімкніть джерело живлення 1. Підготуйте до роботи вимірювальні прилади
ТРІ, ІР2, ІРЗ, ІРА.
3. За допомогою потенціометра К2 з кроком 25 мВ, зніміть вхідну ВАХ Іб(мА) = f
(Обо(мВ)) при ( - сопзі. Постійну напругу Цг підтримують постійною після
кожного вимірювання за допомогою потенціометру Б3.
4. Результати вимірювань занесіть у таблицю та побудуйте графіки вхідної ВАХ тран-
зистора для схеми зі спільним емітером.
5. За допомогою потенціометра ВЗ виміряйте ВАХ Ік(мА) - Р (В) при
Js = сопзі (вихідну характеристику).
практикум 335
Розділ 8. Лабораторний

6. Дані занесіть у таблицю та побулуйте графік вихідної ВАХ.


их ВАХ транзи-
7. За результатами вимірювань побудуйте сімейство статичних вихідн
стора та розрахуйте П - параметри (h = hy2, hoy, haz).

02
cs 5 "
жу я ose - ‘OUT a
Джо
aw ft[
дує
Lew | te
‘ee
змо

і ва
рзсо їм RS
20K
КТУБА 2, 1 і.
Гі . RE
Ee. w5(V 42 10K
Cv jee
ра ц-а
~ 60) кс як
темі
"я яп
дж = eta)
А?
Р лок =. RB
«тома
зв a v ) ipa 710

RO
rm ua
гай A з
mA) wen (М РІ
pe тяг те
a R10 18
52 Сб. аа wu] 8т | Га х
wos LL,
1 ier. = 1

Рис. 8.4. Принципова схема макета для дослідження ВАХ біполярних транзисторів

8. Для вибраної робочої точки. використовуючи експериментальні ВАХ, визначте


коефіцієнт передавання струму (СЕ), диференційний вхідний і вихідний опори.
9. З отриманих вхідних характеристик розрахуйте значення крутизни 5 (мА/В) для
різних значень напруги (/,.
10. Використовуючи сімейство ВАХ, розрахуйте параметри транзистора: опір бази.
опір емітера, опір колектора.

Завдання МО4. Дослідження ВАХ польових транзисторів

На рисунку 8.4 зображена принципова схема макета для вимірювання стоко-


затворної та вихідної (витік-стік) ВАХ польових транзисторів.
336 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

02
з cs
Ope 19115 | ву
~20v й "о от
дж: ОО FF сю | = 06
200 yr Т 200 pe
чим У

Р
ws [4 їм RS
KN303A 20K
Ф Я...
a 10 и RG
1P5CV ) 42 лок

oe) кс ткяз
З
fee хB в
Use(Uce) (VIP mo
4
» по
в La at er як
є
me?
R10 18
з CH gr 16 51 | Га х
об со ol
LSC 1
Рис. 8.5. Принципова схема макета для дослідження ВАХ польових транзисторів

1. Під'єднайте до вимірювального комплексу джерела живлення 1,2 та вимірювальні


прилади ІРЗ, ІРА, ІР5.
2. Вставте у роз'єм Гн І досліджуваний транзистор, а перемикач 51 - у положення ПТ.
3. Увімкніть джерела живлення 1,2 та підготуйте до роботи вимірювальні прилади ІРЗ
ТРА, ТР5.
4. Змінюючи напругу за допомогою потенціометра Вб з кроком (0,17- 0,20)В,
виміряйте ВАХ Іс(мА) з f Uy, (U) npn Ug = const. Постійну напругу Й,
підтримувати, після кожного вимірювання потенціометром В 3.
5. Результати вимірювань занести в таблицю та побудувати графіки стоко-затворної
ВАХ транзистора для схеми зі загальним витоком.
6. За допомогою потенціометра ВЗ, з кроком (0,3-3)В зніміть ВАХ (мА) ЗИ (0) при
U,, = соп5ї (вихідну характеристику).
7. Результати вимірювань занести у таблицю та побудувати сімейство вихідних ВАХ
транзистора для схеми зі загальним витоком: І. - / (Ось) для різних значень (/зр та
p= S (Use) при різних значеннях (ср.
337
Розділ 8. Лабораторний практикум

Rj, S,
9. Використовуючи отримані ВАХ польового транзистора розрахуйте параметри
ю т для заданих значень дов-
ефективну рухливість транзистора и та власну швидкоді
жини каналу L.

Завдання М 5. Визначення напруги пробою стабілітрона

ввімкнення досліджуваного стабілітрона ДЯІА у


На рисунку 8. 6 подана схема
вимірювальну схему.
ot
T7815,
с5
са
зм
A олив
et ою "м мм НН
джл 200с сю
3 BR? 200 yr й
~20v 62 Be s20v ¢4

unetvee) (y) тра


14

я напруги пробою стабілітрона


Рис. 8.6. Принципова схема комплексу для вимірюванн

52 у положення - Стаб
1. Вставте в роз'єм Гн 2 стабілітрон Д814Л, а перемикач
ювальні прилади ІРЄ, ІРУ.
2. Під'єднайте до комплексу джерело живлення | Ta вимір
ювальні прилади ІРЄ, ІР?.
3. Увімкніть джерело живлення 1. Підготуйте до роботи вимір
подавайте напругу на стабілітрон
4. За допомогою потенціометра БУ, з кроком (2-3)B,
У зоні вірогідної напруги пробою зменшіть крок до 0,1B.
х стабілітронів та визначте їхні
6. Побудуйте графічні залежност і Ба 07, ) досліджени
, отримані з довідників.
напруги (роб. Та Сет. Порівняти їх з табличними значеннями
ВАХ, визначити максимальний струм
7. Використовуючи експериментальні
струм стабілізації І. та диференціальний опір
стабілізаці ана» Мінімальний

Raw стабілітрона при середньому струмі стабілізації.


338 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

8. Додаткове практичне завдання: на базі макетниці, використовуючи гарячий мон-


таж елементів схеми, змонтувати реальну схему стабілізації напруги на основі одного
з досліджених стабілітронів. Побудувати в одних координатах ВАХ стабілітрона,
опору навантаження К, обмежуючого резистора 8, та розрахувати на її основі па-
раметри схеми: І. нах» Їсиіп» Їж» Ту» Їо (згідно з розд. 2).

Лабораторна робота Ме 2
Дослідження транзисторного підсилювача низької частоти

Мета роботи: ознайомитися з принципом роботи каскадного транзисторного


підсилювача низької частоти (ПІНЧ) та освоїти методи визначення основних
параметрів.

Обладнання: | 1) макет / експериментального | каскадного | транзисторного


підсилювача низької частоти: 2) блок живлення (ВИП - 010); 3) тенератор низькоча-
стотних коливань (Г3 - 112/1); 4) осцилограф (СІ - 68). (У дужках вказані можливі
варіанти приладів).
Література: розділ 2, (5 |, [6], [18], [6], (20).

Макет підсилювача низької частоти. Принципова електрична схема.


Принципова електрична схема експериментального макета ПНЧ приведена на
рисунку 8.7.
уж табу
сс RI Re зі
OA pF 200 pF Ж | 0 ру Owe
eo
га rut Tes
Ci
ft
deer
І дю»
хе
ЖІ
же ги
Ux2=9V Ut =20V
Txt
R3 R5 R13
47K оо -. | ям fe bs
5 ca [47K 130K :
athe аїиє п
ге yt on + Кт 13 ecsa7c см
УР Кс 4 всьо со Besarc "yatta
Те + Я
яв вв | во во | вм
а «то| 8 zak] 91 700 ак| 470] 12 R15 R16
aK м AT ye Sipe 47 pF жа лок
am си с
вежі М Pent Owe
2 + 1°|олиє
we: Bele de © eked a tea cals 1
прі Гн
Рис. 8.7. Принципова електрична схема досліджуваного макета підсилювача низької
частоти
практикум 339
Розділ 8. Лабораторний

Структурно схема ПНЧ складається з трьох каскалів підсилення (ТІ- Т3) та


вихідного емітерного повторювача (14). Використовують транзистори BCS47C (ТІ,
ння виконано
т2, Т3, Т4). Режим роботи за постійним струмом у каскадах підсиле
зміщенням за допомогою фіксованої напруги (база - емітер) з використанням
резисторів ВЗ, ВА; В7, К8; R12.
роботи 3a змінним струмом (коефіцієнт підсилення) задається
Режим
через конденса-
потенціометрами R6, R10, R14. Ha cepeaHix вивелення потенціометрів
тори Сб, С7, С10, СІ 1, С12, СІ3 подається нульовий потенціал. Залежно від положення
певний від'ємний
середніх виводів потенціометрів у каскалах підсилення вводиться
обернений зв'язок, який визначає коефіцієнт підсилення каскаду.
Керування напругою в каскалах розглянемо на прикладі другого каскаду
(ВикВви З
підсилювача (див.: рис.8.8). Згідно з цією схемою, Водою 7 Во зви
складові опору потенціометра), тому К 7 в роботі каскаду за змінним струмом не вра-
оскільки він заземлений через конденсатори СІ0, СІ. Звідси
ховується,
U бог 7 Ок З Уіюе» 2 змінна напруга між базою й емітером дорівнює різниці
Уви
змінних напруг 0, хо. ЯК ПОДАЄТЬСЯ На каскад 1 напругою на опорі оберненого зв'язку

Од що - Залежно від положення повзунка потенціометра співвідношення між опорами


Hesse toe У м .
Во і Кор змінюється, тому величина напруги U,;,.~ буде також змінюватися. Таким

чином здійснюється керування коефіцієнтом підсилення каскаду.

R7
4 47K
ча|
4,7 pF
+
7"

яв
Фвих.,, 1 24K
i
| збе |олре

Рис. 8.8. Принципова електрична схема другого каскаду підсилювача


340 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Каскади підсилення з'єднані між собою перехідними конденсаторами СЯ, С9. Сиг-
нал на вхід ПНЧ подається через конденсатор С5. Постійні струми каскадів підсилення
становлять 5 мА. Опори колекторних навантажень (В, В9, В 13) вибрані з розрахунку
забезпечення коефіцієнтів підсилення і режимів роботи за постійним струмом.
Розрахункові коефіцієнти підсилення: 3;4;5. Вихідний емітерний повторювач (Т4)
призначений для узгодження вихідної напруги з навантаженням. Напруга живлення
25 В надходить на каскади підсилення і вихідний емітерний повторювач через пара-
метричний стабілізатор (К 1, ДТ) та фільтри ВІ, СІ, С2, В2, С3, С4. Перемикач Прі і
потенціометр Вих. призначені для вимірювання вхідного опору ПНЧ.
На рисунку 8.9. зображений схематичний зовнішній вигляд досліджуваного ком-
плексу з боку передньої панелі з під'єднаними вимірювальними прилада-
ми.Конструктивно він виконаний у вигляді компактного металевого / корпусу, на
поверхні якого розміщена монтажна схема та гнізда для під'єднання приладів і
перемикачі. Прозора верхня частина дає змогу спостерігати всю монтажну схему
підсилювача. При необхідності вона легко піднімається, забезпечуючи доступ до
елементної бази схеми.

Порядок виконання роботи


Завдання 1. Дослідження роботи та визначення коефіцієнта підсилення ПНЧ
1. Ознайомтеся з принциповою схемою каскадного транзисторного підсилювача та
структурною схемою макета для дослідження його параметрів.
2. Під'єднайте вимірювальні прилади та джерела живлення до макета згідно зі схемою
на рисунку 8.8: осцилограф СІ - 68, генератор Г3 - 112/1, блок живлення ВИП - 010.
Вихід генератора Г3 - 112/1 увімкніть у гніздо Гн І. На виході генератора Г3 - 112/1
зафіксуйте Цихат - 100 MB, f= ІКГц, контролюючи вхідну напругу ПНЧ за допомо-
гою осцилографа СІ - 68, увімкненого до гнізда Ги 1. Перемикач Прі - у положенні
Реж.!.
3. Увімкніть вхід осцилографа у гніздо Гн 6 ((Лихат.1). Встановіть потенціометром Вб
амплітудне значення напруги (рихат.! 7 300 мВ. Тоді коефіцієнт підсилення першого
каскаду КІ = 3 (KI = Usuxam.i/ Uax.am)-
4. Почергово підключаючи вхід осцилографа у гнізда Гн 7 і Гн 8 макету, виставіть
коефіцієнти підсилення другого і третього каскадів 4 і 5 (К2 з ихаша/ Свихап.1) КЗ 7
Upus.am3/ Upux.am2)-
5. Виставіть на навантаженні КІб (Гн 9), корегуючи підсилення каскадів
потенціометрами | Вб, RIO, R14, вихідне / амплітудне 4 значення | напруги
Vous.san = 5 Be
6. За результатами вимірювань заповніть таблицю 1.
Розділ 8. Лабораторний практикум 341

AQ Ех
et

TT

Рис. 8.9. Загальна структура вимірювального комплексу

Таблиця 1

Ока Goux.narp,
50 MB 5B
ЗВ
100 MB
150 MB | 5B

Завдання 2. Дослідження амплітудно - частотної характеристики ПНЧ


Загальні відомості. Амплітудно - частотна характеристика (АЧХ) - це залежність
коефіцієнта підсилення ПНЧ від частоти, Загальний вигляд амплітудно-частотної ха-
рактеристики ПНЧ зображений на рис. 5.10.
Практично її визначають щодо центральної частоти Ло. Для підсилювачів звукової
частоти як центральну частоту беруть частоту ІКГц. На рисунку 8.10 засвідчений
спосіб визначення верхньої та нижньої меж смуги пропускання ПНЧ за графіком
амплітудно-частотної характеристики. Зазвичай смугу пропускання ПНЧ визначають
за рівнем ЗдБ (0,707 від центральної частоти).
342 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

ti fh ЛІ
Рис. 8.10. Загальний вигляд амплітудно-частотної характеристики ПНЧ

І, Під'єднайте прилади: осцилограф СІ - 68, генератор Г3 - 112/1, блок живлення ВИП


- 010 згідно зі схемою. Вихід тенератора Г3 - 112/1 увімкніть у гніздо Гн 1. На
виході генератора Г3 - 112/1 встановіть (лихат 7 100мВ, / - 1 КН, контролюючи
вхідну напругу ПНЧ за допомогою осцилографа СІ - 68 на гнізді Ги 1, Перемикач
Прі - в положенні Реж.!.
2. Корегуючи підсилення каскадів потенціометрами Вб, ВІО, ВІ4 виставте вихідне
амплітудне значення напруги Цихнав. 7 5B.
3. Змінюючи вхідну частоту, згідно з таблицею 2 та підтримуючи постійною вхідну
напругу Шахаю 7 100МВ, побудуйте амплітудно-частотну характеристику ПНЧ.
Визначіть /; і /, ПНЧ.
Таблиця 2
кГц) 001 | 002 [ 005 Г ол | 05 1 5 10 | 15 [ 20 [ 25 |
Gosrx.arp.

Завдання 3. Визначення вхідного опору ПНЧ


1. Увімкніть прилади: осцилограф СІ - 68, генератор Г3 - 112/1, блок живлення
ВИП - 010 відповідно до схеми. Вихід генератора Г3 - 112/1 під'єднайте до гнізда Гн
1. На виході генератора 3 -- 112/1 встановіть Usixam = 100MB, f= 1 КГи, контролюючи
вхідну напругу ПНЧ за допомогою осцилографа СІ1-68 на гнізді Ги І.
2. Перемикач Прі переведіть у положення Реж.2. Змінюючи опір потенціометра
Кох ВСТановіть вхідну напругу на гнізді Гн 2 (хат 7 30 мВ. Вимкніть живлення ПНЧ.
Заміряйте омметром опір за змінним струмом на гніздах Гн 1 і Гн 2. Заміряний опір є
вхідним опором ПНЧ.

Вимоги до звіту
У звіті має бути зазначене таке: мета роботи, схеми, діаграми, осцилограми вхідних
і вихідних сигналів, заповнені таблиці 1 і 2 та амплітудно-частотна характеристику
ПНЧ, величина вхідного опору та висновки. Для двох поданих осцилограм записати
рівняння коливань.
Лабораторний макет розроблений зав. лаб. /. С. Федорович.
Розділ 8. Лабораторний практикум 343

Контрольні запитання

1. Розкажіть про принцип роботи каскадного транзисторного підсилювача.


2. Як регулюють коефіцієнт підсилення каскаду в ПНЧ ?
3. Що таке амплітудно -частотна характеристика ПНЧ? Як її визначити ?
4. Як визначають вхідний і вихідний опори ПНЯ?
5. Поясніть функцію вихідного емітерного повторювача.

Лабораторна робота Мо 3
Вивчення ключових режимів роботи біполярних транзисторів
та ознайомлення з принципами роботи логічних інверторів
Лабораторну роботу виконують у сереловищі інструментального програмного
комплексу Миїцзіт 10 (12).
Література: розділ 2, (1 |, 131.14. Ї }, по)
a

Порядок виконання роботи


Завдання І. Дослідження режиму насичення біполярного транзистора.
Опис схеми вимірювань. На рисунку 8.11 зображена принципова схема ключа на
біполярному транзисторі. Для лослілження використовують схему, у якій біполярний
транзистор увімкнений за схемою з загальним емітером (див.: рис. 8.11). На базу тран-
зистора через мікроамперметр ХММЗ (вимірювання базового струму), подається напру-
га від джерела У2. Змінюють базову напругу за допомогою потенціометра ВЗ. Контро-
люють базову напругу вольтметре ХММА. Колекторна ланка складається з опорів ко-
лекторного навантаження (потенціометри В, В2), які під'єднують до колектора за до-
помогою перемикача Й, послідовно ввімкненого міліамперметра ХММІ (вимірювання
колекторного струму), вольтметра ХММ2 (вимірювання колекторної напруги) і джерела
колекторної напруги У1.
змо
вк
Га

чн
Ма За ЧЕ
j су
я жен,
мм Т

якЯ
зас Key
= Space

М +3 kо о нн
юс
1 ма
ЕВ аді є
г уза
Ї
Р
ета,
хима ло
lacsera

Рис. 8.11. Скрін-шот схеми для дослідження режиму насичення біполярного транзистора
344 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

1. Складіть схему для дослідження режиму насичення біполярного транзистора (див.:


рис. 8.11).
2. Увімкніть режим симуляції схеми.
3. За допомогою перемикача 1 увімкніть опір В; 7 1 кОм. Поступово збільшуючи на-
пругу джерела У2, за допомогою повзунка потенціометра ВЗ, зафіксуйте показни-
жи вимірювальних приладів. Потім повторіть те ж саме при В2 = 2 кОм. Дані
запишіть у таблиці 1. 1 і 1.2.

Таблиця 1.1
ОВУ 0,998 | 119 | 139 | 1,59 | 1,79 | 1,99 | 2,19 | 2,39 | 2,59 | 2,79 | 2,97 | 3,47 | 3,72
Tit
T(MA)
баів!

Таблиця 1.2
Оці В) 0,998 1,19 139 | 1,59 р 1,79 | 1,99 | 2.19
-
R>=2kOM
Ts(MKA)
TA)

Uce(B)

4. Tlo6yayiite = rpadiyni sanexnocti (Uj) Ta визначте Іон, (умова ІеВ 1, не


виконується) та значення мінімальної вхідної напруги, що забезпечує режим наси-
чення транзистора.
5. Поясніть суть режиму насичення транзистора та його практичне значення. Якими
властивостями володіє транзистор у цьому режимі?

Завдання 2. Дослідження логічного інвертора на біполярному транзисторі

Опис схеми. На рисунку 8.12 зображена принципова схема логічного інвертора, ви-
конаного на транзисторі ОЇ! за схемою з загальним емітером. Схема працює в двох
режимах. Перший режим - подання на базу транзистора постійної напруги від
джерела У2, через перемикачі 12, 13. Подання логічної одиниці або логічного нуля
здійснюється за допомогою перемикача 14. Другий - подання на базу транзистора
прямокутних імпульсів частотою 1КГц з генератора ХЕСІ. Прямокутні імпульси
на вході і виході логічного інвертора контролюють за допомогою віртуального ос-
цилографа Х5СІ.
Розділ 8. Лабораторний практикум 345

XPGL
na na] :
$33 |
T | 4

| уні R2
ж токо ко
7
vi
=6V

9 23
of RS
| УААЛН Їкеу = Space
J tka Lo ae |
|
we N 1
рето р я нн
: | Key = Space 1.5 a =

IBcsa7a

Рис. 8.12. Скрін-шот схеми для дослідження логічного інвертора на біполярному транзисторі

1. Складіть схему для дослідження логічного інвертора згідно з рисунком 8.12. Обгрун-
туйте призначення кожного елемента схеми, а також функції перемикачів 11, 12, 13,
J4
2. Увімкніть режим симуляції схеми.
3. Перемикач 13 встановіть у положення, що дає змогу подавати на вхід схеми постійні
рівні 0 або 1 за допомогою перемикачів ЛП і 12. Реалізуйте всі можливі значення Вб
(ВА, В5) ї Вс (ВІ, 2), вимірюючи для кожного випадку рівень вихідної напруги за
двох рівнів вхідної напруги ((/--7 5: (о»т ОВ).
Отримані значення занесіть у таблипю 1.3
Таблиця 1.3

Uce(B)
ОВ) їкОм
В; - кОм Ra = 1kOm Rs = 5«Om
Uay= 5B
U-y= 0B

4. Перемикач 3 встановіть у положення, що забезпечує керування схемою від генера-


тора імпульсів. У генераторі встановіть амплітуду 5В, частоту ІкГц. На
осцилографі встановіть розгортку Ітп5/Ріу, величину напруги на каналах Аї В -
ЗВ/Оім. Увімкніть режим симуляції. Отримані осцилограми відобразіть у звіті та
приведіть параметри цих сигналів.
346 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Вимоги до звіту
У звіті має бути зазначене таке: тема та мета роботи, схеми для вимірювань, осци-
лограми сигналів, заповнені таблиці та відповідні графічні залежності, значення Іо. Та
значення мінімальної вхідної напруги, що забезпечує режим насичення транзистора.
Звіт завершується висновком.

Контрольні запитання

1. Розкажіть принцип реалізації ключового режиму біполярного транзистора. Якими


властивостями володіє транзистор у режимі насичення?
2. У яких режимах може перебувати транзистор, увімкнений у схемі зі загальним
емітером?
2. Нарисуйте просту схему логічного інвертора та поясніть принцип його роботи.
3. Яка роль перемикачів 4/1 і 22 у схемі логічного інвертора?
4. Нарисуйте вихідну ВАХ транзистора, ввімкненого у схему зі загальним емітером?
5. Як здійснюється подання логічних 0 або 1 у досліджуваній схемі логічного
інвертора?

Лабораторна робота Ме4


Дослідження операційних підсилювачі і генераторів
на їхній основі
Мета роботи: ознайомитись з основами схемотехніки та основними параметрами
операційних підсилювачів (ОП). Скласти схему генератора на ОП та дослідити його
головні параметри та характеристики.
Лабораторна робота виконується у середовищі інструментального програмного
комплексу Миїцзіт 10 (12).

Література: розділ 3, ІЗ |, 4 |, (5 1, 17 1.

Порядок виконання роботи

Завдання М 1. ОП без зворотного зв'язку


Опис схеми. В роботі використовують схему, що складається з ОП, функціонального
тенератора, джерел постійної напруги, потенціометра, мультиметра, осцилографа
(див. рис.8.13). Ця схема реалізує ШШІМ- модулятор (широтно-імпульсна
модуляція) виконаний на операційному підсилювачі І.ЛС1049М8.
На вхід схеми "1" подають пилоподібну напругу частотою 1КГц. На вхід "7"
постійну напругу від джерела УЗ, яка регулюється потенціометром ВЗ. При збігу
постійної і пилоподібної напруг у конкретних точках на виході підсилювача форму-
ються прямокутні імпульси. Період слідування імпульсів рівний частоті пилоподібної
напруги, тривалість імпульсу залежить від величини постійної напруги, що подається
на інверсний вхід операційного підсилювача.
Розділ 8. Лабораторний практикум 347

сі

al

Ra
les

-
<
зм oat : ja!

| зо |

Рис. 8.13. Схема досліджень ОП без зворотного зв'язку (ШІМ-модулятор)

З Ozciloscope XSCL

ба (відав) (АсІГо
Рис. 8.14. Типові осцилограми вхідного сигналу та отриманих імпульсів на виході ОП

1. Використовуючи програмний комплекс Миїіівіт 10, складіть схему для дослідження


ОП без зворотного зв'язку (Ш І М- модулятор) (див.: рис. 8.13).
2. Подайте на неінверсний вхід ОП сигнал трикутної форми з (/,, = 3B (OFCET = 0)
частотою Е - І кГц, На інверений вхід - з джерела постійної напруги УЗ — U> = 3B.
348 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Виводи ОП 7117 174" заживити постійною напругою величиною ЗВ. Значення опорів
встановити аналогічно, як на рисунку 8.13. Отриману осцилограму привести у звіті
(аналог. рисунку 8.14).
3. Увімкнути режим симуляції. Поступово змінюючи за допомогою потенціометра ВЗ
напругу від 0 до ЗВ, зняти залежність тривалості імпульсу /-щ» на виході ОП від вели-
чини (оз. Дані занести у таблицю І.
Таблиця 1

Ups, B 05 1,0 15 2,0 3,0


da

4. Побудуйте графік /ч» - | (з).

Завдання 2. Підсумувальний ОП

Опис схеми. На рисунку 8.15 зображена принципова схема підсумувального ОП, вико-
наного на операційному підсилювачі ІЛСІ1049М8. При рівних опорах оберненого
зв'язку (ВІ-В2-В3710 кОм), коефіцієнт підсилення рівний одиниці. На вхід "-",
через резистори В.І, К2 подаються напруги від джерел У3, У4, через потенціометри
R4, В5. Проінвертована вихідна напруга рівна сумі напруг зі середніх виводів
потенціометрів ВА, К5. Вхідні і вихідна напруга контролюються вольтметрами
ХММІ, ХММ2, ХММ3.

І. Використовуючи програмний комплекс Мийїйвіт 10, складіть схему, що моделює


підсумувальний ОП з інверсією (див.: рис. 8.15).
2. Увімкніть режим симуляції. Потенціометрами ВА і К5 виставіть на входах напруги
0 - 2В, контролюючи їх мультиметрами ХММІ і ХММ2. Співставте сумування
напруг на виході ОП, отриманої мультиметром ХММ3 та відповідно до формули:

Uy. -- (BiB)
RI R2
3. Змініть величину резисторів Б. і К2 (ЗК; 6К; 4К; 2К). Встановіть напруги на вхо-
дах 0- 0,5В.
4. Укладіть таблицю за результатами вимірювань 0, (ХММ3) та обчисленими за
формулою.
практикум 349
Розділ 8. Лабораторний

око:
Рис. 8.15. Схема підсумувального ОП з інверсією

Завдання Мо 3. Неінвертуючий ОП
вихідної напруги.
Опис схеми. На рисунку 8.16 зображена принципова схема ОП без інверсії
напруга
Вона виконана на операційному підсилювачі ІСТ 1049N8. Вхідна синусоїдальна
XFGI. Резисто-
подається на неінвертуючий вхід підсилювача з віртуального генератора
7 Са х П.., де Са
ри ВЗ, ВА, В5, Вб забезпечують обернений зв'язок. Вихідна напруга 05
= 1+ R3||R4/R5\|R6, a U, — напруга на вході.
xrot

Рис. 8.16. Принципова схема неінвертуючого оп


350 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

49 Озсіїозсоре- Х5С1

Channel_A~ ~~ Channel_B
В СТО РЕВА Р -a36-se3mv Le”Y
| 7? (6093) 623.653ms -836.563mv 1.670
таті 0,000 s 0.000 V 0.000v
Timebase ChannelA. Sy eel
Scale ims/Div Scale 2 V/Div Scale 2 V/Div
X position 0 Yposition -1 Yposition 1.6

Рис. 8.17. Осцилограми вхідного та вихідного сигналів неінвертуючого ОП

1. Складіть схему, що реалізує підсилювач, який не інвертує фазу (див.: рис. 8.16).
2. Увімкніть режим симуляції. З функціонального генератора подайте синусоїдальний
сигнал з частотою ЇКНх і амплітудою (, - ІВ. Змінюючи опори R3, R4, R5, RO
(відповідно до схеми), перевірте за допомогою вольтметра, співвідношення вхідної і
вихідної напруг (визначіть коефіцієнт підсилення за формулою, а також за напруга-
ми на осцилограмі).
3. Приведіть у звіті осцилограми при таких опорах К3-84-20 ком, К5- 86-10 ком.

Завдання 4. Генератор прямокутних імпульсів на базі ОП


Опис схеми. Для демонстрації можливості створення генераторів сигналів на базі ОП,
пропонується скласти схему генератора прямокутних імпульсів із застосуванням
негативного й позитивного зворотних зв'язків див.: рис. 8.18). Схема складається
власне з самого ОП, джерел живлення постійної напруги, опорів В), К», Кз, конден-
сатора С; і осцилографа.
Розділ 8. Лабораторний практикум 351

Рис. 8.18. Принципова схема генератора прямокутних імпульсів


із застосуванням від'ємного та додатного зворотних зв'язків

Рис. 8.19. Осцилограма типових прямокутних імпульсів,


генерованих схемою на рисунку 8.18
352 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

1. Використовуючи програмний комплекс Миїцзіт 10, складіть схему згідно з рисун-


ком 8.18.
2. Значення опорів виставте таке: К; - 910 кОм, К» - 160 кОм, В; - 10 кОм, ємність
конденсатора С; - 20 пФ. Напруга джерел живлення, що під'єднані до ОП, 10В.
3. Змінюючи опір Б; і ємність С,, які визначають період слідування імпульсів, у межах
від номіналу до його половинного значення, визначте характер впливу кожного
номіналу на параметри імпульсів.
4. Наведіть осцилограми сигналів та визначте їхні параметри.
5. Сформулюйте висновки до кожного розділу роботи.

Контрольні запитання

1. Дайте означення ОП. Це аналогова схема чи цифрова схема?


2. Сутність ОП без зворотного зв'язку.
3. Опишіть роботу схеми ОП підсумувального типу.
4. Який ОП є неінвертуючий?
5. Якими факторами визначаються функціональні можливості ОП ?
6. Нарисуйте принципову схему генератора прямокутних імпульсів на ОП.

Лабораторна робота Ме 5
Схемотехніка базових логічних елементів

Мета: ознайомитися з деякими схемотехнічними методами реалізації базових


логічних функцій та принципами функціонування логічних елементів: Я", "АБО", ЯТ-
HE”,“ABO-HE”.
Лабораторну роботу виконують у середовищі інструментального програмного
комплексу Миїцзіт 10(12).

Література: розділ 3, (1), ІЗ |, 4 ], [5]. [7] [13].

Завдання ЛФ1. Діодно-резистивна логіка "АБО".


Опис схеми. На рисунку 8.20 зображена принципова схема логічного елемента "АБО",
виконаного на діодах ДІ - Р4 (1М4148), резисторах КІ, К2 та містить джерела
живлення МІ -- У3. Структурно схема містить два канали: А і В, ланки яких мають
пари зустрічно-ввімкнених діодів (Р/1, 2 та П3 і 04). З огляду на сказане резуль-
тат проходження струму через кожний канал визначається рівнем поданого сигна-
лу (високий, низький).
Схема працює таким чином. Якщо на входи А і В подати логічну одиницю (висо-
кий рівень - напругу 5В з джерел МІ і У2 через перемикачі ЛП і 12), то напруга на опорі
КІ буде залежати від струмів І та І2, які течуть через діоди РІ і 02. Падіння напруги
Розділ 8. Лабораторний практикум 353

на діодах дорівнює приблизно 0,68. Напругу на ЮКІ вимірюють мультиметром


ХММІ: вона дорівнює різниці напруги джерела та спаду напруги на діоді (Ці 7 5B -
-0,6В - 4,48). На діодах РІ, Ю2 реалізована логічна функція "АБО", На діодах 03, D4
реалізована логічна функція " І" . Напруга на (о рівна логічній одиниці і виникне ли-
ше тоді, коли на входах А і Б наявні напруги --5В (логічні одиниці).

р 1 Мацій
> + Rtу ЗЛ
SLA
|
І мо 3
жа ey |
|
| 42 |
sa 02 |
|
le
eB J мом?

хим2
|
жа
ars Т
J

Рис. 8.20. Принципова схема діодно-резистивного елемента "АБО"

Порядок виконаня завдання Ме 1


1. Складіть схему діодно-резистивного елемента "АБО" згідно з рисунком 8.20.
2. Увімкніть режим симуляції. Задаючи всі можливі комбінації логічних рівнів 70" і
ЗІ" на входах “A” 7В" зафіксуйте значення вихідної напруги, виміряну
BoabMetpamu Uout! i оці. Результати внесіть у таблицю 1.

Таблиця 1
Уоцпії (В) Uout2(B

3. На основі результатів вимірювань (таблиця 1) складіть таблицю істинності


досліджуваного елемента.
4. Підтвердіть або заперечте виконання схемою на рисунку 8.20 логічної функції
“ABO” .
354 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Завдання М» 2. Транзисторно-транзисторна логіка "1 - HE”

Опис схеми. Схема транзисторно-транзисторної логіки “I~ HE” виконана на


транзисторах 01 і 02, резисторах ВІ, КО і ВЗ та містить джерела живлення У1-У3.
Структурно схема містить два канали: А і В, ланки яких з'єднані з базами
транзисторів 01 і 02. Отже, залежно від рівня поданого сигналу на канали А ЇВ
кожен з транзисторів буде відкриватися або закриватися, впливаючи таким чином
на струмопроходження в колі МІ, КІ, транзистори ОЇ і 02 та мультиметр ХММІ.
Зокрема, при поданні на бази транзисторів 01 і 02 логічної одиниці (напруга 58
від джерел У2 і М3 через перемикачі ЛІ і 12), транзистори ОЇ і 02 відкриються. Струм з
джерела МІ пройде через резистор КІ - відкриті транистори 01 і 02 на нульовий
потенціал. Падіння напруги на транзисторах практично рівне нулю і вимірюється
мультиметром ХММІ. Отож, подаючи дві логічні одиниці на входи схеми й
одержуючи |на виході 4 логічний | нуль, ми реалізуємо | логічну | функцію
Ч1- НЕ".

Логічна схема МАМО Гі - ні)

Рис. 8.21. Принципова схема транзисторно-резистивної логіки "І-НЕ"

Порядок виконаня завдання Ме 2


1. Складіть схему транзисторно-резистивної логіки 71- НЕ" згідно рисунком з 8.21.
2. Увімкніть режим симуляції. Знімаючи всі можливі комбінації логічних рівнів 70" і
УТ" на входах "А" і "В", зафіксуйте значення вихідної напруги, що вимірюється
вольметрами ЦПоші. Результати впишіть у таблицю 2.
3. Наоснові результатів вимірювань (таблиця 2) складіть таблицю істинності
досліджуваного елемента.
4. Визначте реалізовану логічну функцію.
Розділ 8. Лабораторний практикум 355

5. Підтвердіть або заперечте виконання схемою, зображеною на рисунку 8.21 логіку


"І- НЕ".
Таблиця 2
A(B)_| BB) | Uout(B)
0 0
5 0
0 5
5 в

Завдання Ло 3. Транзисторно-резистивна логіка "АБО - НЕ"

Опис схеми. Схема транзисторно-резистивної огіки ЗАБО-НЕ" | виконана на


транзисторах 01 і 02, резисторах ВІ - В5 та містить джерела живлення У - У3.
Структурно схема містить два канали: А і В, ланки яких з'єднані з базами
транзисторів О1 ї 02 через резистори КА, ВЗ. Особливістю схеми є паралельне
ввімкнення транзисторів 01 1 02
Логічна функція МОБ ( АБО - ні )

or
Lowe б Rt Р
шоу pou даю
or Key = Space wn | ah
юю jpcsa7c
око

Рис. 8. 22. Принципова схема транзисторно-резистивної логіки «АБО - НЕ»

Якщо на бази транзисторів ОЇ і 02 подати логічні одиниці (напругу 5В джерел У2 і


V3 через перемикачі П і 12), то транзистори О1 і 02 відкриються. Струм з джерела МІ
пройде через резистор ВІ - відкриті транистори ОЇ і 02 на нульовий потенціал.
Логічна функція "АБО - НЕ" реалізується завдяки тому, що в разі подання логічної
одиниці на вхід А або на вхід В, відкриваються транзистори 01 або 02. Падіння
напруги на транзисторах практично рівне нулю і фіксується мультиметром ХММІ.
Отже, подаючи на один з входів логічну одиницю, на виході одержуємо логічний нуль.
Тобто реалізуємо логічну функцію "АБО - НЕ".
356 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Порядок виконаня завдання М» 3


1. Складіть схему транзисторно-резистивної логіки "АБО - НЕ?" згідно рисунком 8.22.
2. Увімкніть режим симуляції. Знімаючи всі можливі комбінації логічних рівнів 707 ї
«І? на входах ЗА" і "В", зафіксуйте | значення вихідної напруги, виміряної
вольметрами Поші. Результати впишіть у таблицю 3.
3. На основі результатів вимірювань (таблиця 3) складіть таблицю істинності
досліджуваного елемента.
4. Визначити реалізовану логічну функцію.
5. Підтвердіть або заперечте виконання схемою, зображеною на рисунку 8.21, логіку
“ABO — HE”.
Таблиця З

AB) | BiB) | Uou(B


0 0
5 0
0 5
5

Зміст звіту: схема досліджуваного елементу; таблиці істинності та логічні


рівняння; таблиці вимірювань; висновки по кожному експерименту; загальні виснов-
ки щодо роботи.

Контрольні запитання

1. Сформулюйте базові логічні функції та запишіть їхні таблиці істинності.


2. Подайте схемне та функціональне позначення базових логічних елементів.
3. Опишіть роботу діодно-резистивної логіки "АБО".
4. Опишіть роботу транзисторно-транзисторної логіки “|— HE”.
5. Опишіть роботу транзисторно-резистивної логіки "АБО- НЕ".

Лабораторна робота Ме 6
Електронні пристрої на логічних елементах
Мета роботи: ознайомитися з практичними схемами цифрових пристроїв на базі
логічних елементів.
Лабораторну роботу виконують у середовищі інструментального програмного
комплексу Мийізіт 10(12).
Література: розділ 3, ПП), ІЗ 1, 141. 15 1, (71, 18), 110), П3 1.

Завдання М. 1. Дослідження генератора прямокутних імпульсів

Опис схеми. На рисунку 8.23 зображена схема генератора з ємнісним додатним обер-
непим зв'язком та м'яким збудженням на базі логічних елементів 21- НЕ, яка може
бути реалізована у середовищі Миїйзіт 10(12) та виконана ехемотехнічно.
Розділ 8. Лабораторний практикум 357

Схема містить джерело живлення УСС, два логічні елементи О1А та ОІВ та пара-
лельно приєднані конденсатор СІ і резистор К.І. Для спостереження вихідних сигналів
та контролю за їхніми параметрами до схеми під'єднаний осцилограф Х5СІ.
Робота генератора полягає в процесах зарядження-розрядження конденсатора СІ
через резистор КІ. Через цей резистор здійснюється ВОЗ - за постійним струмом, а
через конденсатор ДОЗ - за змінним.
Нехай у початковий момент часу конденсатор розряджений. На виході ЛЕ ОВ діє
напруга низького рівня-конденсатор почне заряджатися. У процесі зарядження кон-
денсатора напруга на ньому зростає, а на виході елемента ПІА- зменшується. Коли
напруга на виході елемента UIA досягне низького рівня, вихідна напруга елемента
ОІВ почне збільшуватися. Цей приріст напруги, через конденсатор, надходить на вхід
елемента ЦЛА, внаслідок чого різко зменшиться його вихідна напруга, а отже -
збільшиться вихідна напруга на елементі 1)1В, що супроводжуватиметься різким
зменшенням напруги на виході елемента UIA i т.д. Отже, пристрій стрибком
перемикається в інший стан - з напругою високого рівня на виході елемента ПІВ. З
цього моменту конденсатор почне перезаряджатися, в результаті чого напруга на вході
елемента ЛА зменшується, а на його виході - збільшується. Коли на виході елемента
ТЛА вона досягне високого рівня, пристрій стрибком перемикається у початковий стан
ї процес повторюється.
Такий генератор на логічних елементах 21 - НІ вважають класичним. Він зберігає
працездатність зі зменшенням напруги живлення до 2В. Скважність імпульсів рівна
двом при довільній напрузі живлення. Частоту генерування обчислюють за формулою:
Е з 0,52/ ВІХСІ.

Порядок виконаня завдання М»1


1. Складіть схему генератора згідно з рисунком 8.23.

vec
| ov

«бно З

22nF

40118D_5V

co
ко

Рис. 8.23. Принципова схема генератора прямокутних імпульсів


на базі логічних елементів 21 - НІ
358 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

2. Увімкніть симуляцію та отримайте осцилограми сигналів. Отримані оцилограми


приведіть у звіті.
3. Заміряйте параметри отриманих сигналів за допомогою осцилографа. Оцініть їхню
частоту.
4. Порівняйте значення частоти отриманого сигналу з розрахунковим ЕР.

Завдання Ло 2. Дослідження індикатора напруги

Опис схеми. Пристрій може бути використаний для контролю напруги батареї жив-
лення та визначення ступеня її розрядження. Принципова схема індикатора напру-
ги зображена на рисунку 5.24.

=v
ano

| зма
|

Sen

Рис. 8.24. Принципова схема індикатора напруги

Схема містить: джерело живлення УТ, чотири логічні елементи СІА, О1В та 02В,
О2С, змінні опори КІ, К2, Кб, світлові індикатори (світлодіоди) Х1, Х2, Х3 та мульти-
метр ХММІ.
Для використання схеми за призначенням необхідно виставити потенціометром Кб
необхідну напругу. Потенціометрами ВІ і КО - напруги, які відрізняються від заданої
на необхідну величину відхилення.
Зауважимо, що логічний нуль, для вентилів у цій схемі, становить 0...2,5 В, а
логічна одиниця - всі напруги 2 2,5В.

Порядок виконаня завдання М» 2


1. Складіть схему індикатора напруги згідно з рисунком 8.24.
NE ННСОС-.-? ЧІ

Розділ 8. Лабораторний практикум 359

- Увімкніть режим симуляції. Задайте напругу та перевірте працезлатність схеми.


wn

- Обгрунтуйте роль функціональних елементів у роботі схеми.

Завдання М? 3. Вивчення логічного пробника

Опис схеми. Пристрій


використовують для діагностування електронних схем на
логічних елементах у режи» їхнього налагодження.

vec б — —
|

ne ut
~Lonp ША
То сані
7400" | У
І Ці
|
|
|
п |
КлавншазА | |
г || (UTD pg |
| Клавишаз А тином 1000

~>GND

Lory a
Fanon 1008

Рис. 8.25. Принципова схема логічного пробника

Схема працює таким чином: при поданні на вхід пробника (перемикачі 1, 12)
логічного нуля (0...2,5В) або логічної одиниці (всі напруги ? 2,5 В) на семисегментно-
му індикаторі Ю1, при увімкненій кнопці 3, висвітлюється цифра 0 або 1. Загоряння
сегментів на індикаторі 0/1 відбувається за допомогою відповідних з'єднань логічних
елементів U2A...U9D.
360 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Порядок виконаня завдання Ме 3


Складіть логічний пробник згідно з рисунком 8.25.
жонаро

Увімкніть режим симуляції. Перевірте працездатність схеми.


Опишіть роботу пробника при можливих комбінаціях ключів Л 12.
Оформіть звіт про роботу.

Контрольні запитання

- Яку функцію виконує логічний пробник ? Де він може бути використаний?


вомюа

. Опишіть роботу схеми логічного пробника.


. Опишіть роботу схеми індикатора напруги на логічних елементах.
. Опишіть роботу схеми генератора прямокутних імпульсів на базі логічних
елементів 21-Н
5. Запропонуйте інші практичні схеми пристроїв на логічних елементах.

Лабораторна робота Мо 7
Дослідження тригерів
Мета роботи: ознайомитися зі структурою та функціонуванням тригерів.
Дослідити динамічні параметри тригерів.
Лабораторну роботу виконують у середовищі інструментального програмного
комплексу Multisim 10.1.
Література: розділ 3, [1], [3 ], [4]. [5]. (7 J. [8]. [10]. [13 J.

Завдання І. Вивчення К5- тригера

Опис схеми. На рисунку 8.26 зображена електрична схема В-5 тригера, виконаного на
логічних елементах І-НЕ. Перемикачі 1, 12 служать для подання логічних рівнів
на входи тригера (джерело У! - логічна 1, СМО - логічний 0). Сенсори ХІ, Х2, Х3,
ХА індикують логічні рівні. В-5 тригер пербуває в одному з двох логічних станів
згідно з таблицею 1.

Порядок виконаня завдання No 1 Таблиця 1


1.1. Складіть схему згідно з рисунком 8.26. Ql
1.2. Увімкніть режим симуляції. Подайте логічні рівні на входи
тригера згідно з таблицею 1. Результати впишіть у таб-
лицю 1.
Розділ 8. Лабораторний практикум 361

x1
з :
ek 5у.
Ss Аа Ж
x2

Key = Space. - [oe


alia 401180 SV: | | 5 y
=5V ха
Leno 72 о О
vee {> ЕОР 2
СТО ху9мо їі 401180 5.
г
5 Key - 5расе "Я
(«оно 5

Рис.8.26. Принципова схема ВЗ - тригера

Завдання Л? 2. Вивчення )-К тригера

о
| Key ~ Space

о
Key - Space

Рис. 8.27. Принципова схема )-К тригера


362 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Опис схеми. На рис. 8.27 приведена принципова схема 1-К тригера. Для аналізу робо-
ти схеми використовують логічний аналізатор ХІ.Л1. Перемикач 1/1 призначений
для подання на тактовий вхід одиночного імпульсу, а 14 - для встановлення триге-
ра у початковий стан. До входів і виходу тригера під'єднаний логічний аналізатор
ХІЛІ. При роботі з ним необхідно встановити частоту внутрішнього генератора
аналізатора рівну 50 Нх. Типова осцилограма, що засвідчує роботу логічного
аналізатора, зображена на рисунку 8.28 (таблиця 2).

Порядок виконаня завдання Ме 2


1. Складіть схему згідно з рисунком 8.27.
2. Увімкніть режим симуляції. Перемикачами 12 і 13 подайте на входи 11, КІ логічні
рівні згідно з таблицею 2. Визначаючи ОЇ, перед зняттям показів, необхідно переми-
качем 14 скинути тригер у початковий стан.

є 7 У
ck Tenge
Са) поєзі ооо | Седан (1 = С)
ин г зі 1992011 (аа У баян С) ма (0). сані)
(Reverse) tati |

Рис. 8.28. Типова осцилограма сигналів на екрані логічного


аналізатора від -К тригера

3. Натисніть та відпустіть перемикач Л (імітація одиночного імпульсу). За осцилогра-


мою логічного аналізатора визначіть логічний рівень на виході О1 тригера. Результа-
ти впишіть у таблицю 2.
Розділ 8. Лабораторний практикум 363

Завдання М 3. Вивчення D-rpurepa

xat
oaks sees
ie 2 |
Bel
qота
j at}
|

[Lv [Key-Space: | й
| - ay ||
| 1
| soup bono ||

|| | Том
| +
ru ;
Key= Space хибно

Рис. 8.29. Принципова схема для дослідження О-тригера

Опис схеми. На рис.8.29 привелена принципова схема для дослідження D-rpurepa.


Перемикач Л призначений для подання на тактовий вхід одиночного імпульсу, а
перемикач 12 - для встановлення тригера у початковий стан. До входів і виходу
тригера під'єднаний логічний аналізатор ХІ.АЇ. При роботі з ним необхідно вста-
новити частоту внутрішнього генератора аналізатора рівну 50 Н2. Логічні рівні на
вхід РІ тригера подають через перемикач 13. Робота D тригера відображена на
осцилограмі логічного аналізатора (див.: рис. 8.30) згідно з таблицею 3.

Таблиця 3
Di Ql

J external (С) мае (0) сх

Рис. 8.30. Типова осцилограма сигналів на екрані логічного аналізатора від О тригера
364 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Порядок виконаня завдання Ne 3


1. Складіть схему згідно з рисунком 8.29.
2. Увімкніть режим симуляції. Перемикачем )3 подайте на вхід Р логічний рівень
відповідно до таблиці 3.
3. Визначаючи ОЇ, необхідно перед вимірюванням перемикачем 12 скинути тригер у
початковий стан. Натисніть та відпустіть перемикач ЛІ (імітація одиночного
імпульса). За осцилограмою логічного аналізатора визначіть логічний рівень на
виході 01 тригера. Результати впишіть у таблицю 3.

Завдання Ло 4. Вивчення Ю-тригера в режимі ділення частоти на два

40138рО5М
Рис. 8.31. Принципова схема для дослідження О-тригера в режимі ділення частоти

Опис схеми. На рисунку 8.31 зображена електрична схема Ю-тригера в режимі ділення
частоти на два. З цією метою інверений вихід тригера з'єднується з Ю-входом.
Імпульсний сигнал, частотою 10 Кгц, подається на тактовий вхід СРІ. Режим робо-
ти тригера продемонстрований на осцилограмі (див.: рис. 5.32.).
8. Лабораторний практикум 365
Розділ

Scunmmorpag-XSC1 5 cee ‘wetter

канал (стюронизания
Шкала 5 Запуск (6G) (В вне.
задерж оещенеї Yooser> 9
| casio) Gea) С
Рис. 8.32. Типова осцилограма сигналів
від О-тригера в режимі ділення частоти

Порядок виконаня завдання М4


1. Запустити роботу схеми в режимі симуляції. Виконати необхідні вимірювання.
2. Скопіювати осцилограму.
3. Оцінити частоту отриманих сигналів.

Контрольні запитання
Дайте означення тригера.
Поясніть різницю між синхронним і асинхронним тригером
Флвомюа

Яким фронтом тактового імпульсу 9К- і Д- тригери перекидуються в інший стан ?


Які входи УК- і О- тригерів вважають пріоритетними ?
Опишіть умови застосування К- тригера як Д- тригера ?
Нарисуйте кільцеву схему тригера на логічних елементах.

Лабораторна робота Ме 8
Вивчення функціональних можливостей аналогових
і цифрових мікросхем.
Визначення основних параметрів інтегральних схем
Мета роботи: ознайомитися з типовими мікросхемами аналогового та цифрового
типу, експериментально визначити їхні основні параметри. Отримати елементарні на-
вики зі схемотехніки на базі досліджених мікросхем.
366 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Обладнання: лабораторну роботу виконують на експериментальному макеті, за-


стосовуючи типові цифрові вимірювальні прилади. Об'єктами дослідження є аналого-
ва мікросхема типу КІАОУДІ208, що реалізує функції операційного підсилювача та
цифрова мікросхема типу К561 ЛА? (чотири вентилі в одному корпусі).

Література: розділ І, розділ 2, | 5 1.16). 111, 171, 120), 1221.

Опис експериментального макета


Принципова електрична схема макста для дослідження параметрів цифрових та
аналогових мікросхем зображена |на рисунку 8.33. Структурно | макет
функціональної діагностики цифрових і аналогових мікросхем (далі макет)
складається з двох основних вузлів: 1) функціонального діагностування аналогових
мікросхем; 2) вузла діагностування цифрових мікросхем. Живлення електричної
схеми відбувається за допомогою стабілізаторів напруги РІ, Д2 і р4 типу 781.09
(9В), 791.09 (-9В), 78105(15В). До стабілізаторів подається напруга від двох
зовнішніх джерел напруги 15В.
Конструктивно макет виконаний у вигляді металевого корпусу, на верхній панелі
якого розміщені перемикачі роду роботи та комутації, а також гнізда для під'єднання
вимірювальних приладів (див.: рис. 8.34).

На
от
тво ся сі
100n 100uF "9

er Ey
1
21
В І

es й pa

тої [Jao ми ре
зю| Гзто| || кевілат 4g Контактний
г пристрій?

Рис. 8.33. Принципова схема макета для дослідження аналогових


та цифрових мікросхем
Розділ 8. Лабораторний практикум 367

OR? пи
©3) mS © Vawxon
Or ©
ast-
о

coon
Ena
8

8
оосог
12
3 4 5 6
Чо ососбого
+5B 1 1 8 9 10 п 12 13
Фо 85 9
"nn 90 0 BE
Пр Пра

Рис. 8.34. Зовнішній.вигляд передньої панелі макета

Блок- схема вимірювального комплексу складається (див.: рис. 8.35): І) макета


функціонального діагностування аналогових і цифрових мікросхем; 2) джерела жив-
лення ВИП-010 для живлення електронних вузлів в макеті; 3) універсального цифрово-
го вольтметра для вимірювання напруг на входах і виходах аналогових і цифрових
мікросхем; 4) осцилографа СІ- 93 призначеного для візуального спостереження
імпульсних напруг на входах і виходах цифрових мікросхем.
вип 010 ВИНА
+U

Стабіліатогі ГУ
+98 РУ ЗВ

5
Стабілізатор 3і
|З Панель З
= (зідключеннь
‘mudponot 2і
me :
саван
5B
|
Генератор E
3
лл ен

Рис. 8.35. Функціональна схема вимірювального комплексу


призначеного для дослідження аналогових і цифрових мікросхем
368 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Завдання Ме 1. Функціональне діагностування аналогових мікросхем

У роботі досліджують аналогову мікросхему КІАОУДІ208, яка є мікропотужним


багатофункціональним операційним підсилювачем з регульованим споживанням стру-
My Ta внутрішнім частотним корегуванням. Основні електричні параметри
підсилювача: І) номінальна напруга живлення - 515 В; 2) напруга зміщення -
= t6mB; 3) вхідний струм - Є 30 мкА; 4) струм споживання - «30 мкА:
5) коефіцієнт підсилення напруги -- 2 50 000; 6) вхідний опір - 30 МОм; 7) частота оди-
ничного підсилення - 2 0,1 МГц.
У роботі мікросхему в макет вмикають за схемою неінвертуючого підсилювача
(див.: рис. 8.36):
+9V

Рис. 8.36. Принципова схема ввімкнення мікросхеми К14ОУДІ1208 у макет

Призначення виводів: 2 - вхід інвертуючий; 3 - вхід неінвертуючий; 4 - напруга


живлення (-(/ж); 6 - вихід; 7 - напруга живлення (-- (/ж); 8 - задаючий струм.
Коефіцієнт підсилення обчислюють, використовуючи формулу:

Usux. on.= Unx. on. 1+ RI/R2).

Порядок виконання завдання Ne 1


Функціональне діагностування аналогових мікросхем (операційних підсиліовачів)
здійснюють таким чином. Операційний підсилювач за допомогою контактного при-
строю 1 під'єднують до джерел живлення З9В і -9В та перемикача вхідної напруги
Прі (0,1- 0,5В). Діагностований операційний підсилювач під'єднаний за схемою
неінвертуючого підсилювача. Його вхідна напруга регулюється за допомогою
подільників КІ, В2 і ВЗ, КА. Коефіцієнт підсилення рівний 10.
Розділ 8. Лабораторний практикум 369

1) під'єднайте вхід вольтметра, в режимі вимірювання напруги до гнізд: |вх.оп,


корпус. Подайте на макет від джерела живлення ВИП — 010 напруги 15В;
2) перемикач Прі переведіть у верхнє положення;
3) потенціометром | В2 послідовно | (покроково) | виставте вхідні напруги
операційного підсилювача 0,1В: 0,2В: 0,3B; 0,4B; 0,5B; 0,6B; 0.7B. За допомогою
вольтметра виміряйте вихідні напруги операційного підсилювача. Дані запишіть у в
таблицю 1.
Таблиця 1

Urx.on ов ов 0.3B 0.4B 0,5B ов отв |


Ous.por.
3 1 |

Tyr — Unixpox — вИХІіДНа напруга ОП, розрахована за формулою; (лихлвольт. - ВИХІДНА


напруга ОП, виміряна вольтметром:
4) перемикач Прі поставте у нижнє положення;
5) потенціометром КА послідовно (покроково), виставте від'ємні вхідні напруги
операційного підсилювача - 0.ЇВ: 02; -038-04В; -0,5В; -0,6В; -0,7В.За допомо-
гою вольтметра заміряйте вихідні в гємні напруги підсилювача. Дані занесіть у табли-
цю 2, аналогічну таблиці 1, лише з від'ємними вхідними і вихідними напругами.

Завдання М 2. Функціональне діагностування цифрових мікросхем

У роботі досліджують цифрову мікросхему КЗ61ЛА?, виготовлену за


КМОн-технологією. Функціонально вона є складною логікою типу 21- НЕ, вико-
наною в одному корпусі.

ча | яз | |2| Ге | ро 19 | |з
май pl

=a | eres GND

1 2| 131|4| 151 151 |7

Рис. 8.37. Функціональна схема цифрової мікросхеми К561ЛА?

живлення
Основні електричні параметри: 1) напруга живлення 3....15В; 2) напруга
одиниці
максимальна 8В: 3) напруга логічного нуля © 0,05B; 4) напруга логічної
22,5 B.
370 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Функціональне діагностування цифрових мікросхем виконують таким чином.


Мікросхему за допомогою контактного пристрою 2 і зовнішніх контактних гнізд,
з'єднаних з виводами мікросхеми, під'єднують до джерел живлення, а також до
перемикачів логічного нуля і логічної одиниці Пр3 і ПрА. Логічний нуль або логічна
одиниця з гнізд А і В, за допомогою перемичок, подаються на контактні гнізда (1-2, 5-
6, 5-9, 12-13). Напруги з виходів мікросхеми контролюють на гніздах 3, 4, 10, 11. За
допомогою перемикача Пр2 подають на входи мікросхеми статичний потенціал або
їмпульсну напругу з генератора імпульсів, виконаного на мікросхемі 5 типу 561ЛА7
за схемою мультивібратора.

Порядок виконання роботи завдання Ne?


Перемикач Пр2 поставте у верхнє положення 15 В. Гніздо А з'єднайте перемичкою
з гніздом І, гніздо Б - перемичкою з гніздом 2.
- Перемикачі Пр3 ї ПрА перемкніть у нижнє положення (логічний нуль).
ю

- Під'єднайте вхід вольтметра до гнізда 3 та заміряйте напругу 15 В (логічна одини-


w

ця).
4. Перемикачі Пр3 і Пра перемкніть у верхнє положення (логічна одиниця) і заміряйте
напругу логічного нуля.
Під'єднуючи гнізда А і Б до входів 5-6, 8-9, 12-13, та під'єднавши вхід вольтметра
wn

до виходів 4,10,11, аналогічно перевірте 2,3 і 4 вентилі


6. Перемкніть перемикач Пр2 у нижнє положення (імпульсна напруга). Гніздо А
з'єднайте перемичкою з гніздом 1
Ql 7. Під'єднайте вхід осцилографа до гнізда 3 і
9 візуально / спостерігайте з осцилограми імпульсів.
Оцініть період і тривалість імпульсів. Осцилограму
сфотографуйте та приведіть у звіті.
w

9 8. Використовуючи перший і четвертий вентилі, за


Ta допомогою перемичок, зберіть В-5 тригер згідно з
рисунком 8.38
| 9. Під'єднуючи вхід осцилографа до гнізд 3, 11
R 5 визначіть початковий стан тригера.
Рис. 8.38. Схема В-8 тригера, 10. За допомогою перемичок з'єднайте гнізда А і
яку монтують на макеті Б з гніздами 1 і 13 (К, 5 входи тригера). Подаючи
логічний нуль, змініть початковий стан тригера.
Дані експерименту занесіть у таблицю істинності В-5 тригера (таблиця 3).
Таблиця 3

js о НИ
Розділ 8. Лабораторний практикум 371

Контрольні запитання

1. Якградують мікросхеми за типами оброблюваних сигналів?


2. Назвіть основні параметри аналогових та цифрових мікросхем.
3. Назвіть базові логічні елементи
4. Схарактеризуйте операційний підсилювач.
5. Як реалізовують функціональні можливості операційних підсилювачів?
6. Які функції виконує цифрова мікросхема 2І-НЕ?

Лабораторна робота Мо 9
Вивчення функціональних можливостей аналого-цифрових
ї цифроаналогових перетворювачів
Мета роботи: ознайомитися з основними принципами та режимами роботи анало-
то - цифрових (АЦ) і цифроаналогових (ЦА) перетворювачів.
Лабораторну роботу виконують у середовищі інструментального програмного
комплексу Мийізіт 10.
Література: розділ 5, П |. ІЗ 1, 1415 111).

1. Завдання Ло 1: дослідити точність перетворення АЦП рівнів вхідної напруги Пвх. у


цифровий код.
З цією метою використовують віртуальний макет для дослідження параметрів ана-
лого цифрового перетворювача, функціональна схема якого зображена на рисун-
ку 5.39.

Опис схеми. Схема містить бібліотечний восьмирозрядний АЦП (АРС), джерела опо-
рної напруги У2, М3 (під'єднані до входів Угебн і Угеї- АЦП); генератор МІ для
синхронізації роботи (під'єднаний до входу 5ОС) і дозволу (вхід ОБ) на видачу
двійкової інформації за виходами Р0...07 АЦИ, з якими з'єднані входи логічного
аналізатора ХІІ і пробники ХТ1...Х8; функціональний генератор ХРО як джере-
ло вхідного сигналу (вх. (під'єднаний до входу МІХ АЦП); ЦАП(РАС-А2) та ос-
цилограф Х8СІ; мультиметри ХММІ і ХММ2, входи яких під'єднані до виходів
функціонального генератора, і ЦАП для вимірювання постійної напруги. Вихід
ЕОС призначений для передавання двійкової інформації.
372 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

15,
ж жо ж ха хе хе хі ха
з о
©
а5м (25 У

Рис. 8.39. Фукціональна схема віртуального макету для дослідження параметрів аналого -
цифрового перетворювача

Порядок виконання завдання завдання Ме


1. Складіть схему пристрою для вимірювань згідно з рисунком 8.39.
2. За допомогою перемикача 1 з'єднайте джерело У4 до входу АЦП - Міп.
Напругу живлення (/х. Встановіть в діалоговому вікні джерела УА і виміряйте
мультиметром ХММІ.
3. Увімкніть режим симуляції. Результати вимірювань впишіть у в таблицю МІ.

Таблиця Мої
Око В По ЦАП), В Dey | Pow Doojiwnep Doo) D0) pospan AU%
0,1
05
1,0

20
25
28
-1.0

Примітка: (з (ЦАП) - напруга з вихолу ЦАП, виміряна мультиметром ХММ2;


Do) — двійковий еквівалент вимірюваної напруги, визначений за світловим | випро-
мінюванням давачів Х0...Х7; Дис) - шістнадцятеричний код, який знімають з дисплея
аналізатора ХПЛ Дидінер - число коду, виміряного на виході АЦІ, визначене за
світловим випромінюванням давачів Х0...Х7.
8. Лабораторний практикум 373
Розділ

уйте на
3. Отримані з виходу АЦП десяткові інверсні сигнали Б(10)інвер. перерах
еквіваленти
неїнверені Дито) за формулою: Діто) 7 Диозннеро ~ 128. Розрахункові десяткові
(х.
Дідрюре- КОДУ До) на виході АЦП при заданому значенні вхідної напруги
визначіть 3a POPMYOLO: Di o)poapax. = 256Usx/(V2 + V3).
4. Розрахуйте похибку вимірювань за формулою:
AU% з 100 Ох ЦАП) Ох) Сухе

2. Завдання Ло 2: дослідити інтегральний цифроаналоговий перетворювач.


для
Опис схеми. На рисунку 2 зображена фукціональна схема віртуального макету
дослідження параметрів цифроаналогового перетворювача.

ae 25М © 0 or
| xe
5м С) 06 |
ЗУ ЗУ М |
і рої. ана
25м С) 05 |
we |e
25м 0) 04 11-42
ро зо eee м
Key= Space аву (0) 03 ах | З
арени но 5 | +t
2 м UL Leno
Key~ Space 95y ()
г Px 96 x3 і
Key- Space a5y C) GND
eSSAAT ж
pe да
ey = Space .
wey 25м 0) 00
98 ж

Key= Space З si 5

цифроана-
Рис. 8.40. Фукціональна схема віртуального макету для дослідження параметрів
логового перетворювача

DAC, Ha
У схемі використаний восьмирозрядний цифроаналоговий перетворювач
двійкові коли.
входи якого подаються сформовані за допомогою перемикачів 1...)
рафа
Вихідну напругу ЦАП вимірюють за допомогою мультиметра ХММ І або осцилог
ХУСІ.
374 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Порядок виконання завдання М2


1. Складіть схему згідно з рисунком 5.40.
2. Увімкніть режим симуляції схеми.
3. Подайте на входи ЦАП, за допомогою перемикачів Л...18, двійкові коди згідно з
таблицею 02, Зніміть покази мультиметра ХММІ (значення вихідної напруги Ювих.)
при напрузі джерела УСС -3В.
4. Знайдіть часткові та усереднені значення МЗР.
5. Заповніть таблицю 2.
Таблиця 2.

Вхідний «| 0 Вхідний а ; | Напруга єходин- | Значення молодшого розря-


Вихідна
Номер за

десятковий
бо двійковий напруга ки ду M3P
б код шо ВО | Usna = Usui Bf M3P= (Usina = ід 16 В
0
м

15
31
оо

47
чо со) а ем о ям

10
п
12
2) 5
за

Контрольні запитання
Що становить собою АЦП?
Які є види АЦП? Коротко їх охарактеризуйте.
зЮ

Назвіть основні переваги та недоліки паралельного АЦП.


Якою формулою описують зв'язок між аналоговою величиною А(ї) і цифровою
величиною М(бі)?
Що становить собою ЦАП?
мо

Який ЦАП використовують для високої швидкості перетворення?


Від чого залежить полярність сигналу на виході ЦАП?
За яким принципом побудована мікросхема К572ПАТ1?
8. Лабораторний практикум 375
Розділ

Лабораторна робота Ме 10
Вивчення арифметично-логічних пристроїв

Мета роботи: ознайомитися з принципами роботи та дослідити функціонування


чотирирозрядного арифметично логічного пристрою (АЛП) на мікросхемі 74151
(КІ55ИПЗ)
Лабораторну роботу виконують у середовищі інструментального програмного
комплексу Миїйзіт 10.1.

Література: розділ 5, П ], [3]. 141.51. 171.

Функціональна схема ІМС 74181. Мікросхема цієї АЛІЇ має важливе значення
через її використання як чотирирозрядного суматора. Вона забезпечує 32 режими робо-
ги АЛП залежно від стану керуючих сигналів на входах М, 50 - 53. На рисунку 8.41
зображена функціональна схема чотирирозрядного суматора на базі ІМС на базі ІМС
74181, що дає змогу оперативно ре: тізуватвсі режими.
Можливі режими задають за допомогою перемикачів 0, 1, 2, 3 для подання

сигналів 0 (земля) або 1 (5 В) на входи управління 50, 51, 52, 53. У положенні
перемикача М (сигнал 0 на вході М), виконуються 16 арифметичних операцій (16
комбінацій сигналів 50 - 83) з урахуванням перенесення за виходом Сп або без
урахування перенесення (сигнал 0 на вході Сп перемикача
2). При перемиканні
ключа М в інше положення виконуються 16 логічних операці , що задаються ти-
ми ж перемикачами 0-3.
Значення чотирирозрядних операнлів А і В задають за допомогою генератора слів
у шістнадцятковому коді, відображаються вони на алфавітно-цифрових індикаторах
На виходах ЕФ- ЕЗ результат підсумовування відображається індикатором Е. При коді
1111 на цих виходах і при рівності операндів А-В вихід переводиться в одиничний
стан. Оскільки цим виходом є каскад з відкритим колектором, то на нього подається
живлення 35 В через резистор 1 кОм. Підтвердження перенесення використовується
для формування ознак А"В і А-В за допомогою додаткових логічних елементів Щ1,
02, 03. Змінюючи наявність сигналів на входах, можна промоделювати більшість
функцій АЛП, використовуваних у мікропропесорах
376 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

їни

1
то =

Рис. 8.41. Функціональна схема чотирирозрядного суматора


на базі ІМС 74181

Логічні функції (на вході М сигнал 1) виконуються порозрядно, перенесення не


враховують. До таких функцій відносять:
код 0000 на входах 53, 52, 51, 50: водночас виконується логічна функція А" -- дані
з входів А передаються на виходи КЕ з інверсією. Може бути використана в команді
СМА;
0001 - (А-ВУ - порозрядна операція АБО з інверсією над операндами А і В;
377
8. Лабораторний практикум
Розділ

ного операнда А й операнда В;


0010 - А"В - операція І інвертова
0011 — 0 - немає операції;
ю;
0100 - (АВУ - операція І з інверсіє
0101 - В'- інверсія операнда В:
е АБО, команда ХВА;
0110 — АФВ - операція Виключаюч
и А та інверсією В;
0111 - АВ' - операція І над операндам
рсією А і операндом В:
1000 — А" В - операція АБО над інве
1001 - (А - ВУ - операція АБО з інверсією;
на вихід операнда В;
1010 - В - передавання
1011 - АВ - операція І, команда ANA;
інверстєю В і операндом А;
1101 - А-В'- операція АБО над
анда ORA;
1119 - А-В - операція АБО, ком
ранда А.
1111 - А - перелавання на вихід опе
(Cn=0, дані
енесення (Cn=1) і з перенесенням
Арифметичні операції (М-0) без пер
наведені в круглих дужках):
операнда (АН - підсумовування операндаз 1 пе-
0000 - А - передавання на вихід
ренесення, команда інкремента);
хування перенесення;
0001 - А-В - операція підсумовування без ура
анням перенесення, команда ADC);
Ар ((А-В) 41 - підсумовування з урахув
В без
операнда А з інверсією операнда
0010 - А- В'- операція підсумовування
ям перенесення);
+ 1 — Te ж саме, але з урахуванн
урахування перенесення ((A +B!)
0011 — 1(0);
0100 — A+AB(A+(AB) +1):
0101 (A+B)+AB(AtB)+AB+1):
, команда 50В);
0110 — А-В-І, команда SBB (А-В
0111 АВ-ТИАВ)):
1000 — A+AB(A+B+1);
1001 — A+B, команда ADD(A+B+1);
1010 —(A+B')+ AB((At+B)+AB+1);
1011 — AB-1(AB):
1100 - A+A(A+A+1);
1101 —(A+B)+A(AtB)+ At Ту;
1110 -(А-ВУАЦАЗВУГАНІ);
111- АКА).
378 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Таблиця істинності при низьких активних рівнях операндів зображена у таблиці 1.

Таблиця 1.
Набір управляючих MA M-o
Номер сигналів
стануМЕ 52 Сигнал на вихолі Результат арифметичної або й.
81 so Е відповідній У. Р : операції
:
логічній функції з | | арифметико-логічної
0 0 0 0 0 4, A+C,
1 0 0 0 1 AVB, (Av B)+C,
2 0 0 1 0 A, -B, (Av B)+C,
3 о 0 1 1 9 2'-1+C, (OnpuC, =1)
4 0 1 0 0 АВ, A+(AAB)+C,
5 0 I 0 1 В (ау в)- (Ал в) С,
6 0 1 1 0 A+B, A-B-1+C,
7 0 1 I 1 АВ (An B)-1+C,
8 1 0 0 0 AVB, A+(AAB)+C,
9 І 0 0 1 АУВ, A+B+C,
10 1 0 1 0 B, (Av B)+(AAB)+C,
п 1 0 1 1 AB, (An B)-1+C,
12 1 1 0 0 І A+A+C,(CJUM npn G, = 0)
13 1 1 0 1 AVB, (Av B)+4+C,
14 1 1 1 0 AvB, (Av B)+A+C,
15 1 1 1 1 А A-1+C,

Опис схеми, На рисунку 8.42 показана функціональна схема АЛП на базі мікросхеми
ТАТВІІ, розгорнута на робочому полі Минізіт 10. Мікросхема реалізує чотирироз-
рядний швидкісний АЛІ. На входи А0-АЗ (активні рівні - низькі), подається чоти-
рирозрядне слово ЛО (операнд Л), на входи ВО -- ВЗ - аналогічне слово операнд В.
Коди подають від віртуального генератора слова ХУСТ. АЛП має чотири входи
вибору 50 - 53 (перемикачі ЛП -- 14) за допомогою яких можна вибрати 16 функцій
пристрою. Реально кількість цих функцій у два рази більша: за допомогою входу
М (перемикач 16) перемикаються режими і АЛП виконує або 16 арифметичних
операцій або 16 логічних функцій двох змінних. Перемикач 15 імітує наявність або
відсутність перенесення на вході перенесення СМ.
Розділ 8. Лабораторний практикум 379

w o wwe
i
“GN Ase. . +t
ae танно--темно- 5
| | чи + TTT uy voc
ov
и T ою
Ім 30. б
SV Key~ Space 9 9
і
45 tT 4
ГІ LRP Ra п
І, го. mse зо
| Ж Guo Key = aeSpace I
t = Be =f м | y+ ТО У Ж он sce
Жеу- 5расе том 4 1 7
м we | «вно SND —GND
Tey Мі re we to мВ В
Key~ Space бо ни at ‘DCU HEX
Рис. 8.42. Функціональна схема АЛП на базі мікросхеми 741814

ОЇ
Controls Display je0000000 111001 *
о Сн ооооророоао000000000111010 ‘aad
Best Tbe орооо0000000000000000111011
ОЇ дшу 00000000000000000000111100
ee Pere }000111102
00111110
ота п 0000111111
External з З
“Frequency

Ready б Trigger С
зі
ооб0б00000000.

Рис. 8.43. Панель віртуального генератора ХМ/СІ слів

Порядок виконання роботи


1. Складіть схему відповідно до рисунку 8.42.
2. Ввімкніть режим симуляції. Користуючись генератором слів задайте двійкові
комбінації згідно з таблицею 2.
3. Результати досліджень впишіть у таблицю 2.
380 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Таблиця 2.

Логічна функція/
Арифметична операція pani
Ж в Ss] S| Si | So | M | CN дона | Арифме- F
функція тична
операція
1100 1100 H H H H H H
0010 0100. H iH B B B B
0010 0100 H B B H H H
1100 1100 B H H B H H
1100 0100 B B H H H H
1100 1100 B B B B H H

CN-BC0=0; CN-HCO=1
4. Результати досліджень внесіть в таблицю 3.
Таблиця 3.
На вході М сигнал І На вході М сигнал 0, а сигнал С, 1
Двійковий Значення на індикато Двійковий Значення на індика"
код А в код А В
0000
0001
0010
0011
0100
о101
опо
ої
1000
1001
1010
оп
1100
101
110
пи

5. За результатами досліджень оформіть звіт.

Контрольні запитання

1. Axi функції виконує АЛП у комп'ютері та де він структурно розміщений?


2. Хто керує режимами роботи АЛП?
3. Хто задає операнди у досліджуваній схемі?
4. Які функції у схемі виконують ключі П та 14 15, 162
5. Як відбувається виконання логічних функцій?
381
Розділ 8. Лабораторний практикум

Лабораторна робота Ме 11
Дослідження режимів роботи регістрів пам'яті та зсуву
рів пам'яті та зсуву.
Мета роботи: ознайомитися з принципами роботи регіст
Лабораторну роботу виконують у середовищі програмного комплексу Мийізіт
1012).

Література: розділ 5, П 1, 21, І3Г5. 1101.


гера у регістрах пам'яті та
Завдання І. Вивчення принципів функціонування р-три
зсуву
схема регістра для епостере-
Опис схеми, На рисунку 8.44 зображена функціональна
а у момент
ження за фіксуванням інформації (логічної одиниці) на виході григер
СР) (див.: рис. 8.44).
появи переднього фронту тактового імпульсу (входи СРІ,

клавиша 2 5расе | Клавийа З брасе Клавиша = Space Клавіна - брасе


2. B 4

0 pq GND
of OANA Le oA
клавииа- брасо "КО | | Жлавиша- брасйКО. | Knaewua~ Space оС
ання інформації
Рис. 8.44. Функціональна схема регістра для спостереження фіксув

ди
Логічну одиницю або нуль подають через перемикачі 15, 16, 17 на Р-вхо
і входи СРІ, СР2 подають
тригерів. За допомогою перемикача (клавіші) ) 8 на тактов
виходи тригерів з'єднують з
імпульси частотою 1Гц-1 кІГЦ, Через перемикачі ЛІ, 12, 13
віртуальним осцилографом Х5С1.

Порядок виконання завдання Nel


1. Складіть схему для досліджень згідно з рисунком 8.44.
2. Увімкніть режим симуляції схеми.
~

382 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

3. За допомогою перемикачів 15,16, 17 установіть трирозрядний двійковий код 000 (за-


микання клавіші на нульовий потенціал рівнозначне логічному нулю, а через опір на
джерело живлення - логічній одиниці).
4. За допомогою перемикача 78 подайте з генератора У прямокутні імпульси частотою
1кГЦ. Світлові давачі ХІ1, Х2, ХЗ не мають світитися.
5. Вимкніть режим симуляції. Всі перемикачі поставте у вихідне положення, а частоту
генератора задайте 1Гц. На осцилографі задайте такі параметри: частота розгортки
20ме, шкала для каналів АіВ - 10 В.Зміщення У у каналі В рівне 2.
6. Увімкніть симуляцію схеми. Перемикачем 18 виставте код 000.На черговому етапі пере-
микач 18 переведіть у вихідне положення і подайте код 010. Увімкніть перемикач J3.
7. Увімкніть перемикач 18 і переконайтеся (за осцилограмою), що логічна одиниця на
виході тригера 02В виникає у момент появи переднього фронту вхідного імпульсу.
8. Вимкніть симуляцію схеми. Перемикачі поставте у вихідне положення. Частоту ге-
нератора задайте рівною 1 кГц.
9. Змінюючи послідовності двійкових входів 010; 011; 111 на інформаційних входах,
повторіть пункти 1.3-1.8 з частотою генератора ІкГц.
10. Опишіть у звіті результати досліджень та надайте осцилограми і коментарі до них.

Завдання 2. Дослідження регістра зсуву на р-тригерах

Опис схеми. Функціональна схема для дослідження чотирирозрядного регістра зсуву,


виконаного на П-тригерах, зображена на рисунку 8.45.
ж x2 ю ж
о
лом yay му
що

та ув |
afm fm єр cal
wee pew belo obec: Lee
a a} ee г 2
401380_5V 401380_5V ово ЗУ 4013805V

і
І

Клавиша - Зрасе Козниша = Space


з м
a =
bono
С
лю

Рис. 8.45. Функціональна схема для дослідження регістра зсуву


Розділ 8. Лабораторний практикум 383

У початковий стан регістр скидають за допомогою перемикача 14. Для встанов-


лення двійкових чисел у регістри (виходи Х 1 Х4) через перемикач 73 на тактові входи
(CP1, СР2) тригерів подають поодинокі імпульси Режим кільцевого генератора
реалізують за допомогою перемикача ЛІ.

Порядок виконання завдання Ne2


1. Складіть схему згідно з рисунком 5.45.
2. Отримайте у викладача чотирирозрядні послідовні двійкові коди.
3. Увімкніть режим симуляції схеми.За допомогою перемикача 14 Зобнуліть""
регістр. Уведіть у регістр послідовний код (0101) (першим вводити молодший роз-
ряд).За допомогою перемикача 12 подати на вхід регістра логічний нуль (молодший
розряд). Натискаючи перемикач/3 (імітатор генератора синхроїмпульсів). запишіть
молодший розряд у тригер МЛА і т.д. У разі введення у регістр числа 0101 у
послідовному коді мають вмикатися давачі Х 1, Х3.
4. Перемикач 12 перемкніть у логічний нуль. Подаючи чотири синхроїмпульси (на-
тисканням клавіши ЛІ) вивдіть число 0101 з регістра в послідовному коді. Процес ви-
ведення спостерігати за допомогою осцилографа. Встановіть на осцилографі такі па-
раметри: час - 20 тя; шкала У на каналах АЇ В - 10; зміщення У на каналі В - 2.
5. Оформіть звіт з відповідними осцилограмами та прокоментуйте їх
-

Контрольні запитання

1. На яких тригерах будують регістри?


2. За яким фронтом тактового імпульсу відбувається запис та зсув інформації в
регістрах, зібраних на СО40138М?
3. Чому регістри іноді називають "регістри-клямка"?
4. Сформулюйте правило побудови регістра зсуву на D-tpurepax.
5. За скільки тактів можна записати і зарахувати в чотирирозрядний регістр зсуву
двійковий код 1001?
6. Яким чином регістри зсуву можна використати як перетворювач послідовного ко-
ду у паралельний, і навпаки ?

Лабораторна робота Ме 12
Вивчення роботи лічильників

Мета роботи: ознайомитися з принципами роботи та проектування лічильників.


Засвоїти основи функціонування схем лічильників різних типів.
Лабораторну роботу виконують у середовищі інструментального програмного
комплексу Мийізіт 10.

Література: розділ 5, П 1. 2. 13151. Г10 1.


384 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Завдання 1. Дослідження подільника частоти на Д-тригерах

Опис схеми, Досліджуваний подільник виконаний на Д-тригерах серії 4000. На рисун-


ку 8.46 зображена функціональна схема дослідження подільника частоти. Для ро-
боти П-тригера в режимі ділення частоти інверсний вихід тригера з'єднують з Д-
входом, а сигнал, частота якого підлягає діленню - на вхід СР та канал В осцило-
графа. Прямі виходи тригерів через перемикачі 12, 3, 14, 15 з'єднують з входом А
віртуального осцилографа ХЗСІ.

401380 5 i 401380 5V 461380 5V 4013B0_5V

aoe 2 з з 45
aD В Knaewua =fSpace | Кловишаais
2 Зрасе | | Клавиша a= Space 3
Uhi L set

Рис. 8. 46. Функціональна схема дослідження подільника


частоти на О-тригерах

Порядок виконання завдання І.


1.1. Складіть схему дослідження подільника частоти на Д-тригерах згідно з рисунком
1.
1.2. Увімкніть режим симуляції схеми.
1.3. За допомогою перемикача Л подайте на вхід подільника частоти імпульси.
14. На шкалі У осцилографа Х5СІ каналів А і В встановіть-10В/Фіу, на шкалі роз-
roptKn — 2ms/Div.
1.5. Почергово, за допомогою перемикачів 2, 13, 14, 15 на екрані осцилографа
визначіть частоту імпульсів на виходах Д-тригерів.
1.6. Оформіть звіт про виконання завдання 1 з осцилограмами на виходах Ю)- тригерів.

Примітка: одночасно допустиме замикання є тільки одного


з перемикачів 12, 13, 14, 15.
Розділ 8. Лабораторний практикум 385

Завдання М22. Дослідження лічильника імпульсів на Д-тригерах

Опис схеми. На рисунку 8.47 подана функціональна схема лічильника подільника час-
тоти на 32, в режимі підрахунку імпульсів, виконаного на Ю-тригерах.
Скидування лічильника у початковий стан виконують за допомогою перемикача 12.
Подання на вхід лічильника поодиноких імпульсів реалізують натисканням клавіші Л.
Кільксть поданих імпульсів відображається на індикаторах ХІ, Х2, Х3, Х4 у
двійковому коді.

ГО я ща Ї
| 401380 5V 401380 5V
|

|| a 2 Se
elo чаї» =5V
Knatinuia = Space Knatinuia = Space ~euo

Рис. 8.47. Функціональна схема дослідження лічильника


імпульсів на Б-тригерах

Порядок виконання завдання 2


1. Складіть схему відповідно до рисунку 5.47.
2. Увімкніть режим симуляції схеми.
3. За допомогою перемикача 12 скиньте всі П-тригери у нульовий стан (давачі ХІ, Х2,
Х3, ХА не світять). Свічення давачів відповідає логічній 1.
4. Перемикачем JI, що керує подачею на вхід поодинокого імпульсу, виставіть у
лічильнику довільне число імпульсів від 0 до 15, індикатором якого є давачі ХІ, Х2,
Х3, ХА.
5. Оформіть звіт про виконання завдання 2.

Завдання 3. Дослідження двійково- десяткового реверсивного лічильника HEF4510BD


Опис схеми. На рисунку 8.48 зображена | функціональна схема дослідження роботи
лічильника НЕРАЗІОВЮР, виготовленого за КМОН технологією.
386 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

х BS oo)
мес
У Зм 3300 ud
М ва
Key = Space sgn 3300
ul ВЗ
22
ЖОВ чі хв 3300 | i
feos4 te Ro
Key = Space De = es
Ef DCD_HEX.
8 МН iyo "ЗА
ФР GND am 4011BD_5V
п 451080 5М
ВЕ банка Ера
Key= Space Las
J iT ‘
Jef pe
Ме J. ША 5 Ri
Iu Key = Space GND 3300

РІ GND GND
Jeno Key= Space Key= Space ЗОРОМ Key = Space

Рис. 8.48. Функціональна схема дослідження двійково-десяткового реверсивного лічильника


HEF4510BD

Передустановлюють число за входами РІ, Р2, Р3, РА.У разі передустановлення


числа на вході РЕ - логічна 1, в рахунковому режимі на вході РЕ - логічний 0. При
логічній 1 на вході ОР/ОМ число збільшується на одиницю, при логічному нулі -
зменшується на одиницю. В рахунковому режимі та в режимі передвстановлення на
входах К і СЇ - логічний 0. СО - вихід переповнення. Число, яке подається на входи
лічильника у двійковому коді реалізується перемикачами 1, 12, 13, 14. Режим роботи
(передустановлення /рахунковий) обирають перемикачем 25. Реверсний режим вико-
нують за допомогою перемикача 16. Поодинокі імпульси подають за допомогою пере-
микача 17. Числа відображаються на семисегментному індикаторі ОС НЕХ.

Порядок виконання завдання 3


1. Складіть схему згідно з рисунком 8.48.
2. Увімкніть режим симуляції схеми.
3. Увімкніть режим передвстановлення числа за допомогою перемикача 15. У цьому
pa3i_ GND — логічний 0; 5В - логічна І. За допомогою перемикачів Л, 12, 13, 14
встановіть довільне число від 0 до 9. Індикація числа на індикаторі 04. Перемикач
Л імітує поодинокий імпульс. Його подають на вхід СІК.
4. Увімкніть рахунковий режим. Змінюючи положення перемикача 16, додайте та
відніміть одиницю ві, аданого числа.
Розділ 8. Лабораторний практикум 387

5. Обнуліть лічильник. Подаючи імпульси на вхід, дослідіть коли зміниться потенціал


на виході переповнення СО.
6. Оформіть звіт про виконання завдання 3.

Контрольні запитання

1. Як реалізувати подільник частоти на Д-тригерах? Опишіть процедуру виконання


операції поділу.
- Як реалізувати лічильник імпульсів на D-tpurepax?
фФлромю

- Як перевести лічильник імпульсів на 0-тригерах у нульовий стан?


«Яким чином подати поодинокий імпульс у лічильниках імпульсів на О-тригерах?
. Опишіть функціональну схему двійково-десяткового реверсивного лічильника.
. Як обирають режим роботи (передустановлення/рахунковий) у реверсивному
лічильнику?

Лабораторна робота Ме13


Дослідження роботи оперативного запам'ятовуючого
пристрою
Мета роботи: вивчити роботу оперативного запам'ятовуючого пристрою (ОЗП) у
режимах запису і вибірки інформації; дослідити параметри цього пристрою.
Лабораторну роботу виконують у середовищі програмного комплексу Multisim
10(12).
Література: розділ 5, П |, І2 1, І31.15. 61, П2).

Теоретичні відомості
Конструктивно будь-яке ОЗП складається з двох блоків: матриці запам'ятовуючих
елементів і дешифратора адреси. З технологічних міркувань матриця найчастіше має
двокоординатну дешифрацію адреси -рядками і стовпцями. На рисунку 8.46 зображе-
на матриця 16-бітного статичного ОЗП. Матриця складається з 16 комірок пам'яті
тет і. Схема елемента матриці (однієї комірки па'м'яті) зображена на рисунку 8.47.
Кожна комірка пам'яті адресується за входами Х, У шляхом вибору дешифраторами
адресних ліній по-рядково Ахо... АхЗ і за стовпцями ЛУ... Ау3. Вибір здійснюється
шляхом подання по вибраних лініях матриці сигналу логічної "1". Водночас в
обраній комірці пам'яті спрацьовує двовходовий елемент (U1) (aup.:puc. 8.47),
підготовлюючи ланки вибірки-запису інформації на вхідних 010... РІЗ або вихідних
000... рОЗ-розрядних шинах. Дозволяючим сигналом для видачі адреси є С5, який
подається на вхід дозволу лічильника адреси (Аг спі) або такий же вхід
дешифраторів, під'єднаних до виходів лічильника.
388 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Ayo ду! Ay2 Ay3

WRIRD с8-» о с Addr_ent

Рис. 8.46. Матриця 16-бітного статичного ОЗП

Рис.8.47. Схема елемента матриці ОЗП (комірки пам'яті теті)

При записі біта в комірку пам'яті (див.: рис. 8.46) на відповідній розрядній шині
встановлюється 1 або 0, на вході WR/RD встановлюється "17, після стробування
лічильника або дешифратора адреси сигналом С8, спрацьовують є лементи 21 01, 02.
Позитивний перепад сигналу з елемента 02 надходить на тактовий вхід Д-тригера U4,
в результаті чого в ньому записується 1 або 0, залежно від рівня сигналу на його
D-sxogi (див.: рис. 8.47).
У разі вибірці з комірки пам'яті, на вході У/К / ВР встановлюється 0, при цьому
спрацьовують елементи 01, 03, 05 ї на вхід ДОЗВІЛ ВИХОДУ буферного елемента 06
Розділ 8. Лабораторний практикум 389

надходить дозволяючий сигнал, у результаті чого сигнал з О-виходу D-rpurepa


передається на розрядну шину DOO... DO3.
Для перевірки функціонування комірки пам'яті використовують генератор слова
(див.: рис. 8.48).

р важирооовоооюоюто
1
у
Рис. 8.48. Лицева панель генератора
слова

Опис досліджуваної мікросхеми ОЗП


Для дослідження режимів роботи ОЗП в лабораторній роботі використовують
мікросхему НМ-65642/883, яка є ОЗП статичного типу. На відміну від мікросхеми
ОЗП, зображеної Ha рисунку 8.46, Bona має 8192 x 8-Бії комірок. Виводи для
під'єднання мікросхеми НМ-65642 83 зображені на рисунку 8.49, а віртуальна плата з
матрицею ОЗП - на рисунку 5

DESCRIPTION
4] 2] 8] | als} 1 3] >] 3

ed
Barreorer

‘Chip Eadie
Спр лав
ке Его
Окна лаві
No Comectons

Рис. 8.49. Позначення виводів мікросхеми НМ-65642/883

Управління відбувається двома сигналами: Є - дозвіл вибірки, УУ - дозвіл запису


інформації. Адресні входи позначені А, вихідні сигнали позначають літерою Р.
в 'ННО'5ІШ-ТЯА-Їб' ЄЮ юю
уЄО снпидтем є ишеии гончивЛдів емівхо 1098 9иа
ЕЛЕКТРОНІКИ
КОМП'ЮТЕРНОЇ

ГР 7s
з я єтианих
15
з є єтиаких
Ty
ОСНОВИ

з є тики
с м єтияних
т
с етану
AST,
з янтивтиу
о йнианих
те
за тп DOA
390
практикум 391
Розділ 8. Лабораторний

На рисунках 8.51 і 8.52 зображені часові діаграми роботи мікросхеми в режимах


запису і вибірки інформації.
Write Cycles |. — TAVAX |
a XY Ty
Te raven «кіно rm +} — ria —+}
і р

l~- raz ж

TA

ро стається ТЕПЕТН іо тЕТНАХ ж

є ЗА
єї

р 7 — TAVAX — ен = --
А XX x
= TAVE2H тя ній ee ja
————m TEZLAX ->)

- TOVE2L жо ТЕЛОК т

Рис. 8.51. Часова діаграма роботи мікросхеми в режимі запису інформації


392 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Read Cycles

tavav
єї
ес темам
f— tetax ——>|
є
і

Рис. 8.52. Часова діаграма роботи мікросхеми в режимі вибірки інформації

Завдання Ло. Дослідження роботи комірки пам'яті

Опис схеми вимірювань. На рисунку 8.53 подана функціональна схема дослідження


роботи комірки пам'яті, побудованої на основі Ю-тригера.

xLAL
Ly
ay
oS
Pid
2
4a
Чо
fe

Puc. 8.53. Функціональна схема дослідження комірки пам'яті Ha D-Tpurepi


Розділ 8. Лабораторний практикум 393

При логічній одиниці на вході Д-тригера на виході мікросхеми UIA (cxema “I”)
виникає логічна одиниця (при логічних одиницях на входах мікросхеми - адресація
комірки) (див.: осцилограму на рис. 8.54.). При поданні логічної одиниці з виходу ЦІА
на один із входів мікросхеми |2В (схема "1")), а на другий - сигнал УУВАВЮ, на вході
СР тригера формується імпульс, який переднім фронтом переписує інформацію з входу
О-тригера на вихід О і зберігає її до приходу чергового імпульсу М/К'КР.

Логический анализатор-ХІДІ
Bpema (c)
10200 10.400 10.600 10.800

|
|

Развертка Уровень | |
1 ea) Bpena/fen 1 : we
то є уст. . | Внешний (С) Опред (0) спред (Т) |
таті z |

Рис. 8.54. Панель логічного аналізатора

У схемі застосовують віртуальний генератор слова ХМ/СІ (див.: рис. 8.55) і


віртуальний логічний аналізатор ХІАІ (див.: рис. 8.54). Мікросхема U4A є буфером з
трьома станами. При логічному нулю на виході 03С мікросхема Ц4А переходить в
третій стан
394 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

оособооао00000000000000000000100 |
a0c00000000000000000000000000101
оосоопооо0000000000000000000110 | |і
обообоооо0о000000000000000000111 |
ооообоососо0о0о00000000000001000 | |
|Метановких | © asc соообоооо00000000000000000001001 |
зак ооосооооо00000000000000000001010 |
Games) - оооозобоооооооовооааооо0а0001011
се
частота еооооооо000000000000000000003101
i 0000900000090000900G000000001110
30 па На ОРЕ 5
Готовность 0 Запуск
зі о
босесобосбоббобб0бб000000000000000

Рис. 8.55. Панель генератора слова

Порядок виконання завдання 1


1. Складіть схему згідно з рисунком 8.53.
2. Увімкніть режим симуляції. Встановіть такі параметри генератора слів: частота ге-
ператора - 10 Гц; управління - циклічне; запуск - внутрішній; відображення --
двійкове. Встановіть початковий крок 0000, кінцевий - 1111.
3. У логічному аналізаторі виставіть частоту внутрішнього генератора 10 Н2.
4. Увімкніть режим симуляції.
5. Користуючись осцилограмою логічного аналізатора, проаналізуйте роботи комірки
пам'яті, керуючи сигналами на входах і виходах у точках 01, 0, ВМУ, О в режимах
запису і вибірки інформації.
6. Оформіть звіт з детальним аналізом осцилограми логічного аналізатора та роботи
комірки пам'яті.

Завдання ДЛ2. Дослідження роботи мікросхеми НМІ - 65642/883


Опис схеми вимірювань. На рисунку 8.56 зображена | функціональна схема
дослідження мікросхеми НМ І1-65642/853.
Мікросхема НМІ1-65642/883 є типу СМО5-КАМ, з організацією: сто комірок опе-
ративної пам'яті, в кожну з яких записується один байт. За допомогою перемикачів
51-58 здійснюють вибірку необхідної комірки у двійковому коді. 51-54 молодший
розряд (індикатор 03), 55-58 старший розряд (індикатор 02). На входах 000 - 008 за
допомогою перемикачів 511 - 514 уводять задане число. Записується число в комірку
здійснюється за входом М/ кнопкою 515. Для перевірки записаного числа в довільній
комірці необхідно набрати номер комірки і замкнути перемикач 510.
Розділ 8. Лабораторний практикум 395

ша us
яvee Ageet nce яв mace Їятtac [re осо нех оо яко осо hi мех 0іб Я

м |

|
||
57 | uaa recor | |
Kin'= Space 4
& [3 jason vee I
~~ kn Space 1 зм Ї
He T (rat lei2. leas. ira
| р о Rio Sa70 34709 2470024700
Knamuia = Space 4700 13111
(per ЗУ 1 Tog pONO c+
Kn Space ха
Рис. 8.56. Функціональна с ла дослідження мікросхеми HM1-65642/883

Порядок виконання завдання 1


1. Складіть схему згідно з рисунком 8.56.
2. Увімкніть режим симуляції. У досліл жуваній схемі використана область пам'яті з
адресами 00...99 (в десятковій системі числення). 02 - старший розряд, 03 - мо-
лодший розряд. Установіть алресу комірки пам'яті - 55. Перемикачі 51, 53, 55, 57
переведіть у розімкнутий стан.
3. Подайте довільне двійкове число на вхід/вихід мікросхеми, наприклад, 1111. З цією ме-
тою замкніть перемикачі 51 1.512. 513, 514. Засвітяться індикатори Х3, Х4, Х5, X6.
4. Запишіть двійкове число 1111 в комірку пам'яті за адресою 55. Для цього натисніть
та відпустіть кнопку 515
Розімкніть перемикачі 511, 5 3, 514. Індикатори Х3, Х4,Х5, Х6 погаснуть, на
виході встановиться число 0000.
6. Зробіть вибірку числа, записаного в комірці пам'яті за адресою 55. Для цього
замкніть перемикач 510. Індикатори Х3, ХА, Х5, Х6 засвітяться, що означає запис у
комірці пам'яті за адресою 55 двійкового числа 1111.
7. Повторіть режим запису і вибірки чисел у мікросхемі за довільними адресами.
8. Опишіть роботу мікросхеми у звіті.
9. Оформіть звіт. Опишіть режими запису та вибірки.
396 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Контрольні запитання

1. Розкажіть про ОЗП.


2. З яких блоків складається ОЗП ?
3. Який тип дешифрації адреси реалізується у схемах ОЗП ?
4. Як обирають комірку пам'яті ?
5. Як функціонує ВАМ- пам'ять ?
6. Охарактеризуйте функції у схемах досліджень генератора слова ХУ/С1 та
віртуального логічного аналізатора ХІ АТ.

Лабораторна робота Ме 14
Дослідження режимів роботи регістрів і лічильників на базі
лабораторного стенду
Мета | роботи: освоїти | методику | вимірювання | основних | параметрів
функціональних вузлів - регістрів і лічильників за допомогою дослідного лабораторно-
то стенда, використовуючи набуті знання в електронній лабораторії Миїцзіт під час
виконання попередніх лабораторних робіт. Сформувати практичні навики ск. ання
вузлів комп'ютера на базі реальної елементної бази цифрової електроніки.

Прилади та обладнання: 1) лабораторний стенд; 2) осцилограф СІ-94; 3) блок


живлення ВИП - 010; 4) набір перемичок для схемної комутації гнізд стенда.

Література: розділ 5, П |. (2 1.131.151.161, 12).

Технічний опис стенда для дослідження вузлів на D-rpurepax


Принципова схема стенда містить два функціональні блоки: 1) для дослідження
вузлів на Д-тригерах (див. рис. 8.57); 2) блок для дослідження мікросхеми ОЗП,
Схема блока для дослідження пристроїв на Д-тригерах виконана на мікросхемах
03, РА типу 155ТМ2 (два Ю-тригери в одному корпусі).
Виводи 1,13 тригерів з'єднані між собою. За допомогою перемикача 53 тригери
встановлюють у початковий стан-логічні нулі на виходах 5,9. Резистори ВЗ, В4, В5,
Кб, перемикачі 54, 55, 56, 57 використовують через виходи Х21...Х24 для формування
поодиноких імпульсів і подання їх на входи синхронізації (3,11) тригерів. За допомо-
тою мікросхеми П2 і світло діодів УР9...МД12, індикується стан тригерів на виходах 5,
9 (при логічній одиниці - світлодіод світить). Гнізда Х1...Х24 конструктивно розміщені
на лицевій панелі стенда.За допомогою перемичок, з'єднуючих гнізда ХІ...Х24, для
дослідження збирають схеми регістрів (рис. 8.58.) та лічильників (рис. 8.59.).
Генератор прямокутних імпульсів, який використовують | для дослідження
лічильників, виконаний за схемою мультивібратора на мікросхемі РІ.
Вузол, виконаний на елементах В2, 52, Х20 використовують для подання на вхід
регістра логічного нуля або логічної одиниці.
Розділ 8. Лабораторний практикум 397

б о
ГО ве Пат!
| ик ки

аз
ми

с
та
x. б іж
з| М a

єї ср о сию (елекй ei tna


язок 0 "Р сука |явлок'у
|венок ХО ато
ooсажа A oes a

Рис. 8.57. Принципова схема блока для дослідження пристроїв на О-тригерах

она вна
seid) sera

x та
мк xn

itжи

Рис. 8.58. Принципова схема блока для дослідження регістрів на О-тригерах


398 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Ad

Рис. 8.59. Принципова схема блока для дослідження лічильників на О-тригерах.

Конструктивно стенд виконаний у вигляді несучого металевого корпусу, верхня


лицева панель якого схематично зображена на рисунку 8.60.

Fe Fe Tt
— nace 3 E33

0 7 ту 5 8388
6 ae
8 a
-
-

Рис. 8.60. Лицева панель стенда


практикум 399
Розділ 8. Лабораторний

Мікросхеми р1(155РУ2) ї 03.4 (15 ТМО2) наочно розміщені зверху на панелі, що


На панелі розміщені
дає змогу також оперативно (при необхідності) їх заміняти.
вузлів базової схеми. За
гнізда 51...524, які під'єднані до виводів тригерів та інших
о від вибраної схе-
допомогою зовнішніх перемичок гнізда 51...524 з'єднують залежн
ми дослідження (див.: рис. 8.58 чи рис.5.29).
Кнопка 53 (скидання регістрів) призначена для скидання григерів у початковий
стан. Кнопки ЗА(СІКІ), 55(СІ.КО), 56(СІ.К3), 57(СІ.КА) використовують для форму-
іх перемичок на входи
вання поодиноких імпульсів, які подають за допомогою зовнішн
имкнення генера-
синхронізації тригерів. Перемикач 51 призначений для ввімкнення/в
гора прямокутних імпульсів, перемикач 52 - для подання на вхід регістра (тригера)
логічного нуля або логічної одиниці
На боковій стінці корпусу стенда розміщені два гнізда для подання постійної
напруги живлення стенда 8В.

Порядок виконання роботи

Завдання 1. Дослідження роботи тригера


стенді, використовуючи набір зовнішніх перемичок, зберіть
1. На лабораторному
схему, зображену на рисунку 5.5
2. Вимкніть перемикач 51(генератор) 1 511(живлення мікросхеми пам'яті).
айте до
3, Загальні закінчення кабелів першого і другого каналів осцилографа під'єдн
кінець першого каналу підключіть до гнізда Х 21,
гнізда Х18; потенціальний
потенціальний кінець другого каналу - до гнізда Х 3.
на 2В; подільник
4. Подільник вхідної напруги оспилографа - У/дел. перемкніть
розгортки " время /дел."- на 0.5 сек
5. Увімкніть джерело живлення 5 В та осцилограф С1-93.
иньте тригер
6. Перемикачем 52 подайте логічну одиницю на вхід Д-тригера Ю3-1.Ск
тобто виведіть
3-1 у початковий стан. Натисніть на осцилограф і кнопку «2 ...22,
на екран осцилографа обидва канали. 1 канал
У початковому стані: на першому в
каналі - логічний нуль, на
логічна одиниця. ї
7. При натисканні кнопки 54 (СІКІ) має 5B.
появитися осцилограма відповідно до ' : Ee
Diesen i
рисунка 8.61.
Осцилограма на рисунку 561 свідчить в) і
про те, що інформація на вході Ю-тригера і
(логічна одиниця) переписується на прямий реа
вихід тригера О під час зростання фронту 5B
1 Ee
імпульсу, тобто переднім фронтом імпульсу.
8. Отримані в роботі осцилограми опишіть Рис. 8.61. Осцилограми логічних сигналів
на виході Д-тригера 03-1
у звіті та прокоментуйте.
400 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Завдання 2. Дослідження регістра зсуву на D-rpurepax

Уведення (запис) у регістр двійкового числа У послідовному


коді
1. Скидуємо регістр у початковий стан, натискаючи клавіш
у 53.
2. Уводимо в регістр послідовний код двійкового числа 1010,
починаючи зі старшого
розряду.
3. При скиданні встановліоється потрібний нам логічний нуль
- 0000.
4. Перемикач 52 переводимо у положення логічної одиниці.
5. Встановюємо в тригерах логічну одиницю, натискаючи
послідовно перемикачі 54,
55, 56,57-111.
6. Перемикач 52 переводимо у положення логічного нуля.
7. Встановлюємо у тригерах 3-1, D3 -2, 04-1 логічний нуль,
натискаючи послідовно
перемикачі 54, 55, 56 - 0001.
3. Перемикач 52 переводимо у положення логічної одиниці.
9. Встановлюємо у тригерах р3-1,03-2 логічну одиницю,
натискаючи перемикачі 54,55
- 101.
10. Перемикач 52 переводимо у положення логічного нуля.
1, Встановлюємо у тригерах 3-1, 4-1 логічний нуль, натис
каючи перемикач 584.
12. Послідовність операцій з уведення послідовного длвій ового
числа в регістр зобра-
жена в таблиці 2.
Таблиця 2
Перемикачі Двійкове число
1 53 0000
2 S2-1 54, 55, 56, 57 1111
3 52-0 54, 5 , 56 0001
4 S2-1 54,55 пої
5 52-0 54 O10l

13. По чотирьох шинах, з прямих виходів тригерів 03, D4 регістр


а при необхідності
виводиться число 1010 у паралельному коді.

Виведення (зсув) з регістра двійкового числа У послідовному коді


14. Під'єднайте осцилограф СІ1-93 на вихід регістр а (гніздо Х 15).
15. Уведіть (запишіть) в регіс тр двійкове число 1010.
16. Перемикач 52 переведіть у положення логічного нуля.
17. Натискаючи почергово перемикачі 57, 56, 55, 54, спостерігаємо
за допомогою ос-
цилографа C1-93 Ha виході регістра вивід (зсув) двійкового числа
1010 у
послідовному коді.
18. Один розряд двійкового числа виводиться (зсувається) з виходу
регістра натискан-
ням почергово перемикачів 57, 56, 55, 54.
Розділ 8. Лабораторний практикум 401

19. Послідовність операцій з виведення (зсуву) послідовного двійкового числа 1010 з


регістра подана в таблиці 3.
Таблиця З

Перемикачі Двійкове число.


1 52-0 0010
2 S2-0 0001
3 S2-0 57, 56, 55, 54 0000

20. Роботу регістра в режимах введення (запису) і виведення (зсуву) послідовного


двійкового числа описати у звіті.

Завдання 3. Дослідження роботи лічильника імпульсів


1. На лабораторному стенді, використовуючи набір зовнішніх перемичок зберіть схему,
зображену на рисунку 8.59.
2. Вимкніть перемикач 51 (генератор) і 511 (живлення мікросхеми пам'яті).
3. Увімкніть джерело живлення ЗВ.
4. За допомогою зовнішньої перемички з'єднайте гніздо Х21 з гніздом Х2.
5. Замкніть кнопку 54. На табло вихідних даних погасне світлодіод УП9, що свідчить
про запис у лічильник одного імпульсу в оберненому коді 0111 (прямий код -
1000).
Примітка: у схемі лічильника при початковому стані на прямих виходах тригерів - логічні
одиниці.
6. За допомогою кнопки 54 подайте на лічильник 16 імпульсів згідно з таблицею 4.
Таблиця 4
Обернений код Прямий код
2 1 2
з

1 0
- | е|е|е|е|-Ї-| -1-|е|еТе|е|н Гн я

0 І
1 1
0 0
о
1
1
0
0
1
1
0
0
1
1
0
402 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

7. У звіті опишіть роботу лічильника імпульсів, схема якого подана


на рисунку 8.59.

Завдання 4. Дослідження режимів роботи подільника частоти


1. На лабораторному стенді, використовуючи набір зовніш
ніх перемичок, зберіть
схему, зображену на рисунку 8.57.
2. Увімкніть перемикач 51 (генератор) та вимкніть переми
кач 511 (живлення
мікросхеми пам'яті).
3. Загальний кінець кабеля осцилографа СІ-93 під'єднайте до гнізда ХІ8;
потенціальний кінець кабеля осцилографа - у гніздо Х19,
4. Подільник вхідної напруги осцилографа - В/діл. перемкніть на 5 В; подільник
розгортки час /діл.-- на 0,2 ме.
5. Увімкніть джерело живлення 8 В. Увімкніть осцилограф СІ-93.
6. На екрані осцилографа з'явиться осцилограма імпульсів з виходу генератора.
Визначіть параметри імпульсного сигналу (амплітуду, період, тривалість
імпульсів).
7. Подайте сигнал з генератора на вхід подільника частоти (зовн
ішньою перемичкою
з'єднайте гнізда Х 19 і Х2).
58. Почергово під'єднайте потенціальний кінець кабеля осцило
графа до гнізд Х3, Х7,
ХІІ, Х15. Перемикаючи подільник розгортки та синхронізуючи
сигнал на екрані
осцилографа, визначіть параметри сигналів (амплі туду, період, тривалість
імпульсів).
9. Осцилограми з виходів тригерів скопіюйте та опишіть у звіті. Визначіть коефіцієнт
ділення подільника частоти.

Контрольні запитання

1. На яких тригерах будують регістри?


2. За яким фронтом тактового імпульсу відбувається запис та зсув інформації в
регістрах?
3. Сформулюйте правило побудови регістра зсуву на D-tpurepax.
4. Яким чином регістри зсуву можна використовувати як перетв
орювачі послі-
довного коду в паралельний і навпаки 2
5. На яких тригерах будують подільники частоти і лічильники імпульс
ів?
6. Чим відрізняються лічильники іпульсів від подільників частоти?
7. Що таке роздільна здатність та час встановлення коду лічиль
ника 7
8. Як класифікують лічильники за коефіцієнтом і напрямом рахунку
?
Розділ 8. Лабораторний практикум 403

Лабораторна робота М215


Дослідження режимів роботи оперативного
запам'ятовуючого пристрою на базі лабораторного стенда
Мета роботи - закріпити теоретичні знання, отримані при виконанні лабораторної
роботи з моделювання ОЗП; практично, на базі лабораторного стенда, освоїти режими
роботи мікросхеми оперативного запам'ятовуючого пристрою, провести процеси запи-
су та стирання інформаціїу типовій мікросхемі пам'яті КІ55РУ2.

Обладнання: мікросхема КІ 55РУЗ; лабораторний стенд; осцилограф СІ - 94; блок


живлення ВИП- 010.
Література: розділ 5, | |. (2 1. 31.4, 251,16), п2).

Опис мікросхеми пам'яті КІЗ55РУ2


У лабораторній | роботі використовують | мікросхему | КІ55РУ2, що є
високошвидкісним ОЗП з ємністю 64 бітів. Дані в ОЗІЇ можна записувати і вибирати.
При обранні інформації з ОЗП вона не руйнується.

HE sy
15 д2
M43
Hag
asspy2 |,
12 pa
М аа
H HH Lu
l
і 1 І J Т
І
І 4 Lar al Ця
we 3! а 5 | i
ої a
ННЯ
| 218 | a

4 76 i Mo at hae
т Qi 02 @ 03 аз р

а 6
рис. 8.62. Матриця комірок пам'яті оперативного ЗП (а) та його цоколівка (б).
404 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Комірки в пам'яті організовані в матрицю КАМ (лив. рис. 8.62), яка має 16 рядків і
чотири колонки, що відповідає логічному організуванню 16 слів по чотири біт кожне.
Матриця забезпечена адресним дешифратором РС, який приймає чотирирозрядний код
адреси А1-А4 і вибирає за допомогою одного зі своїх 16 виходів потрібне чотирироз-
рядне слово. Чотири буферні входи даних D1—D4 забезпечені входом дозволу запису
МЕ. Кожен вихід даних 01-04 має відкритий колектор, що спрощує з'єднання кількох
ОЗП РУО у більш складні матриці. Дані на виходах інвертовані щодо тих, які записані у
пам'яті.
Якщо обраний режим запису, то входи і виходи мають комплементарні коди. Для
зчитування даних з ОЗП після фіксування адресних даних на вхід МЕ подається напру-
га високого рівня, а на вхід доступу до потрібної мікросхеми пам'яті (умовна назва:
вхід обрання кристала) С5-низького. Для запису сигналів потрібно встановити напругу
низького рівня на входах керування МЕ і С5. Адресний код у цей час також має бути
зафіксований.
Необхідно врахувати, що в режимі вибірки обрані комірки пам'яті доступні для
приймання даних, тому логічні сигнали на шинах потрібно зафіксувати перед переми-
канням рівнів керування від низького до високого на входах С5 або М/Н.
Мікросхема К155РУЗ споживає струм 100 мА, у варіанті 5 - 105 МА, у варіанті 15
-37 мА.
Стікаючий у відкритий колектор вихідний струм більший 24 мА. Для обрання
режимів роботи пам'яті РУ2 необхідна таблиця.
Таблиця 1
Вхід
Режим роботи с Wa Fi Вихід5 0,
Запис й 2 - Б
H H B H
Вибірка H B x р,
Заборона в H H B
запису в H в H
Від'єднання в в є в
виходів

Опис стенда
В роботі використовують лабораторний стенд для експериментального дослідження
цифрових вузлів комп'ютерної електроніки, детально описаний у лабораторній роботі
Nol4. Для виконання цієї роботи використовують один вузол цього стенда-вузол
дослідження мікросхеми | ОЗП. Його принципова схема, включена у блок-схему
вимірювального блока зображена на рис. 8.63.
вяоцо огончиеєоюнтлия емехо-юоця "98 ма
скасзу - ЦЕО имехооджі івннежійцодо вуб
405

товазн
то
3833
ZAd S81 |

«хз
а
wl) ala)
“Ne
hs
406 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Блок-схема вимірювального блока для дослідження ОЗП (155РУДШ) містить: мікр


схему ОЗП - о-
155РУ2; перемикачі 51, 52, 83, 54 для обрання комірки ОЗП; перемикачі
55, 56, 57, 58 для запису числа в обрану комір
ку; перемикачі 59, 510 для обрання ре-
жиму запису або зчитування числа; світлодіод
и УРІЗ, МРІ4 мів. - для індикації режи
Мікросхема Ю3 і світлодіоди VD1, VD2, VD3,
VD4 призначені для індикації числа, яке
записується в комірку. Світлодіоди У5, Мб,
УЮДУ, УД8 необхідні для індикації чис-
ла, записаного в комірці. Перемикач 511 приз
начений для ввімкнення/вимкнення
живлення схеми дослідження ОЗП,
Мікросхеми р (155РУ) і D3, D4 (155ТМ2) розм
іщені зверху на панелі стенда, що
дає змогу їх оперативно замінювати (див.: рис. 8.60).

Порядок виконання роботи


І. Вимкніть перемикач 51 (генератор) та ввімкніть перемикач 511 (живення
мікросхеми пам'яті).
2. Увімкніть джерело живлення 8В.
3. За допомогою перемикачів 51...54 набе
ріть потрібну адресу комірки. Водночас
перемикачі S9(WE) — 1, S10(CS) — 1 (початко
вий стан) - відповідно до таблиці 2.

Таблиця 2
bynkuia WE
Початковий стан ї
Запис 0
Вибірка І

4. За допомогою перемикачів 85...88 наберіть потрібне число. Набране число


індикується світлодіодами УРІ.. «МА.
- Установіть схему в режим запису числа
в комірку 59(М/Е) - 0, 510(С8) - 0, На
n

світлодіодах М5...УД8 індикується записане число


в обрану комірку.
6. Переведіть перемикачі $9, S10 у початков
ий стан. Під час цього індикатори
VDS...VD8 погаснуть.
Установіть схему в режим вибірки 59(М/Е) - 1, 510(С8)
- 0. На світлодіодах
УДУ...УЮВ індикується обране число при обран
ій комірці.
Перевірте зберігання числа в обраній комір
%

ці за допомогою зміни адреси комірки


вертаючись, після зміни адреси, до попередн
ьо обраної адреси.
9. Повторіть режим запису і вибірки чисел у
мікросхемі за довільними адресами.
10, Оформіть звіт. Детально опишіть роботу
мікросхеми пам'яті у режимах запису та
зчитування інформації...
Розділ 8. Лабораторний практикум 407

Контрольні запитання

1. Поясніть структуру та будову ОЗП


2. 3 яких елементів складається мікросхема К155РУ2?
3. Яка логічна організація мікросхеми К155РУ2?
4. Як обирають комірку запису?
5. Яка функція адресного дешифратора?

Лабораторна робота Ме16


Дослідження блока живлення персонального комп'ютера
конструктиву АТХ

Мета роботи: ознайомитися з принципом функціонування, особливостями


схемотехніки та конструкцією стандартного блока живлення комп'ютера конструктиву
АТХ та освоїти методику визначення його основних параметрів.

Обладнання та прилади: лабораторний стенд для дослідження параметрів блока


живлення АТХ. Стенд складається зі стандартного імпульсного блока живлення
комп'ютера (350Вт); блока навантажень: осцилографа СІ-93; мультиметрів | (або
B7-21A).
Література: розділ 6, [3 ].[ 4 ]. [5 ]. [9].

Опис лабораторного стенда


На рисунку 8.64 зображена блок-схема стенда, розробленого і змонтованого в
лабораторії комп'ютерної електроніки для проведення необхідних вимірювань, згідно
з метою лабораторної роботи. Усі відведення блока живлення (та відповідні позначен-
ня) однозначно співвідносяться з принциповою схемою блока АТХ, зображеною на
рисунку 7.15.
оавлогра альне
maa
азу =
оУ
Тв
б шасненка ЦО вка
els”новиною
6 2|99е5
Saw || Se 1 жа
4

Treo тему ее Фет


© © $8 458 “SHSB+338 128 +128
Boe smi TC ©7 pices
Рис. 8.64. Блок-схема стенда для дослідження блока живлення комп'ютера
408 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Конструктивно стенд складається з двох блоків (живлення та навантаження),


розміщених у металічних корпусах та комутованих між собою через контактний роз'єм
мережевими кабелями.
На верхній панелі технологічного імпульсного блока живлення (зліва) розміщені
такі контрольні гнізда: І) корпус (земля); 2) вивід 5 мікросхеми ТЕІ1494; 3) вивід 8
мікросхеми ТВІ494; 4) вивід 1 трансформатора Т3; 5) вивід 2 трансформатора Т3;
6) 24-контактний роз'єм, призначений для ввімкнення до системної плати персональ-
ного комп'ютера і перероблений для з'єднання з блоком навантажень; 7) чотирикон-
тактний роз'єм для живлення процесора; 8) два великі чотири контактні роз'єми для
ввімкнення дисководів; 9) маленький роз'єм, призначений для комутування з при-
строями накопичувачів на гнучких магнітних дисках.
Вимірюють амплітудні значення і контролюють форму напруг на виходах різних
схем випрямлення за допомогою осцилографа С1-93. На задніх стінках технологічного
імпульсного блока і блока навантажень установлені вентилятори для охолодження.
На верхній панелі блока навантажень розміщені чотири перемикачі, які призначені:
1) для ввімкнення мережі; 2) для ввімкнення навантажень по ланках --12В; 15В; 13,3В:
3) шість контрольних гнізд для вимірювання напруг по ланках - 112 128; 958; 5В;
+3,3B; Ч5В/ЗВ, а також два гнізда для під'єднання землі (корпус).
Для вимірювання чинних значень напруг використовують мультиметр (або
В7-21А). Живиться стенд від мережі змінного струму частотою 50 Гц.

Порядок виконання роботи


1. Ознайомитися з принципом функціонування стандартного блока живлення АТХ
згідно з принциповою схемою, що на рисунку 7.15. Засвоїти призначення всіх
функціональних блоків.
2. Ознайомитися з лабораторним стендом: розміщенням і призначенням органів
управління та необхідними приладами для вимірювань.
3. Увімкнути живлення стенда кнопкою Вкл. мережі. Увімкнути живлення осцилогра-
фа С1-93 та під'єднати вольтметр В7-21А.
4. Поставити перемикач тривалість осцилографа в положення 20 мкс. Перемикач
підсилення по У у положення ІВ. Під'єднати щуп осцилографа у контрольне гніздо
- вивід 5 ТКІ.494. Перемикачем "рівень" засинхронізувати осцилограму. Заміряти
параметри: частоту слідування імпульсів, їхню тривалість та амплітуду.
Скопіювати отриману осцилограму.
Примітка: перемикачі у блоці навантажень - -12 В, 15 В, 13,3 В виставити у положен-
ня Викл.
5. Поставити перемикач тривалість осцилографа у положення 20 мкс. Перемикач
підсилення по У - ІВ. Під'єднати щуп осцилографа в контрольне гніздо - вивід 8
ТКІ1494. Перемикачем "рівень" засинхронізувати осцилограму. Заміряти параметри
пилоподібного сигналу - амплітуду, тривалість. Скопіювати осцилограму.
6. Поставити перемикач тривалість осцилографа у положення 20 мкс. Перемикач
підсилення по У - ІВ. Під'єднати щуп осцилографа в контрольне гніздо - (Лоов.
практикум 409
Розділ 8. Лабораторний

рівень засинхронізувати осцилограму. Заміряти | параметри


Перемикачем
трирівневого сигналу - ампліту , частоту слідування імпульсів. Скопіювати осци-
лограму.
тривалість осцилографа у положення 20 мкс. Перемикач
7. Поставити перемикач
- ЇВ. Під'єднати щуп осцилографа у контрольне гніздо - Uy-sp-
підсилення по У
засинхронізувати | осцилограму. Заміряти | параметри
Перемикачем | рівень
імпульсів. Скопіювати осци-
трирівневого сигналу - амплітуду, частоту слідування
лограму.
8. Перемикачі у блоці навантажень -12 В. 5 В, 13,3 В поставити в положення - Вкл.
20 мкс. Перемикач
Поставити перемикач тривалість осцилографа уположення
гніздо - вивід 5
підсилення по У-1В.Під'єднати щуп осцилографа в контрольне
Заміряти параметри -
ГВІ1.494. Перемикачем рівень засинхронізувати осцилограму.
імпульсів. тривалість імпульсів, амплітуду (імпульсів.
частоту (слідування
Скопіювати осцилограму.
еними і вимкненими
9. Визначити різницю у тривалостях на виводі 5 ТЕІ494 з увімкн
навантаженнями +12 B, +5 B. +3,3 B.
ння И . Перемикач
10. Moctasura nepemukay BoubrMerpa B7 — 21A рід роботи у положе
по- чергово заміряти
межі вимірювань -- у положення 100 В. Щупом вольтметра
B; +5B;-5 B; +3,3 B; +5B/SB. Pesystb-
напругу в контрольних гніздах +12 B:-12
лати вимірювання оформити у вигляді таблиці
11. Оформити звіт.

Контрольні запитання

1. Які основні технічні характеристики імпульсного БЖ?


2. Яке призначення всіх роз'ємів БЖ?
3. Опишіть основні вузли БЖ.
4. Який принцип роботи випрямляча і фільтра БЖ?
жного джерела?
5. Яке призначення і робота автогенераторного допомі
6. Як працює Ш І М у принциповій схемі БП?
?
7.Чому у вторинних ланцюгах використовують діоди Шотткі
вих сигналів.
8. Поясніть роботу ланцюгів захисту та формування службо

Лабораторна робота Ме 17
Дослідження функціональних можливостей
мікроконтролерів

можливостями мікроконтролерів
Мета роботи: ознайомитися з функціональними
АТТІіпу2313 серії АВ фірми Айеі, скласти програму
(МК) на прикладі мікросхеми
"асемблер", компілювати вихідний текст за допомогою програмного середо-
на мові
410 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

puma AVR Studio 4 8 НЕХ-файл, виконати внутрісхемне | програмування


мікроконтролера за допомогою програмного середовища Proteus та під'єднання про-
стих пристроїв уведення/виведення (кнопок і світлодіодів), навчитися організовувати
зв'язок комп'ютера і мікроконтролера через послідовний інтерфейс.
Обладнання: віртуальний макет мікроконтролера | АТТіпу2313 серії АМВ фірми
Atmel; nporpamne 3a6e3neyenua_AVRStudio 4.0 ta Proteus.
Література: розділ 6, | 21,(3 1,15 ],[ 10].

Опис макета.
Для виконання роботи необхідний віртуальний | макет на базі МК
АТТіпу2313 серії АМЕ.Під'єднання пристроїв уведення/виведення (переривань) до
МК імітується кнопками та світлодіодами. Принципова схема макета зображена на
рисунку І.

со
H ATeny2313
Ho
a
'
a
H Ци od
Qn ою
| Por
8 оз
ри

РОЗ
le a)
plete

рол
Роб
1 POs

Рис.1. Принципова схема використання переривань у мікроконтролері на прикладі


ввімкнення світлодіодів

Мікросхема АТТіпу 2313 - це восьмирозрядний мікроконтролер з внутрішньою


програмованою Кїа5і - пам'яттю розміром 2 Кбайт.
Загальні відомості:
- використовує АУК КІЗК- архітектуру:
- АМК -це висока швидкодія і спеціальна КІЗС - архітектура з низьким споживан-
ням;
- 120 потужних інструкцій, більшість з яких виконується за один машинний цикл;
- 32 восьмирозрядні регістри загального призначення;
-повністю статичне організування (мінімальна частота може бути рівною 0);
-до двадцяти мільйонів операцій за секунду (МІРЗ /5) при тактовій частоті 20 МГц.
Мікроконтролер АТТІіпу 2313 має таблицю переривань, яка складається з 19 ад-
рес (адреси 0х0000-- 0х0012). Кожна з них є адресою початку процедури з оброблення
одного з видів переривань. Суть перевизначення векторів полягає в тому, що в кожну
Розділ 8. Лабораторний практикум 411

таку комірку можна помістити команду безумовного переходу, яка передає управління
на адресу в програмній пам'яті, де вже дії но починається процедура переривання
Зазвичай програма не використовує відразу всі закладені в мікроконтролер перериван-
ня. Наприклад, у нашому випадку використовується тільки одне переривання - за
збігом таймера, тому перевизначення роблять тільки для тих векторів, які задіяні в
цій програмі.Однак і всі інші вектори прийнято не залишати без уваги. За всіма
іншими адресами таблиці зазвичай ставлять команди-заглушки. Вони запобігають
негативним наслідкам у разі помилкового виклику незадіяного переривання.
Наступні команди призначені для ініціалізації стека, портів ВВ, компаратора. В
регістрі стека РІ, записується адреса його вершини. Адресою обрана найвища адре-
са ОЗУ. Для позначення цієї адреси є спеціальна константа з іменем КАМЕМО. Одним
рядком записати константу в регістр стека неможливо, оскільки в системі команд
мікроконтролерів АУВ. немає такої команди. Її ми замінюємо двома іншими. У цьому
випадку передавальною ланкою є регістр temp.
Загальний алгоритм роботи системи переривань такий. Після скидання мікро-
контролера всі переривання заборонені (прапори дозволу скинуті). Якщо програміст
планує використати один із видів переривань, він повинен передбачити його у своїй
програмі ввімкнення. Для цього програма має встановити прапор І регістра 5КЕС в
одиницю і записати в регістри маски такий код, який дасть дозвіл на переривання,
потрібні лише в цей момент. Дозволивши | таким чином | переривання, програма
починає виконання своєї основної задачі. При надходженні запиту на переривання
встановлюється 0 прапор відповідного | переривання. Прапор встановлюється навіть
тоді, коли переривання | заборонене. Якщо ж воно | дозволене, то мікроконтролер
починає його виконувати. Поточна програма тимчасово припиняється й управління
передається на адресу відповідного вектора переривання. У цей момент прапорІ
автоматично скидується, забороняючи оброблення інших переривань. Прапор, який
позначає спричинене переривання, також скидується, сигналізуючи (про те, що
мікроконтролер уже почав його обробляти. Підпрограми з оброблення переривання
обов'язково мають закінчуватися командою повернення з переривання (КЕТІ). За цією
командою управління передасться в цю точку основної програми, в якій перервалася
її робота. Прапор І автоматично встановлюється в одиницю, дозволяючи нові пере-
ривання. У підпрограмі переривань (процедура переривань), для нашого випадку,
виконується програма перемикання світлодіодів.

Практична частина роботи


Виконання лабораторної роботи проводиться поетапно.

Eran Меї. Ознайомлення з програмою АУВ 51їиадїо 4.0


Запускають програму | за допомогою іконки ЛУК Studio 4.0 na pooouomy столі
або через меню
Пуск -» Програми -» Аїтиє! АУК Тооіз -» АУВ 5ішаїо 4.0
412 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

лий чн о 2 atiSi

Рис.2. Вікно системи програмування

При запуску з'явиться вікно діалогу М/еісоте to AVR Studio 4.0, яке необхідно за-
крити (кнопка Сапусі). Програмне середовище завантажиться в початковій
конфігурації: екран розділений на три основні зони (зона тексту програми, зона
пристроїв вводу/виводу і зона компіляції) (див.: рис.2). Далі необхідно ознайомитися з
пунктами головного меню у верхній частині програми. Переважно вони стандартні.

. Fina) (Game (нер)

Рис. 3. Створення нового проекту


ННЖЕРЕЄВУСТ ЕН РЕП cs

413
розділ 8. Лабораторний практикум

єсі -» Мем Ргоіесі, знову


Створення проекту. Для цього необхідно вибрати Ргої
кту (див ггрис.3). Необхідно вибрати пункт Айтеї
з'явиться вікн о для ство ренн я прое
оматично
еті іег і ввес ти назв у прое кту Ргоі єсі Хате (наприклад ТЕР 1). Авт
АУВ Азв вказати на
поле введ ення Ітігі аї file. Y о полі Посабіоп потрібно
заповн юєт ься
у С (наприклад, С: / Code_3).
розміщення (папку) проекту на диск
ити пла! гформу
опису «п23134еб.іпе". Далі визнач
У папку проекту помістити файл
Simulator; Device = ATTiny2313
програмування вибрати Debug platform = AVR
(див.: рис. 4)

Select debug platioem and device


1 Debug platen
| AVA Dragon
| | AVRONE!
AVE Simulator

ATtny167
Ат?

Back

Рис. 4. Вибір платформи програмування

Натиснути кнопку Finish.


розміщення про-
Необхідно уважно стежити за тим, щоб всі каталоги і підкаталоги
.
екту були записані латинськими літерами
Етап Ме2. Створення програми

Структура програми
якого збігається з назвою проекту. Це вікно
Роботу виконують у вікні, назва
У нього вводять текст програми згідно з пра-
відповідає головному модулю проекту.
вилами написання програм на мові Асемблер:
рядка), які починаються зі знака "крапка з ко-
- коментарі - це рядки (або частина
мою -
від
« директиви починаються зі знака - (Крапка). або 7 - пишуться без відступу
я
лівого краю тексту.
(натискання клавіші Таб).
- команди пишуть, відступаючи
414 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Зауважимо, що Асемблер - одна з найбільш абстрактних мов програмування,


оскільки зазвичай вона управляє процесами пересилання між осередк
ами пам'яті,а
засоби управління обмежені умовними і безумовними переходами, тому
доводиться
використовувати велику кількість коментарів - на початковому стапі коментують
практично кожен рядок програми.
Більшість програм має однакову структуру. Окрім того, у всіх програмах
присутні наявні загальні елементи, необхідні для їхньої звичної роботи.
З цією метою
створений певний шаблон, який використовуютьу разі потреби
написання нової
програми.
дане юююнаннюнОооНох
; Автор:
5 Дата
; версія:
ім'я файлу:
: Для АУБ:
Тактова частота:
з авеню нн ЮнНОННЬЮ
:Виконувані функції

device XXXXXXXXX
- include * C:\ Program Files \ Atmel \ AVR Studio\ Appnotes \ xxxxxx. Ine *
list

г оголошення:
«феї temp =rl6

: початок програми
rjmp Init 1 Перша команда, що виконується.

Init:
Idi temp, Obxxxxxxxx ; Визначаємо входи і виходи порту В.
out DDRB, temp;
Idi temp, Obxxxxxxxx 7 Визначаємо входи і виходи порту Р.
out DDRD, temp
Idi temp, Oxbxxxxxxxx 7 Вмикаємо навантажувальні резистори для входів порту В.
out PORTB, temp з задаємо початкові стану виході
Мі temp, Obxxxxxxxx ; вмикаємо навантажувальні резистори для входів,
415
Розділ 8. Лабораторний практикум

ів.
out PORTD, temp у порту Р і задаємо початкові стану виход

: Основне тіло програми


Start:
«Розмістити текст програми»
rjmp Start ; повертаємося до мітки 51агі.
ера
device € директивою яка повідомляє асемблеру, для якої моделі мікроконтрол
повинна транслюватися програма.
опису. Вони виконують
іпсішіе дозволяє асемблеру використовувати т. зв. файли
вводу / виводу i їхні адреси
для нього роль словника. Наприклад, усі імена регістрів
замість того щоб писати адресу 5 ЗБ, ми можемо вказати
зберігаються в файлах опису
символічне ім'я регістра 5ВЕ
лістингу, який
Лізі - коли асемблер обробляє написаний код, він генерує файл
містить копію програми з коментарями асемблера.
агіопз) які є власни-
Після заголовка зазвичай розміщують різні оголошення (десіат
лад, ім'я робочого
ми доповненнями програміста до словника асемблера. Наприк
Феї. Іншим типом
регістра гіб - ер. Імена робочих регістрів задаються директивою
оголошення, якос може бути використане для присвоювання числового значення
ідентифікатора, є директива сви. тітр - команда безумовного переходу.

У першій частині секції Init задається, які з виводів працюватимуть як входи, а


які - як виходи
здійснюється за допомогою регістрів введення ) виведення DDRB i DDRD
Це
в відповідає одному з
(регістри напрямку передавання даних). Кожен біт цих регістрі
виводів мікроконтролера.
Після секції Іі починається основне 1 ло програми, позначене
міткою З(агі. про-
мітки Start, проте
грама закінчується рядком гітр 5їагі. Необовязково повертатися до
довільний перехід повинен бути.

Етап М» 3. Практичне програмування


схема практичної
Розглянемо програму "Ввімкнення світлодіодів". Принципова
м світлодіодів зобра-
імітації переривань мікроконтролера АТТІіпу2313 з використання
вікно проекту (Еїе -»
жена на рис. І. Для створення програми слід відкрити нове
New File).
при натисканні кнопки 52 (рис.1), повинні почергово ввімкнутись
Завданн:
два світлодіоди з вибраною затримкою.
кілька ряд-
Текст програми починається шапкою з назвою програми. Шапка» це
ків коментарів.
Далі подають команди управління
416 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

-include "tn2313def.inc" : Приєднання файлу описів.


list 5 Включення лістингу.
Далі йде модуль опису.
def temp = 116 5 Визначення головного робочого регістра.
def loop1=rl7 ; Визначення першого регістра циклу затримки
def loop2= r18 ; Визначення другого регістра циклу затримки
def loop3=rl9 ; Визначення третього. регістра циклу затримки
Далі визначаємо константи які використовуються в програмі.
equ kdel = 780
Далі резервуємо дві комірки памяті ОЗП(ЗКАМ).
ев
org 0x60
bufl:
/
Букі зрезервування першої комірки памяті
but2:
byte2 г резервування другої комірки памяті
Слідуючі дві команди служать для вибору програмного сегменту пам'яті і установки
початкового значення адресу памяті програм.
cseg 5 Вибір сегмента прграмного коду.
org 0 з Установка поточного адресу на нуль.
Далі використовуємо перевизначення векторів переривань.
start:
rimp init «Перехід на початок програми.
reti ІЗовнішиє переривання 0
reti «Зовнішнє переривання 1.
reti ллаймер/лічильник|( захоплення).
гітр рпіті слаймерілічильникі співпадіння, канала.
reti ллаймер/лічильникі переривання по перепов-
ненню.
reti стаймер/лічильникО переривання по перепов-
ненню.
reti «Переривання (ЛЕТ приймання завершене.
reti «Переривання ПАКТ регістр даних пустий.
reti «Переривання ЦАКТ передача даних
reti зшереривання по компаратору
reti зпереривання по зміні потенціалу на любому контакті.
reti слаймер/лічильникі співпадіння, канал Б.
reti слаймер/лічильнико співпадіння, канал Б.
тей зтаймер/лічильнико співпадіння, канал А.
reti ОЇ готовність до старту.
reti 1051 переповнення.
reti ЕРКОМ готовність.
reti зпереповнення охоронного таймера.

з ніціалізація стека.
init:
Idi temp, RAMEND «Вибір адреси вершини стека
out SPL, temp апис його в регістр стека.
Розділ 8. Лабораторний практикум 417

(Пніціалізація портів ВВ.


Idi temp, 0 Записуємо нуль в регістр кер.
out DDRD. : Записуємо цей нуль в ОРЕ (порт РО на ввід).
Idi temp, OX аписуємо число ОХЕЕ в регістр іетр
out DDRB, temp anucyemo temp в ООЕВ (порт РВ на вивід).
out PORTB, temp Записуємо істір в РОКТВ (гасимо світлодіоди).
out PORTD, temp Записуємо істір в РОВТО (вмикаємо. навантажув.
резистори).
; Ініціалізація компаратора
Idi temp,0x80 «Вимкисння компаратора
out ACSR,temp Яніциалізація таймера ТІ
Idi temp.0x04 звибір режиму таймера.
out TCCR1B,temp
Idi temp.high(kdel) старший напівбайт коду співпадіння
out OCRIAH,temp «Запис в регістр співпадіння старшого напів-
байта.
їі temp,low(kdel) змолодший напівбайт коду співпадіння
ош ОСВІАТ. летр запис в регістр співпадіння молодшого напівбайта.
Idi temp,0x40 :байт маски. Дозволено одно переривання( Мод)
out TIMSK.temp з переривання по співпадінню(режим СТС)
Переривання будуть здійснюватись з частотою 4МН. : 256 : 780 =20Hz. 20Hz ue
0,05cek.- 50ms.
; Початок основного циклу
main:
cli ; заперечення переривань
in temp,PIND читаємо вміст порту р
sbre temp,1 ровірка розряду 1
rjmp main ікщо не нуль переходимо в початок
reall delay! звиклик підпрограми затримки
Idi temp,Ob01111111 ззаписуємо число в стр
sts bufl,temp ззаписуємо число в ОЗП
Idi temp,0b01111101 аписуємо число в стр
sts buf2,temp ; записуємо число в ОЗП
sei здозвіл на переривання
ті:
чітр ті збезкінечний цикл
рити: гпідпрограма переривання
( процедура переривання).
Idi temp,0xFF
out PORTB,temp
reall delay] затримка |
145 temp.bufl з записуємо в іетір перше число з ОЗП
out PORTB,temp
reall delay! затримка І
Ids. temp,buf2 у записуємо в іетр друге число з ОЗП
out PORTB,temp
reti зповернення з підпрограми переривань
упідпрограма затримки
delay 1:
Мі loop3.4 сзапис в регістр циклу константи затримки
wil:
dee loop3 ззменшуємо значення регістра Їоор3
breq wt4
418 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Idi loop2,100 запис в регістр циклу константи затримки


мо
dec loop2 зменшуємо значення регістра Іоор?
breq wt
Idi loop 1,255 запис в регістр циклу константи затримки
мб:
dec loop! зменшуємо значення регістра Ідорі
be wt3 зякщо не ноль продовжуємо цикл
rjmp wt2
wt4:
ret звихід з підпрограми

Елапо Ма. Ознайомлення з програмою Ргоіеця


Програма відрізняється від аналогічних пакетів програм, наприклад, Electronics
М/огкБепей, Миїзіт, МісгоСар, Тіпа ТІ та ін. тим, що у ній удосконалена система
симуляції (інтерактивне налагодження в режимі реального часу і покрокової дії ) для
різних сімейств мікроконтролерів: 8051, РІС (Місгосбір), АМЕ (Atmel), i iH. Proteus mae
великі бібліотеки компонентів, у т. ч. і периферійних пристрої : світлодіодні і РК-
індикатори, температурні датчики, годинник реального часу -КТС, інтерактивні еле-
менти введення-виведення: кнопки, перемикачі, віртуальні порти і вимірювальні при-
лади, інтерактивні графи, яких нема в аналогічних програмах.
Спрощено, робота в середовищі моделювання Ргоїеиз полягає
в таком
1. Розміщення на креслярському полі необхідних елементів електричної принципової
схеми (ЕПС);
2. Виконання з'єднань елементів ЕПС;
3. Розміщення віртуальних приладів там, де це необхідно,обрання режимів їхньої ро-
боти;
4. Симуляція і проведення спеціалізованого аналізу;
5. Виконання налагодження програм мікрокоптролерів, якщо це необхідно;
Для того, щоб отримати уявлення про те, на що здатний Ргоїец5 як середовище
моделювання, необхідно відкрити деякі файли прикладів проектів. Для прикладу,
щоб подивитися на процеси за двопівперіодного випрямлення, необхідно відкрити
(pain: \ Labcenter Electronics \ Proteus 7 Professional \ SAMPLES \ Intera ctive Simulation \
Animated Circuits 4 Ріодед8.4зп (див.: рис. 1)
Створити новий проект у симуляторі. Всі налаштування можна залишити за за-
мовчуванням. Зовнішній вигляд головного вікна програми зображений на рисунку 5.
Розділ 8. Лабораторний практикум 419

прита о Some жо
Рис. 5. Головне вікно програми Ргоївия

Menw File, View, значення піктограм верхнього рядка (Створити новий файл, відкрити
дизайн і т.д.) інтуїтивно зрозумілі. Для подальшого розуміння і продуктивної роботи в
Ргоїсиє необхідно познайомитися з призначенням піктограм лівої панелі. Залежно від
розмірів вікна програми, розміщення | основних панелей інструментів може трохи
змінюватися (див.: рис. б).

«фаорафду туш офаве


В вікні попереднього перегляду видно
оз положення резистора в якому він буде {cor
розміщений на полі писта.
Його можна змінити зазделегідь | |
кнопкамнітут вони внизу).
їі

cove
Кнопка
бібліотек
ceжає, ке=Шрі
и

Puc.6. Елементи управління симулятором


420 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Ргоїсиз | відносно задовільне середовище симуляції. Однак, як і інші симулятори,


він має низку недоліків. Одним з них є надмірна спрощеність процесів у приладах та
схемах.

Вигляд мікроконтролера типу АТТіпу 2313 з відкритими властивостями на крес-


лярському столі зображений на рисунку 7. Значення всіх пунктів залишають за
замовчуванням.

Рис. 7. Вікно властивостей мікроконтролера АТТіпу 2313

Програма, виконувана МК, завантажується з поля "Ргоргат File” (3 nanku через


звичайне меню вибору файлі відкрити .Пех файл зі створеною програмою). Скрін-
шот програми в Ргоїси5 для реалізації переривань у мікроконтролері на прикладі
включення світлодіодів в Ргоїсиз приведена на рисунку 8.
оиаі
8 но
1от-ніі
віпоїдоуцяо вннаношив іМепниди ен ідемодіноходииї А зневидедец ппеєшеад ви) 8перола 8 иміефлоди
421

ут
практикум

зим
8. Лабораторний
Розділ
422 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Етап Хе 5. Зміст та порядок виконання роботи


1. Створення програми для імітації перемикання світлодіодів із застосуванням пере-
ривань мікроконтролера.
Для виконання цього пункту студент отримує від викладача індивідуальне
технічне завданя: кількість ввімкиених світлодіодів; порядок увімкнення світлодіодів;
часові затримання між увімкненнями; тип переривання.
11.За допомогою mporpamn AVR Studio 4.0 складіть програму згідно з
технічним завданням.
1.2. Скопіюйте в окрему папку виконавчий файл (НЕХ - файл).
1.3. За допомогою програми Ргоїси5 складіть схему згідно з рисунком 8.
14.За допомогою / програми |Ргоїеи5 уведіть в мікроконтролер виконавчий
файл(НЕХ - файл).
1.5. Увімкніть симуляцію. Перевірте працездатність схеми згідно з технічним
завданням.
2. Оформіть звіт. Сформулюйте висновки.

Контрольні запитання

1. У чому принципова відмінність мікроконтролера від мікропроцесора?


2.3 якою метою в мікроконтролері використовуються переривання?
3. Що таке вектори переривань ?
4. У чому сутність процедури переривань ?
5. Для чого призначений регістр маски ТІМЗК ?
6. Як працює режим переривання по співпадінню (режим СТС) таймера -
лічильника Т1?

Лабораторна робота Ме 18

Дослідження функціонування та практичне програмування


програмованого постійного запам'ятовуючого пристрою на
базі мікросхеми 5 8223

Мета роботи: вивчити роботу програмованого постійного запам'ятовуючого


пристрою (ППЗП) та | освоїти принцип роботи і програмування мікросхеми ПИЗП
58223
Обладнання та прилади: 1) лабораторний стенд (програматор) мікросхеми
ПИЗП 58223; 2) джерела живлення типу ВИП-010; 3) мультиметри.
Література: розділ 4, Г1),121,131.Г41,151, 1121
(едогемефоди) еунего емехо енкифеце евоцитниди 7 ройці
423

1.
на рисунку

поро мата
жо
щі ові Wirsog TLA ome
осо б om: і
приведена

38
Овід ПА a
SOgrMHIL ova
че тал че іa ee ry
стенда (програматора)

eєT eee Te tty


ere eT єть муET є
BT тами
Sw па
НЯ
стенда (програматора)

i mE)
1 Li
практикум

Tat
el,
схема

eviss 7a
електрична
8. Лабораторний

10cTa TGA- TGA


oof STE "Se rasa 1 иа ої?
TYNE TIGA SGA то >
Технічний опис
a сах п
oe Gn, weeTega РОГИ рія | 7хк
=
ne Te
2 2Ty- +
Принципова
g зі
eT & ‘ - 2
afoot 7 25 зо
=. oe cose 1a Is
Розділ omg Ta
iM aa
424 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

Принципова схема стенда складається з таких вузлів: 1) вузол живлення (51, 52.
D1, КІ, К2, МР3, УРА, СІ...С3); 2) вузол формування імпульсу запису (88, 03, D4,
VT1, VT2, R16...R22) 3) вузол індикації та комутації (Гні...Гн9, МП5...Ур20,
В8...КІ5); 4) вузол вибору слова (83...57, ВЗ...В7).
Вузол живлення виконаний на стабілізаторі 01, який забезпечує живленням 5М
мікросхеми D2...D4. Tepemukayamu $1 і 52 здійснюється »вмикання - вимикання»
напруги "ЗУ і регульованої напруги( 10...14)М. Індикація напруг виконана на інди-
каторах УЮ3, УРА.
Вузол формування імпульсу запису складається з В-5 тригера на логічних елемен-
тах 03-1,03-2, одновібратора на логічних елементах 03-3, Ю3-4, Час тривалості імпу-
льсузадається ланкою БК 21, С5. Тривалість імпульсу 100 мсек. При ввімкненому жив-
ленні, 55 в положенні - Вимки, на виході Ю3-1- логічна одиниця, відповідно на
Ю3-2- логічний нуль. Логічний нуль на вході У мікросхеми Г2 58223 переводить її у
виключений стан. При переведенні перемикача 58 в положення - Запис, В-5 тригер
перекидається і на виході одновібратора формується від'ємний імпульс амплітудою
ЗУ, тривалістю 0,Їсек. Через інвертор 4-1 інвертований імпульс поступає на ключ
УТ2. Логічна одиниця на виході Ю3-2 переводить мікросхему 02 58223 в робочий
стан. На виходах мікросхеми П2 58223 - логічні нулі.
Імпульс запису через пісилювач потужності, виконаний на ключі УТ і потужно-
му транзисторі УТІ, подається через діод УДІ на вивід живлення 16 мікросхеми )2
3223. Одночас імпульс запису за допомогою зовнішньої перемички, подається з гніз-
да Гн9 наодне з гнізд Гні...Гн8.
Вузол індикації та комунікації складається з гнізд Гні...Гнд, резисторів В8...К15,
діодів УР5...УРІ2, світлодіодів УРІ3..МП20. При програмуванні мікросхеми D2
58223 їмпульс запису(гніздо Гн9) за допомогою зовнішньої перемички подається на
один з виходів мікросхеми D2 58223 (гнізда Гні...Ги8).
В досліджуваних ПТІЗП елементом пам'яті є біполярний транзистор з випалюва-
ною перемичкою.
Через підсилювач на транзисторі УТІ імпульс запису амплітудою 10 В надійде на
вихід програмованого розряду і вивід 16 джерела живлення ППІЗП, зашунтованого
конден сатором. Якщо логічна одиниця записалася в пам'ять, після переведення пе-
ремикача 58 у положення - Запис, повинен спалахнути світлодіод. Якщо він не зай-
нявся, то слід повторити операцію програмування, збільшуючи (ступенями по 0,5 В)
напругу джерела напруги 2 до рівня, що не перевищує 14 В. При програмуванні, у
вузлах, де повинна бути записана логічна одиниця , через транзистор пропускають
імпульс струму(запису), достатній для руйнування перемички.
Вузол вибору слова складається з перемикачів 53...57, резисторів К3...В7. Пере-
микачі 53...57 реалізують двійковий код - 1; 2; 4; 8; 16. За допомогою цих перемика-
чів вибирають слова від 0...31. Одне слово це 8 біт (8 виходів мікросхеми). Ємність
мікросхеми 32 х 8 - 256 біт.
На рис. 2. подана функціональна схема вимірювального комплексу зі схематич-
ним зображенням передньої панелі стенда (програматора) мікросхеми ППЗП 58223.
практикум 425
Розділ 8. Лабораторний

"Сена для дослідження і програмування мікросхеми ППІЗГІ 5:


Й ism
hel * ig ss £ wi
7 проте te Set99Ф
ome te9е9
Фее tes te te ee

~~ © @ ws @ 3 :8.
жі ЕФ бод є
2 й
2 @ wis І 16 st 8»
3 о Уріб ча

«Дюк І 8.
зб в ,
.| 6Q@w» з з зби
ЧЕР о
> чо aa
3 З 8
і
а Ж Sl зу | 82 0. Tall Pee

джере» -[}-+@ 8a &e «8


напруги 2 1 Brow Bens, =

схема вимірювального комплексу з підключенням програматора.


Рис. 2. Функціональна

Параметри мікросхеми 5 8223: номінальна напруга живлення 7 ЗМ 5 5 М ; вихі-


го рівня - 2 2,4М; вхідний
дна напруга низького рівня - 2 0,5М ; вихідна напруга високо
по виводах 10-14 -
струм низького рівня - 2 -ПтА: вхідний струм високого рівня
<0,04mA, по виводах 15 - 5 0.08лА: вихідний струм високого рівня - 2 0,1 тА;
- 5 1 10 тА; потужність споживання - 500 mVA; вихідний струм
струм споживання
низького рівня - 5 ІбтА; ємність 256 біт.

Завдання. Дослідження та програмування (прошивання) мікросхеми


ППЗП $8223
Порядок виконання роботи.
1. Встановіть мікросхему 58223 у гнізда стенда.
- Вимки. Перемикач 58 у поло-
2. Перемикачі 51 і 52 переведіть у положення
женні - Запис.
а | рівну 8 У,
3. Використовуючи дані мультиметра, встановіть напругу джерел
напругу джерела 2 - 10 У.
51 і 52 переведіть в
4. Підключіть джерела живлення | і 2 до стенда. Перемикачі
Повинні засвітитися світлодіоди УДЗ(Е5М) Ї VD4(+10V).
протилежне положення.
426 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

5. Перемикачі 53,54,55,56,57 встановіть в нульове положення. У цьому випадку


вибрано слово за адресою нуль. Світлодіоди УРІ3..УР20 повинні бути погашені
(на виходах мікросхеми логічні нулі).
6. За допомогою мультиметра перевірте | наявність логічних нулів в гніздах
Гні...Гн8. Напруга логічного нуля не більше 2,4М.
7. Комбінуючи перемикачами 583...87 (в двійковому коді), встановіть адреси слів
від 0 до 31. У кожному слові на всіх восьми виходах наявними повинні бути логічні
нулі.
8. По вказівці викладача виберіть слово - наприклад 5 (двійковий код 1-0-1-0-0,
53-1,54-0,55-1,56-0,57-0).
9. У заданому слові запрограмуйте (прошийте) одиницю на третьому виході.
10. Перемикач 58 переведіть у положення - Вимки.
11. Зовнішньою перемичкою з'єднайте гніздо Гн?9 з гніздом Гн4. Перемикач 58 пе-
реведіть у положення - Запис. Повинен засвітитися світлодіод МР. Якщо світлоді-
од не засвітився, одиниця на виході 3 не встановилась, а перемичка в комірці не виго-
ріла.
12.Підвищуйте напругу джерела 2 з 10 У до 14 М (з кроком 0,5) але не більше
14 У, повторюючи пункти 10,11.
13. Оформіть звіт по роботі, описавши приклади програмування різних слів.

Контрольні запитання
1. Назвіть різновиди BIC ППЗП.
2. До якого різновиду BIC відноситься мікросхема 58223 ?
3. Яка структура комірок мікросхеми 5882237
4. Опишіть процес програмування (прошивки) мікросхеми 88223 .
5. Яка принципова відмінність ОПЗ від ППЗП ?
СПИСОК ЛІТЕРАТУРИ

1. Бабич М.П. Комп'ютерна схемотехніка: навч. посібник / М.П. Бабич, І.А Жуков. - Київ:
МК - Прес, 2004. - 412 с.
2. Кравчук С.О. Основи комп'ютерної техніки: Компоненти, системи, мережі: навч.
посібник / С.О.Кравчук, В.О.Шонін. - Київ : Каравела, 2006.-- 344с.
3. Завадский А.А. Компьютерная злектроника / А.А .Завадский. «Киев: Век, 1996.- 389с.
4. Торба | А.А. Компьютерная схемотехника/ А.А Торба. Харьков: ООО "Компания
СМИТ", 2007. 410c.
5. Коман Б.П. Функціональні елементи інформаційних систем. на базі напівпровідникової
електроніки: навч. посібник / Б.П.Коман .- Львів: ЛНУ імені Івана Франка, 2017. - 794 с.
6. Коман Б.П. Лабораторний практикум з напівпровідникової електроніки: навч. по-сібник
/ Б.П.Коман, М.Я. Мисько. - Львів: ЛНУ імені Івана Франка, 2011. - 370 с.
7. Коман Б.П. Закономірності міжфазової взаємодії у приповерхневих шарах структур
твердотільної електроніки: монографія. Б.П.Коман. Львів, 2017. - 350с
8. Фрикс К. Вводньй курс цифровой злектроники / К. Фрикс. - Москва: Техносфера, 2003.
428 с.
9. Бойко В.Й. Схемотехника злектронньх систем. Цифровьіе устройства / В.Й. Бойко,
АН, Гуржий, В.Я Жуйков и др. - СПб.БХВ-Петербург, 2004. - 486
10. Джонс М. Х. Злектроника-практический курс / М. Х. Джоне. - Москва : Постмаркер,
1999. -312 с.
П. Угрюмов ЕП. Цифровая схемотехника / ЕЛ. Угрюмов. - СПб-Петербург: БХВ-
Петербург , 2004. - 528с.
12. Бистров Ю, А. Злектронньк цепи и микросхемотехника / Ю.А.Бьістров. (Москва:
ІВьсшая школа, 2002. - 368с.
13. Токхейм. Р. Основьі цифровой злектроники / Р. Токхейм. - Москва: Мир, 1988. - 528 с.
14. Бистров Ю. А. Злектронньке цепи и микросхемотехника / Ю.А.Бьістров. - Москва:
Вьсшая школа, 2002. - 436 с.
15. Евреинов 2.В. Цифровая вьічислительная техника / 2.В. Евргимов, Ю.Т. Бутьільский,
И.А. Мамзелев и др. - Москва: Радио и связь, 1991. - 398 с.
16. Зубчук В.И. Справочник по цифровой схемотехнике / В.И.Зубчук. В.П. Сигорекий.
Київ: Техніка, 1990. - 412 с.
17. Сазонов | А.А. Устройства автоматики: учебнос пособиє / А.А.Сазонов и др.) под
ред.Л.А.Сазонова.- Москва: Знергоатомиздат, 1991. 411с.
18. Буджак Я.С. Системна технологія мікроелектроніки / Я.С. Буджак, (І. Т. Когут,
С.П. Новосядлий. - Львів - 1996.-387с.
19. ІТ. Kogut, 4.A.Druzhinin, V..Golota “3D SOI elements for System-on-Chip Appli
tions”/Advanced Materials Research, Vol. 276(2011) pp. 137-144. (Trans. Tech. Publications.
Switzerland. doi: 10.4028/www.scientific.net/AMR.276.137).
20. Алексеенко А.Г. Микросхемотехника / А.Г.Алексеенко, И.Й.Шагурин. - Москва : Ралио
и связь, 1990. -532с.
21. Маллер Р. Злементь интегральньхх схем / Р. Маллер, 1 Кейминс. - Москва: Мир,
1989. - 598 с.
22. Ферри Д. Злектроника ультрабольших интегральньхх єхом / Д. Ферри, Л. Diikepe,
2. Гринич, - Москва : Мир, 1991. - 396 с.
428 ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ

23. Хоровиц П. Искусство схемотехники : в 3 т. / П. Хоровин, У. Хилл. Пер. с англ. - Моск-


ва : Мир, 1993.
24. Бабак В.П. Обробка сигналів: Підручн. 2-е вид. Перероб. і доп. / В.П.Бабак,
В.С.Хандецький, Е. Шрюфер. - Київ: Либідь, 1999. - 368с.
25. Дмитриєв В.И. Прикладная теория информации / В.Й.Дмитрисв. - Москва : Вььсшая
школа, 1989.- 613 с.
ПРЕДМЕТНИЙ ПОКАЖЧИК

Аналогово-цифровий перетворювач 34, 259, 266


Арифметико-логічний пристрій 13.34. 276
Асинхронний тригер 205, 206,
Байт 26, 52, 53, 232, 252, 257
Біполярний транзистор 77, 78, 81, 85.
Біт 13, 18, 22, 24, 25, 26, 52, 232, 2
Вентиль 66, 74
Дані 13, 23, 27, 39, 40, 52, 54, 57, 203 34, 235, 242, 253, 255
Діод Шоткі 73
Демультиплексор 199, 202, 203, 204,
Дешифратор 18, 194, 195-197, 199, 241 42, 243, 244, 258
Диз'юнкція 53, 54, 57, 58, 60, 61
Динамічна пам'ять 234, 235
Дискретизація у часі 260
Елемент 12, 13, 20, 40, 42, 53, 56, 59, 60, 61 63, 178, 179, 182, 183-186, 188-191, 194, 196.
204, 211, 214, 216, 222-227, 232, 234, 2 236, 238, 239, 240, 270, 286
Закон Мура 18, 20,22
Заперечення 54, 57, 58,59,
Затвор 116, 117, 118, 119, 121, 122,1 124, 125, 126, 127, 129, 130, 131, 132, 134, 136, 137.
140, 143, 149, 229, 230, 238, 239, 5, 248, 249, 250, 252, 253
Зовнішня пам'ять 236, 240, 246, 249
Імпульсний сигнал 324
Інтегральна мікросхема 14, 15, 21, 141
Інформаційна ємність 231, 232, 235
Жвантування за рівнем 254, 259, 260, 264, 265, 26Х
Кеш-пам'ять 257, 269, 271, 272
Комбінаційна схема 181, 204, 219,
Комірка памяті 204
Кон'юнкція 54, 56, 57, 59
Лічильник 18, 210-216, 255, 256, 258, 276, 278, 289
Логічна схема 22, 215,225
Мультиплексор 199, 200-204, 258
Носій інформації 248
Операнд 54, 56, 57, 65
Польовий транзистор 116, 119, 122, 123, 131, 135, 13
Порогова напруга 104, 120, 126, 130, 148
Постійна пам'ять 235
Потенціальний сигнал 27, 32, 33, 34
Синхронний тригер 205, 206, 207
Стабілітрон 70, 71, 72, 73, 110
Статичний запам'ятовуючий пристрій 236
Суматор 222-224, 258, 276, 277, 282
Тригер 202, 204-221, 229, 230, 234, 236, 237, 258
Флеш-пам'ять 250, 252

Шифратор 197, 198, 199, 217


НАВЧАЛЬНЕ ВИДАННЯ

КОМАН Богдан Петрович


МИСЬКО Мирослав Ярославович

ОСНОВИ КОМП'ЮТЕРНОЇ ЕЛЕКТРОНІКИ


Підручник

Редактор Л. М. Макітринська
Технічний редактор С. 3. Сеник
Коректор Ю. І. Бурка
Комп'ютерне верстання Л. М. Семенович
Обкладинка 8. О. Рогана

Формат 70х 100/зв. Умовн. друк. арк. 34,66.


Тираж 300 прим. Зам. Ме
Львівський національний університет імені Івана Франка,
вул. Університетська, 1, м. Львів, 79000

СВІДОЦТВО
про внесення суб'єкта видавничої справи до Державного реєстру
видавців, виготівників і розповсюджувачів видавничої продукції
Серія ДК Мо 3059 від 13.12.2007 р.

Видруковано з готових діапозитивів


у книжковій друкарні "Коло"
вул. Бориславська, 8, м. Дрогобич, Львівська обл., 88210

CBIQOUTBO
про внесення суб'єкта видавничої справи до Державного реєстру
видавців, виготівників і розповсюджувачів видавничої продукції.
Серія ДК Мо 498 від 20.06.2001 р.
Коман Богдан Петрович (народився
в с. Магерів, Жовківського р-ну, Львів-
ської обл.) - доктор фізико-математичних
наук, професор, професор кафедри
системного проектування факультету електроніки та
комп'ютерних технологій Львівського національного
університету імені Івана Франка. Автор понад 150 нау-
кових праць, 14 патентів України на винаходи в галузі
мікроелектроніки, напівпровідникової eneKTpOHiku,
фізики поверхневих явищ, підручника, трьох навчальних
посібників та монографії. Викладав дисципліни:
"Комп'ютерна схемотехніка та архітектура комп'ютерів",
"Аналогова та цифрова схемотехніка", "Напівпровідни-
koBa електроніка" "Фізичні основи комп'ютерних
систем", "Магнітоелектроніка ".

Мисько Мирослав Ярославович


(народився у м. Львові) - провідний
фахівець лабораторії комп'ютерної
електроніки факультету електроніки та
комп'ютерних технологій ЛНУ im.
І.Франка. Закінчив | радіотехнічний
факультет Львівського політехнічного
інституту за фахом радіоінженер. Пра-
цював у провідних підприємствах радіопромисловості
м. Львова. Співавтор 5-ти патентів на винаходи, навчаль-
ного посібника та підручника для студентів комп'ютер-
них спеціальностей.

ISBN 978-617-10-0463-4

9178617 11004634

You might also like