Professional Documents
Culture Documents
Practica
7
Aplicaciones con contadores
• Nayeli García
Análisis
Código
a. Código en VHD
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
end architecture;
b. Capturas en Galaxy
c. Capturas en Proteus
B. Codigo
a. Código en VHD
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
b. Capturas en Galaxy
c. Capturas en Proteus
C. Codigo
a. Código en VHD
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
b. Capturas en Galaxy
c. Capturas en Proteus
D. Codigo
a. Código en VHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
b. Capturas en Galaxy
c. Capturas en Proteus
Conclusion:
Se ha llegado a una conclusión bastante útil, pues la codificación de un contador con código
de usuario es por mucho la forma más fácil solo se debe asignar constantes y cumplir por
medio where la forma del autómata que queremos, por otro lado tenemos el método con
las fórmulas aplicadas, esto podría ser un poco más difícil puesto que las fórmulas podrían
no ser las correctas, o no estas simplificadas provocando una extensión de código mayor
a nuestro método anterior.
Se ha logrado ver con éxito contadores hexadecimales, decimales, con un texto especifico
o números en especifico