You are on page 1of 1

26 case sel is

27 when "00" =>


28 an <= "1110";
29 hex <= hex_in;
30 when "01" =>
31 an <= "1101";
32
hex <= hex_in;
33
when "10" =>
34
an <= "1011";
35
36 hex <= hex in;
37 when others =>
38 an <= "0111";
39 hex <= hex in;
40 end case ;
4. end process;
42
43 --Decodificador hexa-a-
44 7segmentos with hex select
45 sseg <=
46 -------------
47 abcdefg
48 "0000001" when "0000", --0 :
49 "1001111" when "0001", --1
50 "0010010" when "0010", --2
51 "0000110" when "0011"' --3
52 "1001100" when "0100"' --4
53 "0100100" when "0101"' --5
54 "0100000" when "0110"' --6
55 "0001111" when "0111"' --7
56 "0000000" when "1000"' --8
57 "0000100" when "1001", --9
"1111111" when others;
end arch ;

You might also like